KR101758944B1 - 신규한 갭 충진 집적화 - Google Patents
신규한 갭 충진 집적화 Download PDFInfo
- Publication number
- KR101758944B1 KR101758944B1 KR1020127013775A KR20127013775A KR101758944B1 KR 101758944 B1 KR101758944 B1 KR 101758944B1 KR 1020127013775 A KR1020127013775 A KR 1020127013775A KR 20127013775 A KR20127013775 A KR 20127013775A KR 101758944 B1 KR101758944 B1 KR 101758944B1
- Authority
- KR
- South Korea
- Prior art keywords
- gap
- film
- flowable
- deposition
- hdp
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/0214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Element Separation (AREA)
- Secondary Cells (AREA)
Abstract
유동성 산화물 필름 및 고밀도 플라즈마 화학 기상 증착 산화물 (HDP 산화물) 필름 둘 모두를 증착하는 것을 포함하는 신규한 갭 충진 방법이 제공된다. 다양한 구체 예에 따르면, 유동성 산화물 필름은 희생층 및/또는 보텀-업 갭 충진을 위한 물질로서 사용될 수 있다. 일부 구체 예에서, 충진된 갭의 상단 표면은 HDP 산화물 필름이다. 결과적인 충진된 갭은 단지 HDP 산화물 필름으로 충진되거나 또는 HDP 산화물과 유동성 산화물 필름의 조합으로 충진될 수 있다. 방법은 개선된 탑헷 감소를 제공하며 갭을 정의하는 구조물의 클립핑을 방지한다.
Description
관련 출원의 상호 참조
본 출원은 2009.12.09. 출원된 미국 가특허 출원 61/285,091호의 이익을 주장하며, 본 명세서에서 참고문헌으로 수록된다.
발명의 배경
반도체 공정에서 큰 종횡비 갭(gap)을 절연 물질로 충진하는 것이 종종 필수적이다. 이는 얕은 트렌치 분리(STI, shallow trench isolation), 금속간 절연(IMD, inter-metal dielectric) 층, 층간 절연(ILD, inter-layer dielectric) 층, 사전-금속 절연(PMD, pre-metal dielectric) 층, 패시베이션(passivation) 층, 등의 경우이다. 소자 형태(device geometry)가 수축하고 열 처리량(thermal budget)이 감소함에 따라, 좁은 폭의 큰 종횡비(aspect ratio, AR) 피처(예컨대, AR>6:1)의 무-공극 충진이 기존의 증착 공정의 한계로 인하여 더욱 어렵게 된다.
발명의 개요
유동성 산화물 필름 및 고밀도 플라즈마 화학 기상 증착 산화물(high density plasma chemical vapor deposition oxide, HDP 산화물) 필름 둘 모두를 증착시키는 것을 포함하는 신규한 갭 충진 방법이 제공된다. 다양한 구체 예에 따르면, 유동성 산화물 필름은 희생층(sacrificial layer)으로서 및/또는 보텀-업 갭 충진(bottom up gap fill)을 위한 물질로서 사용될 수 있다. 일부 구체 예에서, 충진된 갭의 상단 표면은 HDP 산화물 필름이다. 산출된 충진된 갭은 단지 HDP 산화물 필름에 의해 또는 HDP 산화물과 유동성 산화물 필름의 조합에 의해 충진될 수 있다. 상기 방법은 개선된 탑헷(top hat) 감소를 제공하며 갭을 정의하는 구조의 클립핑(clipping)을 방지한다.
한 양상은 반도체 기판상의 돌출 피처(raised feature)들 사이의 갭을 충진하는 방법에 관한 것이며, 상기 방법은 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 절연 필름을 피처 상부에 그리고 갭 내에 증착시켜 고밀도 플라즈마 화학 기상 증착 반응을 통하여 상기 갭을 부분적으로 충진하는 단계; HDP-CVD 반응을 통하여 갭을 부분적으로 충진시킨 이후에, 유동성 산화물 필름을 증착시켜 상기 갭을 과충진(overfill)하는 단계; 유동성 산화물 필름과 HDP-CVD 절연 필름의 일부를 함께 에칭시키는 단계, 여기서 상기 피처의 상단 표면 상부에서 에칭을 중지시킴; 유동성 산화물 필름을 상기 갭으로부터 선택적으로 제거하여 HDP-CVD 절연 필름으로 부분적으로 충진된 갭을 잔류시키는 단계; 및 1회 이상의 추가 HDP-CVD 증착을 수행하여 상기 갭의 충진을 완료하고, 이에 따라 상기 갭을 HDP-CVD 절연 필름으로 충진하는 단계를 포함한다. 일부 구체 예에서, 1회 이상의 추가 HDP-CVD 증착을 수행하여 갭의 충진을 완료한 이후, 갭 내 실질적으로 모든 필름은 HDP-CVD 절연 필름이다. HDP-CVD 절연 필름에 의한 부분적인 갭 충전으로부터 유동성 산화물 필름에 의한 갭 과충전으로의 전환은 중간 에칭 작업을 포함하거나 또는 포함하지 않을 수도 있다. 다양한 구체 예에 따르면, 전혀 없는, 모든, 또는 단지 일부의 유동성 산화물 필름 프라이어가 경화된다. 경화되는 경우, 유동성 산화물 필름 및 HDP-CVD 절연 필름의 일부를 에칭하기 이전에 경화될 수 있다. 유동성 산화물 필름의 일부를 경화시키는 것은 유동성 산화물 필름의 상부 부분을 선택적으로 경화시키는 것을 포함할 수 있다.
또 다른 양상은 돌출 피처를 갖는 기판상의 갭 및 돌출 피처들 사이의 갭을 충진하는 것에 관한 것이며, 이는 1회 이상의 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 반응을 통하여 절연 물질로 갭을 부분적으로 충진시키는 단계, 여기서 절연 물질은 또한 피처 상부에 증착됨; 갭을 희생 물질(sacrificial material)로 과충진시키는 단계; 및 2회의 제거 작업을 수행하는 단계, 여기서 1회의 제거 작업은 절연 물질 및 희생 물질을 제거하며 1회의 제거 작업은 잔류 희생 물질을 선택적으로 제거함;을 포함한다. 일부 구체 예에서, 희생 물질은 유동성 산화물 물질이다.
또 다른 양상은 표면을 갖는 기판에서 개구(opening)로서 형성된 피처를 충진하는 방법에 관한 것이며, 상기 피처는 바닥(bottom)을 가지며, 상기 방법은 피처를 부분적으로 충진하기 위해 상기 피처 내에 그리고 개구에 인접한 기판 표면상에 충진 물질을 증착시키는 단계; 갭을 희생 물질로 과충진시키는 단계; 피처 또는 피처 개구 상부에 증착된 대부분의 희생 물질 및 충진 물질을 제거하여, 희생 물질 및 충진 물질로 충진된 피처를 잔류시키는 단계; 및 희생 물질을 갭으로부터 선택적으로 제거하여, 충진 물질로 부분적으로 충진된 피처를 잔류시키는 단계를 포함한다. 갭 충진은 충진 물질을 갭 내에 증착시킴으로써 완료될 수 있다. 일부 구체 예에서, 완전하게 충진된 갭은 실질적으로 희생 물질을 갖지 않는다. 희생 물질은 유동성 산화물 물질일 수 있다.
또 다른 양상은 돌출 피처를 갖는 기판상의 갭 및 돌출 피처들 사이의 갭을 충진하는 방법에 관한 것이며, 상기 방법은 1회 이상의 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 반응을 통하여 갭을 절연 물질로 부분적으로 충진하는 단계, 여기서 절연 물질은 또한 피처 상부에 증착됨; 갭을 희생 물질로 과충진시키는 단계; 및 2회의 제거 작업을 수행하는 단계, 여기서 1회의 제거 작업은 절연 물질 및 희생 물질을 제거하며 1회의 제거 작업은 잔류 희생 물질을 선택적으로 제거함;을 포함한다. 본 명세서에서 기술한 또 다른 양상과 같이 희생 물질은 유동성 산화물 물질일 수 있다.
또 다른 양상은 돌출 피처를 갖는 기판상의 갭 및 돌출 피처들 사이의 갭을 충진하는 방법에 관한 것이며, 상기 방법은 갭을 절연 물질로 부분적으로 충진하는 단계, 여기서 절연 물질은 또한 피처 상부에 증착됨; 그 후 갭을 유동성 산화물 물질로 과충진시키는 단계; 및 2회의 제거 작업을 수행하는 단계, 여기서 1회의 제거 작업은 절연 물질 및 유동성 산화물 물질을 제거하며 1회의 제거 작업은 잔류 유동성 산화물 물질을 선택적으로 제거함;을 포함한다.
또 다른 양상은 반도체 기판상의 돌출 피처들 사이의 갭을 충진하는 방법에 관한 것이며, 상기 방법은 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 절연 필름을 피처 상부에 그리고 갭 내에 증착시켜 고밀도 플라즈마 화학 기상 증착 반응을 통하여 상기 갭을 부분적으로 충진시키는 단계; HDP-CVD 반응을 통하여 갭을 부분적으로 충진시킨 이후에, 유동성 산화물 필름을 증착시켜 상기 갭을 더욱 충진하는 단계, 여기서 상기 갭은 유동성 산화물 필름의 증착 이후에 단지 부분적으로 충진됨; 및 1회 이상의 추가 HDP-CVD 증착을 수행하여 상기 갭의 충진을 완료시키는 단계, 여기서 상기 갭은 유동성 산화물 필름 및 HDP-CVD 절연 필름으로 충진됨;을 포함한다. 일부 구체 예에서, 완전하게 충진된 갭은 HDP-CVD 절연 필름에 의해 캡슐화된(encapsulated) 유동성 산화물 필름을 포함한다. 갭의 충진을 완료한 이후에, 피처의 상단에 증착된 절연 물질을 제거할 수 있다. 일부 구체 예에서, 유동성 산화물 필름은 1회 이상의 추가 HDP-CVD 증착을 수행하기 이전에 경화된다. 일부 구체 예에서, 유동성 산화물 필름의 증착으로부터 1회 이상의 추가 HDP-CVD 증착의 수행으로 인한 갭의 추가 충진으로의 전환은 중간 경화 작업을 포함하지 않는다. 일부 구체 예에서, 유동성 산화물 필름은 1회 이상의 추가 HDP-CVD 증착을 수행하기 이전에 경화된다.
또 다른 양상은 반도체 기판상의 돌출 피처들 사이의 갭을 충진하는 방법에 관한 것이며, 상기 방법은 고체 절연 필름을 피처 상부에 그리고 갭 내에 증착시켜 상기 고체 절연 물질로 상기 갭을 부분적으로 충진하는 단계; 갭을 고체 절연 물질로 부분적으로 충진한 이후에, 유동성 산화물 필름을 증착시켜 상기 갭을 더욱 충진하는 단계, 여기서 상기 갭은 유동성 산화물 필름의 증착 이후에 단지 부분적으로 충진됨; 1회 이상의 고체 절연 필름 증착 작업을 수행하여 갭의 충진을 완료시키는 단계, 여기서 상기 갭은 유동성 산화물 필름 및 고체 절연 필름으로 충진됨;을 포함한다. 완전하게 충진된 갭은 고체 절연 필름에 의해 캡슐화된 유동성 산화물 필름을 포함한다. 갭의 충진을 완료한 이후에, 피처의 상단에 증착된 절연 물질을 제거할 수 있다. 유동성 산화물 필름은 1회 이상의 추가 고체 절연체 증착을 수행하기 이전에 경화될 수 있다.
또 다른 양상은 돌출 피처를 갖는 기판상의 갭 및 돌출 피처들 사이의 갭을 충진하는 방법에 관한 것이며, 상기 방법은 1회 이상의 고체 절연 물질의 증착 및 1회 이상의 유동성 산화물 물질의 증착을 수행하여 고체 절연 물질에 의해 캡슐화된 유동성 산화물 물질로 갭을 충진하는 단계를 포함한다.
또 다른 양상은 돌출 피처를 갖는 기판상의 갭 및 돌출 피처들 사이의 갭을 충진하는 방법에 관한 것이며, 상기 방법은 1회 이상의 고체 절연 물질의 증착 및 1회 이상의 유동성 산화물 물질의 증착을 수행하여 유동성 산화물 물질 및 고체 절연 물질로 상기 갭을 충진하는 단계를 포함한다. 다양한 구체 예에 따르면, 유동성 산화물 물질은 고체 절연 물질의 증착 이전에 증착될 수 있거나, 또는 고체 절연 물질이 증착되고 후속하여 유동성 산화물 물질이 증착될 수 있다. 유동성 산화물 및 고체 절연체 증착의 1회 이상의 순환이 수행될 수 있다. 고체 절연 물질은 충진부(fill)를 씌울 수 있다.
또 다른 양상은 반도체 기판상의 돌출 피처들 사이의 갭을 충진하는 방법에 관한 것이며, 상기 방법은 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 절연 필름을 피처 상부에 그리고 갭 내에 증착시켜 고밀도 플라즈마 화학 기상 증착 반응을 통하여 상기 갭을 부분적으로 충진하는 단계; HDP-CVD 반응을 통하여 갭을 부분적으로 충진시킨 이후에, 유동성 산화물 필름을 증착시켜 상기 갭을 과충진하는 단계; 유동성 산화물 필름을 갭으로부터 제거하여 HDP-CVD 절연 필름 및 유동성 산화물 필름으로 부분적으로 충진된 갭을 잔류시키는 단계; 및 1회 이상의 추가 HDP-CVD 증착을 수행하여 갭의 충진을 완료하는 단계;를 포함한다.
일부 구체 예에서, 유동성 산화물 필름을 갭으로부터 제거하는 것은 유동성 산화물 필름을 선택적으로 제거하는 것을 포함한다. 다양한 구체 예에 따르면, 유동성 산화물 필름은 경화되거나 또는 경화되지 않는다. 일부 구체 예에서, 갭으로부터의 유동성 산화물 필름의 제거로부터 1회 이상의 추가 HDP-CVD 증착의 수행으로의 전환은 경화 작업을 포함하지 않는다. 유동성 산화물 필름은 경화되지 않거나 또는 경화될 수 있다.
또 다른 양상은 반도체 기판상의 돌출 피처들 사이의 미충진 갭을 충진하는 방법에 관한 것이며, 상기 방법은 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 절연 필름을 피처 상부에 그리고 갭 내에 증착시켜 고밀도 플라즈마 화학 기상 증착 반응을 통하여 상기 갭을 부분적으로 충진하는 단계; HDP-CVD 반응을 통하여 갭을 부분적으로 충진시킨 이후에, 유동성 산화물 필름을 증착시켜 상기 갭을 과충진하는 단계; 에칭을 수행하여 유동성 산화물 필름을 제거하여 부분적으로 충진된 갭을 잔류시키는 단계; 및 1회 이상의 추가 HDP-CVD 증착을 수행하여 갭의 충진을 완료하는 단계;를 포함한다.
또 다른 양상은 반도체 기판상의 돌출 피처들 사이의 미충진 갭을 충진하는 방법에 관한 것이며, 상기 방법은 고밀도 플라즈마 화학 기상 증착 반응을 통하여 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 고체 절연 필름을 증착하는 단계 및 유동성 산화물 필름을 증착시켜 갭을 완전하게 또는 부분적으로 충진하는 단계를 포함한다.
또 다른 양상은 반도체 기판상의 돌출 피처들 사이의 미충진 갭을 충진하는 방법에 관한 것이며, 상기 방법은 유동성 산화물 필름을 갭 내에 높이 증착시켜 갭을 부분적으로 충진시키는 단계; 및 유동성 산화물 필름으로 갭을 부분적으로 충진시킨 이후에, 고밀도 플라즈마 화학 기상 증착 반응을 통하여 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 절연 필름을 갭 내에 증착시켜 갭의 충진을 완료하는 단계를 포함한다.
다양한 구체 예에 따르면, 방법은 유동성 절연 필름을 갭 내에 증착시켜 갭을 부분적으로 충진시키는 단계; 유동성 절연 필름으로 갭을 부분적으로 충진시킨 이후에, 고밀도 플라즈마 화학 기상 증착 반응을 통하여 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 절연 필름을 갭 내에 증착시켜 갭의 충진을 완료하는 단계를 포함하며, 여기서 유동성 절연 필름은 후속하는 HDP-CVD 증착 이전에 경화되지 않은 상태이다.
일부 구체 예에서, 유동성 절연 필름을 갭 내에 증착시키는 것은 실리콘-함유 전구체, 산화제 및 선택적으로 용매를 포함하는 공정 기체를 유입하는 것을 포함하며, 상기 공정 기체는 임의 조합으로 하나 이상의 다음의 부분압(Pp):증기압(Pvp) 비율을 특징으로 한다: 실리콘-함유 전구체: 0.01 내지 1; 산화제: 0.25 내지 2; 및 용매: 0.1 내지 1.
일부 구체 예에서, 유동성 절연 필름을 갭 내에 증착시키는 것은 실리콘-함유 전구체, 산화제 및 용매를 포함하는 공정 기체를 유입시키는 것을 포함하며, 여기서 상기 공정 기체는 약 5 내지 15의 산화제 : 전구체 부분압 비율을 갖는 것을 특징으로 한다. 일부 구체 예에서, 유동성 절연 필름을 갭 내에 증착시키는 것은 실리콘-함유 전구체, 산화제 및 용매를 포함하는 공정 기체를 유입시키는 것을 포함하며, 여기서 상기 공정 기체는 약 0.1 내지 5의 용매 : 산화제 전구체 부분압 비율을 갖는 것을 특징으로 한다.
일부 구체 예에서, 유동성 절연 필름은 HDP-CVD 절연 필름의 증착 동안 적어도 부분적으로 치밀화되거나(densified) 및/또는 산화된다.
다양한 구체 예에 따르면, 방법은 기판을 증착 모듈에 제공하는 단계; 유동성 절연 필름을 갭 내에 증착시켜 갭을 부분적으로 충진하는 단계; 갭 내의 유동성 절연 필름을 산화시키는 단계; 기판을 증착 모듈로부터 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 모듈로 이동시키는 단계; 및 HDP 절연 필름을 증착시켜 갭의 충진을 완료하는 단계를 포함한다. 유동성 절연 필름을 산화시키는 단계는 증착 모듈 내에서 및/또는 HDP-CVD 모듈 내에서 및/또는 증착 모듈과 분리된 경화 모듈 내에서 수행될 수 있다. 다양한 구체 예에 따르면, 유동성 절연 필름을 산화시키는 단계는 필름을 자외선의 존재 하에서 산화제에 노출시키는 단계 및 필름을 원격-생성된 산화 플라즈마에 노출시키는 단계 중 한 단계를 포함한다. 다양한 구체 예에 따르면, 유동성 절연 필름을 산화시키는 단계는 필름을 직접(인 시츄) 플라즈마에 노출시키는 단계를 포함한다.
또 다른 양상은 반도체 기판상의 미충진 갭을 충진하는 방법에 관한 것이며, 상기 방법은 유동성 절연 필름을 갭 내에 증착시켜 갭을 부분적으로 충진하는 단계; 갭 내의 유동성 절연 필름을 부분적으로 치밀화(densifying)시키는 단계; 및 HDP 절연 필름을 증착시켜 갭의 충진을 완료하는 단계를 포함한다. 유동성 절연 필름을 부분적으로 치밀화시키는 단계는 필름을 원격 또는 직접 플라즈마에 노출시키는 단계를 포함할 수 있다. 플라즈마는 불활성 플라즈마이거나, 또는 산화 플라즈마 또는 질화 플라즈마와 같은 반응성 플라즈마일 수 있다.
또 다른 양상은 유동성 산화물 필름을 증착시키는 방법에 관한 것이며, 상기 방법은 실리콘-함유 전구체, 산화제 및 선택적으로 용매를 포함하는 공정 기체를 유입시켜 유동성 필름을 갭 내에 증착시키고 이에 따라 갭을 부분적으로 충진하는 단계를 포함하며, 여기서 상기 공정 기체는 다음의 부분압(Pp):증기압(Pvp) 비율의 어느 하나, 둘, 또는 모두를 특징으로 한다: 실리콘-함유 전구체: 0.01 내지 1; 산화제: 0.25 내지 2; 및 용매: 0.1 내지 1.
또 다른 양상은 유동성 산화물 필름을 증착시키는 방법에 관한 것이며, 상기 방법은 실리콘-함유 전구체, 산화제 및 선택적으로 용매를 포함하는 공정 기체를 유입시켜 유동성 필름을 갭 내에 증착시키고 이에 따라 갭을 부분적으로 충진하는 단계를 포함하며, 여기서 상기 공정 기체는 0.01 내지 0.5의 실리콘-함유 전구체의 Pp:Pvp 비율을 특징으로 한다. 동일 또는 또 다른 구체 예에서, 상기 공정 기체는 추가로 약 5 내지 15의 산화제 : 전구체 부분압 비율 및/또는 약 0.1 내지 5의 용매 : 산화제 전구체 부분압 비율을 가짐을 특징으로 한다.
이러한 여러 반응물들의 부분압(Pp):증기압(Pvp) 비율 및/또는 반응물:반응물 부분압 비율은 본 명세서에 기재된 전술한 갭 충진 공정 모두에서 사용될 수 있다. 또 다른 양상은 실리콘 또는 SOI 기판 내 갭을 유동성 산화물로 완전하게 또는 부분적으로 충진하고 상기 유동성 산화물을 선택적으로 산화시켜 하부 실리콘이 산화되지 않도록 하는 것에 관한 것이다.
다양한 구체 예에 따르면, 본 명세서에 기재된 유동성 절연 필름은 실리콘 산화물 필름, 실리콘 질화물 필름 및 실리콘 산화질화물 필름을 포함한다. 유동성 질화물 또는 산화질화물 필름이 본 명세서에 기재된 방법에서 유동성 산화물 필름 대신 사용될 수도 있다.
이하의 상세한 설명은 본 발명의 이익 및 특징을 더욱 설명할 것이다.
도면의 간단한 설명
도 1A는 STI(shallow trench isolation) 집적 공정(integration process)에서 미충진 갭의 개략적인 횡단면도를 포함한다.
도 1B 및 1C는 일부 구체 예에 따르는 방법에 의해 충진된 갭의 개략적인 횡단면도이다.
도 2A는 일부 구체 예에 따라 트렌치 또는 또 다른 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 2B는 도 2A의 작업들의 개략적인 횡단면도를 포함한다.
도 3A는 일부 구체 예에 따라 트렌치 또는 또 다른 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 3B는 도 3A의 작업들의 개략적인 횡단면도를 포함한다.
도 4A는 일부 구체 예에 따라 트렌치 또는 또 다른 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 4B는 도 4A의 작업들의 개략적인 횡단면도를 포함한다.
도 5A는 일부 구체 예에 따라 트렌치 또는 또 다른 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 5B는 도 5A의 작업들의 개략적인 횡단면도를 포함한다.
도 6A는 인커밍 종횡비(aspect ratio, AR) 및 여러 크기의 피처 내 유동성 산화물 증착 이후의 AR의 개략적인 횡단면도를 포함한다. 도 6A는 또한 유동성 증착 이전 및 이후 여러 크기의 피처에 대한 종횡비의 그래프를 포함한다.
도 6B는 유동성 증착 이전 및 이후 여러 크기의 피처에 대한 종횡비의 또 다른 그래프이다. 유동성 산화물 및 HDP 산화물 캡(cap)으로 충진된 갭의 사진이 그래프에 제시된다.
도 7은 일부 구체 예에 따라 갭 내에 유동성 절연 물질을 증착하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 8A-8D는 일부 구체 예에 따라, 갭을 절연 물질로 충진하는 방법의 실시예에서 반응 메커니즘의 개략도이다.
도 9A는 피처 충진 선택성의 조정가능성(tunability)을 정량적으로 나타내는 그래프이다.
도 9B는 특정 피처 크기에 대한 충전 높이의 용매 부분압에 대한 의존성을 나타내는 그래프이다.
도 10은 일부 구체 예에 따라 갭 내에 유동성 절연 물질을 증착하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 11은 일부 구체 예에 따라 실리콘 또는 SOI 기판에서 갭 내에 유동성 산화물을 증착하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 12는 일부 구체 예에 따라 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 13은 선택된 구체 예를 실시하는데 적합한 멀티-스테이션 장치를 나타내는 평면도이다.
도 14는 여러 구체 예를 실시하는데 적합한 HDP-CVD 모듈의 개략도이다.
도 15는 여러 구체 예를 실시하는데 적합한 직접 플라즈마 증착/경화 모듈의 개략도이다.
도 16은 여러 구체 예를 실시하는데 적합한 원격 플라즈마 증착/경화 모듈의 개략도이다.
도 1A는 STI(shallow trench isolation) 집적 공정(integration process)에서 미충진 갭의 개략적인 횡단면도를 포함한다.
도 1B 및 1C는 일부 구체 예에 따르는 방법에 의해 충진된 갭의 개략적인 횡단면도이다.
도 2A는 일부 구체 예에 따라 트렌치 또는 또 다른 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 2B는 도 2A의 작업들의 개략적인 횡단면도를 포함한다.
도 3A는 일부 구체 예에 따라 트렌치 또는 또 다른 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 3B는 도 3A의 작업들의 개략적인 횡단면도를 포함한다.
도 4A는 일부 구체 예에 따라 트렌치 또는 또 다른 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 4B는 도 4A의 작업들의 개략적인 횡단면도를 포함한다.
도 5A는 일부 구체 예에 따라 트렌치 또는 또 다른 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 5B는 도 5A의 작업들의 개략적인 횡단면도를 포함한다.
도 6A는 인커밍 종횡비(aspect ratio, AR) 및 여러 크기의 피처 내 유동성 산화물 증착 이후의 AR의 개략적인 횡단면도를 포함한다. 도 6A는 또한 유동성 증착 이전 및 이후 여러 크기의 피처에 대한 종횡비의 그래프를 포함한다.
도 6B는 유동성 증착 이전 및 이후 여러 크기의 피처에 대한 종횡비의 또 다른 그래프이다. 유동성 산화물 및 HDP 산화물 캡(cap)으로 충진된 갭의 사진이 그래프에 제시된다.
도 7은 일부 구체 예에 따라 갭 내에 유동성 절연 물질을 증착하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 8A-8D는 일부 구체 예에 따라, 갭을 절연 물질로 충진하는 방법의 실시예에서 반응 메커니즘의 개략도이다.
도 9A는 피처 충진 선택성의 조정가능성(tunability)을 정량적으로 나타내는 그래프이다.
도 9B는 특정 피처 크기에 대한 충전 높이의 용매 부분압에 대한 의존성을 나타내는 그래프이다.
도 10은 일부 구체 예에 따라 갭 내에 유동성 절연 물질을 증착하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 11은 일부 구체 예에 따라 실리콘 또는 SOI 기판에서 갭 내에 유동성 산화물을 증착하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 12는 일부 구체 예에 따라 갭을 절연 물질로 충진하는 방법의 작업들을 나타내는 공정 흐름도이다.
도 13은 선택된 구체 예를 실시하는데 적합한 멀티-스테이션 장치를 나타내는 평면도이다.
도 14는 여러 구체 예를 실시하는데 적합한 HDP-CVD 모듈의 개략도이다.
도 15는 여러 구체 예를 실시하는데 적합한 직접 플라즈마 증착/경화 모듈의 개략도이다.
도 16은 여러 구체 예를 실시하는데 적합한 원격 플라즈마 증착/경화 모듈의 개략도이다.
발명의 상세한 설명
도입
본 발명은 기판상의 갭을 충진하는 방법에 관한 것이다. 일부 구체 예에서, 상기 방법은 큰 종횡비(AR)(전형적으로 최소 6:1, 예컨대 7:1 또는 그 이상), 좁은 ㅍ포폭(예컨대, 서브-50 nm) 갭을 충진하는 것에 관한 것이다. 일부 구체 예에서, 상기 방법은 또한 작은 AR 갭(예컨대, 넓은 트렌치)을 충진하는 것과 관련된다. 또한 일부 구체 예에서, 다양한 AR의 갭이 기판상에 있을 수 있으며, 구체 예에서 작은 및 큰 AR 갭을 충진하는 것이 지향된다.
다양한 구체 예에 따르면, 방법은 유동성 산화물 필름 및 고밀도 플라즈마 화학 기상 증착 산화물(HDP 산화물) 필름 둘 모두를 갭 내에 증착시키는 것을 포함한다. 다양한 구체 예에 따르면, 유동성 산화물 필름은 희생층으로서 및/또는 보텀-업 갭 충진을 위한 물질로서 사용될 수 있다. 일부 구체 예에서, 충진된 갭의 상단 표면은 HDP 산화물 필름이다. 산출된 충진된 갭은 단지 HDP 산화물 필름으로 또는 HDP 산화물과 유동성 산화물 필름의 조합으로 충진될 수 있다. 방법은 개선된 탑헷(top hat) 감소를 제공하며 갭을 정의하는 구조의 클립핑(clipping)을 방지한다.
본 명세서에서 사용되는 용어 "HDP 산화물 필름"은 고밀도 플라즈마 화학 기상 증착 공정을 사용하여 증착된 도핑된 또는 도핑안된 실리콘 산화물 필름을 의미한다. 일반적으로, 고밀도 플라즈마는 입방 센티미터 당 최소 약 5 x 1010 전자(electron), 더욱 전형적으로 입방 센티미터 당 1 x 1011 전자의 전자 밀도(electron density)를 갖는 임의 플라즈마이다. HDP CVD 반응은 또한, 일부 구체 예에서, 100 mTorr 또는 그 이하의 범위인 비교적 낮은 반응 압력을 특징으로 할 수도 있다.
이하의 설명이 주로 HDP 산화물 캡 필름에 대하여 언급하지만, 플라즈마 강화 화학 기상 증착(PECVD), 서브-대기압 CVD(sub-atmospheric CVD, SACVD)에 의해 증착된 TEOS 산화물 또는 임의 또 다른 방법에 의해 증착된 절연체를 비롯하여, 또 다른 유형의 절연 필름이 사용될 수 있다.
본 명세서에서 사용되는 용어 "유동성 산화물 필름"은 갭의 일관된 충진을 제공하는 유동 특성을 갖는 유동성 도핑된 또는 도핑안된 실리콘 산화물 필름이다. 유동성 산화물 필름은 또한 연성 젤리-유사 필름(soft jelly-like film), 액체 유동 특성을 갖는 겔, 액체 필름, 또는 유동성 필름으로 기술될 수 있다. HDP-CVD 반응과는 달리, 유동성 필름을 형성하는 것은 실리콘-함유 전구체와 산화제를 반응시켜 기판상의 응축된 유동성 필름을 형성하는 것을 포함할 수 있다. 필름의 형성은 예컨대 미국 특허 출원 11/925,514(2007.10.26. 출원)에 기재된 바와 같은 촉매의 도움을 받을 수 있으며, 상기 문헌은 참고문헌으로 수록된다. 본 명세서에 기재된 유동성 산화물 증착 방법은 특정 반응 메커니즘에 제한되지 않으며, 예컨대 반응 메커니즘은 흡착 반응, 가수분해 반응, 축합 반응, 중합 반응, 응축하는 기상 생성물을 생성하는 기상 반응(vapor-phase reaction), 반응 이전에 반응물 중 1종 이상의 축합, 또는 이들의 조합을 포함할 수 있다. 기판은 갭의 일부에서 유동성 필름을 증착시켜 충진하기에 충분한 기간 동안 공정 기체에 노출된다. 증착 공정은 전형적으로 우수한 유동 특성을 갖는 연성 젤리-유사 필름을 형성하고, 일관된 충진을 제공한다. 일부 구체 예에서, 유동성 필름은 유기-실리콘 필름, 예컨대 비정질 유기-실리콘 필름이다.
갓 증착된 HDP 산화물 필름은 치밀화되어 고체가 되고 비유동성이 되는 반면, 갓-증착된 유동성 산화물 필름은 완전하게 치밀화되지 않는다. 용어 "유동성 산화물 필름"은 본 명세서에서 상기 필름을 완전하게 또는 부분적으로 치밀화시키는 치밀화 공정 및/또는 상기 필름뿐만 아니라 갓-증착된 유동성 산화물 필름을 완전하게 또는 부분적으로 화학적으로 전환시키는 전환 공정을 겪은 유동성 산화물 필름을 의미하는 것으로 사용될 수 있다. HDP-CVD 및 유동성 산화물 증착 공정의 상세사항을 이하에서 더욱 설명한다.
이하의 설명이 주로 유동성 실리콘 산화물 필름에 관하여 언급하지만, 본 명세서에 기재된 집적화 방법은 또한 또 다른 유형의 유동성 절연 필름에 대하여 사용될 수 있다. 예컨대, 갓-증착된 필름은 주로 Si-N 및 N-H 결합이 있는 실리콘 질화물, 또는 실리콘 산화질화물일 수 있다. 일부 구체 예에서, 이러한 필름은 경화 공정에 의해 SiO 또는 SiON 네트워크로 전환될 수 있다.
일부 구체 예에서, STI(shallow trench isolation) 집적화 방법이 제공된다. 도 1A는 STI 공정에서 충진될 치밀한(101에 도시됨) 및 격리된(102에 도시됨) 갭의 횡단면 개략도를 도시한다. (101)에서, 갭(104a-104d)은 실리콘 또는 절연체 위의 실리콘 (Silicon-On-Insulator, SOI) 기판(101)에 형성된 트렌치가다. 패드 산화물 층(105) 및 실리콘 질화물 층(106)이 또한 도시된다. 트렌치의 측벽은 또한 산화물 층 또는 라이너 층(liner layer)(도시되지 않음), 예컨대 SiON 또는 SiN 층으로 코팅될 수 있다. (102)에서, 실리콘 또는 SOI 기판(101)에 형성된 격리된 갭(104)이 도시된다. 비록 도시되지 않았으나, 갭(104)의 측벽은 또한 산화물, 질화물 또는 또 다른 층에 의해 한정될 수 있다. 도 1A에 개략적으로 도시된 갭이 일반적으로 사각형 프로파일을 갖지만, 갭의 측벽은 예컨대 도 6에 개략적으로 도시된 바와 같이 경사질 수 있다.
갭은 전형적으로 측벽의 바닥 표면에 의해 정의된다. 용어 측벽 또는 측벽들은 둥근 구멍, 길고 좁은 트렌치, 등을 비롯하여, 임의 모양의 갭의 측벽 또는 측벽들을 의미하는 것으로 상호 교환적으로 사용될 수 있다. 갭을 정의하는 측벽 및 바닥 표면은 1종 또는 여러 종의 물질일 수 있다. 갭 측벽 및 바닥 물질의 예에는 질화물, 산화물, 카바이드, 산화질화물, 산화카바이드, 규화물, 뿐만 아니라 베어(Bare) 실리콘 또는 또 다른 반도체 물질이 포함된다. 특별한 예에는 SiN, SiO2, SiC, SiON, NiSi, 및 임의 또 다른 실리콘-함유 물질이 포함된다. 일부 구체 예에서, 유동성 절연체 증착 이전에, 갭에 라이너(liner), 장벽 또는 갭 내에 형성된 또 다른 유형의 등각 층(conformal layer)이 제공되며, 이에 따라 갭의 바닥 및/또는 측벽의 전부 또는 일부가 등각 층이다.
갭은 또한 갭이 그 사이에 위치하는 구조물에 의해 특징 될 수 있다. 일부 구체 예에서, 예컨대 도 1A에 도시된 실시예와 같이, 구조물은 기판 내에 에칭된 갭들 사이의 실리콘 기판의 영역이거나 이러한 영역을 포함한다. 구조물(또한 본 명세서에서 돌출 피처 또는 피처로 불림)은 또한 예컨대 하드마스크, 금속 비아(metal via) 또는 트렌치 라인(trench line), 트랜지스터 게이트 또는 또 다른 피처일 수 있다. 인접하는 구조물이 이들 사이의 갭을 정의한다. 구조물은 갭의 측벽을 형성하는 하나 이상의 라이너 층을 포함할 수 있다.
단지 HDP 산화물로 충진된 갭에서, 갭의 바닥에서 HDP 산화물의 충진에 부가하여, HDP 산화물은 구조물의 상단(탑헷)에 증착되고 충진될 갭의 입구 영역에서 오버행(overhang)되고 커스프(cusp) 된다. 오버행 형성은 스퍼터링 및 재증착 공정으로부터 기인한다. 증착 공정의 방위 양상(directional aspect)은 갭 내에서 물질을 멀리 스퍼터링하는 몇몇 큰 모멘텀 하전 화학종을 생성한다. 스퍼터링된 물질은 큰 AR 구조물의 측벽에 재증착하는 경향이 있다. 성장이 가능하다면, 피처의 측벽에 증착된 커스프는 조숙하게(prematurely) 갭을 폐쇄할 수 있다. 이러한 커스프 및 탑헷 형성을 제거하기 위하여, 에치 백 공정(etch back process)이 수행된다. 에칭은 불소-함유 화합물, 예컨대 불소 화학종을 함유하는 플라즈마에 대한 노출에 의해 수행된다. 이러한 화학종은 통상 불소-함유 공정 기체 성분 예컨대 SiF4, SiH2F2, Si2F6, C2F6, NF3, CF4, 등으로부터 기원한다. HF에서의 습식 에칭과 같은 또 다른 에칭 공정이 사용될 수 있다.
에칭은, 도시된 바와 같이, 전형적으로 구조물의 상단 코너 또는 갭의 측벽에서, 에칭제에 의한 구조물의 클립핑에 의해 제한된다. 클립핑은 구조물의 에칭제에 대한 노출로 인한 손상을 의미하며 물리적 또는 화학적 에칭 공정의 결과일 수 있다. 클립핑은 예컨대 SiN 부식으로 인한 CMP 연마 정지의 결핍과 같은 후속 공정에서의 문제, 및 예컨대 트렌치 내 Si 측벽으로의 클립핑(부식)과 같은 전기적 성능에서의 문제를 야기한다. 예를 들면, 넓은 갭(예컨대 트렌치) 옆의 큰 AR 갭 내에 증착된 HDP 산화물의 NF3 에칭은, 얇은 측벽 커버리지로 인하여, NF3에 의한 측면 화학적 에칭(lateral chemical etch)에 의한 큰 AR 피처의 측벽 클립핑을 야기할 수 있다. 개선된 구조물에 대하여, 사이클 당 증착 양은 더 얇아지면, 이는 사라지는 NF3 에칭 공정 윈도우를 야기한다.
도 1B는 일부 구체 예에 따라 충진된 갭(104)의 도면이다. 도시된 구체 예에서, 각각의 갭(104)은 절연 물질, 즉 유동성 절연 물질(110) 및 HDP 산화물 물질(112)로 충진된다. 다양한 구체 예에 따르면, 유동성 절연 물질(110)은 갭을 충진하여 실리콘 질화물 층(106) 아래로 평탄하게 한다. 다양한 구체 예에 따르면, 유동성 절연 물질(110)은 실리콘 질화물 층(106)의 바닥으로부터 적어도 50 nm 이격되어 있다. HDP 산화물(112)로 충진된 넓은 트렌치(114)가 또한 도 1B에 도시된다. 소량의 유동성 절연체(110a)가 트렌치(114)의 도시된 측벽에 존재하며; 좁은 갭(104) 내 유동성 절연 물질처럼, 해당 측벽의 실리콘 질화물 층 아래 적어도 50 nm 정도 이격되어 있다.
일부 구체 예에서, 유동성 산화물 증착의 수평면(level) 위의 좁은 갭 내 측벽에는 유동성 산화물 증착이 실질적으로 없다; 환언하면, 보텀-업 유동성 산화물 증착에 대한 등각 성분(conformal component)이 실질적으로 없다. 도 1C는 HDP 산화물(112)로 충진된 갭의 나머지 부분과 함께, 유동성 산화물(110)로 부분적으로 충진된 갭의 횡단면을 도시한다. 일부 구체 예에서 유동성 산화물을 증착하기 위해 사용되고 이하에서 더욱 설명되는 모세관 축합 반응 메커니즘은 도시된 바와 같은 오목한 메니스커스을 갖는 보텀-업 흐름을 야기한다. 메니스커스 상부에서, 측벽 상의 증착은 유동성 산화물 증착 사이클 당 약 1 모노층(monolayer) 이하, 즉 약 4 옹스트롱 미만이며; 측벽 상의 전체 증착(사이클 수에 의존함)은 예컨대 20 옹스트롱 미만 또는 10 옹스트롱 미만이다. 이는 유동성 산화물 수평면(예컨대, SiN 층의 적어도 50 nm 아래) 상부의 갭 내 실질적으로 모든 HDP 산화물을 야기한다. 이는 유동성 절연체 측벽 증착의 실질적인 양(예컨대, 100 옹스트롱 또는 그 이상)이 HDP 산화물이 에칭되는 추후 공정 동안 측벽에서의 원치 않는 에칭을 야기할 수도 있기 때문에 유리하다.
다양한 구체 예에 따르면, 본 발명의 방법은 도 1B에 제시된 바와 같이, HDP-CVD 갭 충진 동안 희생층으로서 유동성 산화물 필름을 사용하거나 및/또는 HDP 산화물과 함께 보텀-업 갭 충진을 위하여 유동성 산화물 필름을 사용함으로써 개선된 갭 충진을 제공한다. 다양한 구체 예에 따르면, 미충진 갭에는 갭 내에 HDP 산화물 및 유동성 산화물을 증착하기 위해 사용되는 HDP 산화물 및 유동성 산화물 증착 공정이 제공된다. 다양한 구체 예에 따르면, HDP 산화물이 먼저 증착되고, 후속하여 유동성 산화물이 증착되거나, 또는 그 반대일 수 있다. 일부 구체 예에서, 최종 증착 작업은 HDP-CVD 작업이며 그 결과 충진된 갭의 상단 표면은 HDP 산화물이다. HDP 산화물 및/또는 유동성 산화물을 에칭 백(etch back)하기 위해 여러 증착 작업 이후 하나 이상의 에칭 작업이 수행될 수 있다. 에칭 작업은 비-선택적이거나(HDP 산화물 및 유동성 산화물 물질 둘 모두를 에칭함) 또는 선택적(주로 또는 단지 유동성 산화물 또는 HDP 산화물을 에칭하고 나머지는 실질적으로 에칭 안 된 채로 남겨둠)일 수 있다. 도 1B는 한 가지 공정 설계에 따라 충진된 갭의 예이다. 다양한 구체 예가 공정 설계의 예로서 이하에서 제시된다.
도 2A는 한 구체 예를 나타내는 공정 흐름도인데 여기서 유동성 산화물 필름이 희생 물질로서 사용되어 탑헷 형성을 감소시키는 한편 피처 측벽을 화학 에칭제의 해로운 영향으로부터 보호한다. 공정은 돌출 피처들 및 돌출 피처들 사이의 미충진 갭을 갖는 기판을 제공하는 것으로 시작한다(201). 미충진은 일반적으로 갭을 충진하기 위해 증착될 절연 물질이 미충진 된 것을 의미하며; 전술한 바와 같이, 다양한 라이너 또는 또 다른 층이 갭 내에 존재할 수 있다. 기판이 HDP-CVD 반응기에 제공되며, 이하에서 더욱 상세한 사항을 설명한다. 하나 이상의 HDP-CVD 증착 작업을 그 후 수행하여 갭을 HDP 산화물 절연 물질로 부분적으로 충진한다(203). HDP-CVD 증착 공정 및 파라미터의 더욱 상세한 사항은 이하에서 설명한다. 복수의 증착 공정이 수행되는 경우, 일부 구체 예에서, 이들 복수의 증착 공정 사이에 1회 이상의 삽입된 에칭 작업(intervening etch operation)이 존재할 수 있거나 및/또는 에칭 작업이 1회 이상의 HDP-CVD 증착 작업 이후에 수행되어 예컨대 커스프 물질을 제거할 수 있다. 그렇지만, 일부 구체 예에서, 유동성 산화물 증착 이전에 에칭 작업이 수행되지 않는다. 갭을 부분적으로 충진하는 HDP-CVD 증착 이후에, HDP 산화물은 갭의 바닥, 돌출 피처(탑헷)의 측벽 및 상단에 존재한다. 측벽 증착은 전형적으로 보텀-업 관점으로부터 갭의 좁아짐(narrowing)을 특징으로 하며, 대부분의 증착은 갭의 입구에서 일어난다. 이는 도 2B에서 HDP-CVD 증착 이후 부분적으로 충진된 갭의 횡단면도에서 볼 수 있으며, 도 2B는 본 실시예에 기재된 공정의 여러 단계에서의 피처 및 갭을 도시한다. (220)에서, 증착된 HDP 산화물(112)에 의해 형성된 탑헷(221) 및 커스프(223)가 도시된다. 도 2A로 돌아가서, 그 다음 작업은 유동성 산화물 필름을 증착시켜 갭을 과충진하는 것을 포함한다(205). 즉, 충분한 유동성 산화물 필름을 증착시켜 갭을 충진할 뿐만 아니라 피처를 덮는다. 일부 구체 예에서, HDP 산화물 탑헷은 또한 유동성 산화물 필름에 의에 덮인다. 이는 도 2B에서 (230)으로 도시되는데, 유동성 산화물 필름(110)이 갭을 충진하고 HDP 산화물 탑헷(221)을 덮는다. 유동성 산화물 증착의 상세 사항은 이하에서 더욱 설명한다. 다양한 구체 예에 따르면, 유동성 산화물 증착은 HDP-CVD 증착 챔버에서 수행되거나 또는 별도의 증착 챔버에서 수행될 수 있다. 일부 구체 예에서, 이는 멀티스테이션 챔버의 다른 스테이션에서 일어날 수 있다. 또한 일부 구체 예에서, 다른 공정 모듈이 하나의 메인프레임에 부착된다. 따라서, 구체 예에 따라, HDP-CVD 증착과 유동성 산화물 증착 사이의 전환은 기판을 다른 챔버 또는 공정 모듈로 이동시키는 것을 포함하거나 포함하지 않을 수 있다. 또한 에칭 작업이 이들 작업들 사이에 수행되는 경우, 상기 전환은 별도의 에칭 챔버에게로 이동시키거나 상기 별도의 에칭 챔버로부터 이동시키는 것을 포함할 수 있음에 주목하라. 일단 유동성 산화물이 증착되면, 선택사항인 경화 작업이 수행될 수 있다(207). 전술한 바와 같이, 경화 공정에서, 필름이 치밀화되거나 및/또는 원하는 절연 조성으로 화학적으로 전환될 수 있다. 일부 구체 예에서, 치밀화 및 전환은 별도의 작업에서 수행되거나; 또는 다중 작업이 수행되어, 각각 필름을 치밀화시키거나 및/또는 경화시킬 수 있다. 또 다른 구체 예에서, 갓-증착된 필름(as-deposited film)은 치밀화 없이 화학적으로 전환될 수 있거나, 또는 그 반대일 수 있다. 일부 구체 예에서, 유동성 필름의 경화는 유동성 필름의 에칭 특성을 조정하기 위하여 사용될 수 있다. 그 다음 작업에서, HDP 산화물 및 유동성 산화물의 비-선택적 제거가 수행되며; 사용된 제거 화학 및 공정 그리고 유동성 산화물 및 HDP 산화물의 특성에 의존하여, 경화 공정을 수행하는 것은 이러한 필름들의 에칭 비율을 조화시키는데 유용할 수 있다. 이하에서 더욱 설명하듯이, 여러 경화 공정이 수행될 수 있다. 이러한 공정은 필름을 경화시킬 수 있고 특정 경우에서 상기 필름을 완전하게 고형화시킬 수 있다. 일부 구체 예에서, 후속 공정에서 에칭될 상단 영역만이 경화된다. 이러한 방식으로 서로 다른 에칭 특성이 유동성 산화물 필름의 상단 영역(비-선택적으로 제거될 것임) 및 상기 필름의 바닥 부분(선택적으로 제거될 것임)에 부여될 수 있다. 부분적으로 경화된 필름을 도 2B의 (240)에 도시하며 여기서 갭 위쪽인 유동성 산화물 필름(110)의 영역(110b)이 경화된다. 영역(110b)은 치밀화되거나 및/또는 실리콘 산화물(또는 또 다른 바람직한 절연체)로 화학적으로 전환될 수 있다. 일부 구체 예에서, 갭 내에 잔존하는 영역(110c)은 영역(110b)에 비하여 더 낮은 밀도이나, 여전히 SiO 네트워크로 화학적으로 전환된다. 또 다른 구체 예에서, 영역(110c)은 영역(110c)과 조성적으로 서로 다르다. 일부 구체 예에서, 원하는 필름을 제거하기에 적합한 에칭 화학 또는 조건에서, 경화 작업이 수행되지 않는다. 지시한 바와 같이, HDP 산화물 및 유동성 산화물의 비-선택적 제거가 수행된다(209). 에칭은 돌출 피처 및 갭 개구 상부에서 멈추지만, 탑헷 증착의 적어도 일부분, 일부 구체 예에서, 탑헷 증착의 대부분을 제거한다. 이는 도 2B의 (250)에 도시되며, 이는 피처 및 갭 개구 상부의 HDP 산화물(112)의 박막만을 나타낸다. 잔류하는 유동성 산화물 필름은 그 후 선택사항으로서 경화되어, 예컨대 그 에칭 특성을 변화시킬 수 있다(211). 상기 잔류하는 유동성 산화물은 그 후 선택적으로 제거되는데, 즉 HDP 산화물 (213)의 상당량을 제거하지 않으면서 제거된다. 이는 도 2B의 (260)에 도시되며, 단지 HDP 산화물(112)이 잔존한다. 이는 작업(209)에서 수행된 것과 동일하거나 또는 다른 제거 공정을 사용하여 수행될 수 있는데, 예를 들면 플라즈마 에칭 이외에 습식 에칭이 사용될 수 있다. 작업(203-213)을 반복하여 추가로 갭을 HDP 산화물로 부분적으로 충진하고, 갭을 유동성 산화물 필름의 희생층으로 과충진하고, HDP 산화물 및 유동성 산화물을 피처 및 갭 상부로부터 제거하고, 그리고 유동성 산화물을 갭으로부터 선택적으로 제거한다(215). 이들 작업이 반복되지 않거나, 또는 1회 이상의 이러한 반복 이후에, 1회 이상의 추가 HDP-CVD 증착을 수행하여 갭을 HDP 산화물로 완전하게 충진한다(217). 복수의 증착이 수행되는 일부 구체 예에서, 에칭 작업을 삽입하는 것이 수행될 수 있다. 그 대신에, 갭 충진은 추가 에칭 작업 없이 완료될 수 있다. 결과적인 갭은 HDP 산화물로 충진되며, 유동성 산화물이 실질적으로 없다. 또 다른 구체 예에서, 소량의 유동성 산화물 필름이 잔류하여, 예컨대 갭의 10 중량% 미만으로 충진한다. 도 2B의 (270)에 도시된 바와 같이, 소량의 탑헷 HDP 산화물 증착이 존재할 수 있으나, 이전의 1회 이상의 에칭 작업(209)으로 인하여, 탑헷은 다른 방식으로 존재하는 경우보다 상당히 더 적다. 더욱이, 피처는 이러한 에칭 작업 동안 보호된다. 일부 구체 예에서, 수행되는 유일한 에칭 작업은 작업(209 및 213)에 제시된 것들이다. 갭 충진을 완료한 이후, 갭 및 피처의 상단을 예컨대 화학-기계적 평탄화(chemical-mechanical planarization, CMP) 공정에서 평탄화시킬 수 있다(219).
도 3A는 갭 충진 방법의 일부 작업을 나타내는 공정 흐름도이며, 여기서 유동성 산화물이 보텀-업 충진을 증가시키고, 유동성 산화물은 HDP 산화물에 의해 캡슐화되고, 이에 따라 유동성 산화물은 갭의 측벽 및 바닥에 닿지 않으며, 표면에서 노출되지 않는다. 공정은 돌출 피처들 및 돌출 피처들 사이의 미충진 갭을 갖는 기판을 HDP-CVD 반응기에 제공하는 것(301)으로부터 시작한다. 1회 이상의 HDP-CVD 증착 작업이 그 후 수행되어 갭을 HDP 산화물 절연 물질로 부분적으로 충진한다(303). 앞서 도 2A와 관련하여 설명한 공정과 같이, 복수의 증착 작업이 수행되는 경우, 일부 구체 예에서, 이들 복수의 증착 작업 사이에 1회 이상의 삽입된 에칭 작업(intervening etch operation)이 존재할 수 있거나 및/또는 에칭 작업이 1회 이상의 HDP-CVD 증착 작업 이후에 수행되어 예컨대 커스프 물질을 제거할 수 있다. 그렇지만, 일부 구체 예에서, 유동성 산화물 증착 이전에 에칭 작업이 수행되지 않는다. 그 이후 작업은 유동성 산화물 필름을 증착시켜 갭을 더욱 충진하는 것을 포함한다(305). 유동성 산화물 필름의 증착 이후에, 갭은 여전히 부분적으로 충진된 상태인데, 일부 구체 예에서, 즉, 유동성 산화물은 인접하는 피처의 상단 표면 아래 지점에 증착된다. 이는 도 3B에 도시되며, 도 3A에 도시된 바와 같이 공정의 여러 단계에서의 피처 및 갭을 도시한다. 여기에 기재된 모든 실시예에서, 유동성 산화물 증착은 다양한 구체 예에 따라 HDP-CVD 증착 챔버에서 일어나거나 또는 별도의 증착 챔버에서 일어날 수 있다. 유동성 산화물 필름은 그 후, 선택사항으로, 경화된다(307). 전술하고 이하에서 더욱 상세하게 설명하듯이, 경화 공정은 필름의 전부 또는 일부를 Si-O 네트워크로 전환할 수 있다. 필름은 경화 공정에 의해 전부 또는 일부가 고형화될 수 있다. 일부 구체 예에서, 필름은 후속하는 HDP 산화물 증착 이전에 미경화 상태이다. 1회 이상의 추가 HDP-CVD 증착이 수행되어 갭을 HDP 산화물로 완전하게 충진시킨다(309). 일부 경우에 있어서, HDP-CVD 공정은 유동성 산화물 필름을 치밀화시키고 이를 전부 또는 일부 고형화시킬 수 있다. 결과적인 갭은 HDP 산화물 및 유동성 산화물로 충진된다. 일부 구체 예에서, HDP 산화물은 유동성 산화물을 캡슐화시켜 이에 따라 유동성 산화물이 갭의 측벽 및 바닥과 접촉하지 않으며, 충진된 갭의 표면에 노출되지 않는다. 대안적인 구체 예에서, HDP 산화물은 유동성 산화물을 부분적으로 캡슐화시켜, 예컨대 단지 갭의 측벽과 접촉한다. 완전한 갭 충진 이후, 갭 및 피처의 상단은 예컨대 화학-기계적 평탄화 (CMP) 공정에서 평탄화될 수 있다(319).
도 3B는 도 3A에 도시된 바와 같이 좁은 트렌치, 뿐만 아니라 넓은 트렌치에서의 증착의 횡단면 개략도를 도시한다. (320)에서, 탑헷(221) 및 커스프(223) 증착뿐만 아니라 보텀-업 충진를 비롯하여, 좁은 갭(104)이 HDP 산화물(112)로 부분적으로 충진된다. (330)에서, 인접한 피처의 상단 표면 아래 수평면에 대한 유동성 산화물 증착(110)이 도시된다. (340)에서, 후속 HDP 증착(112)이 도시된다. (350)에서, 도 3B는 또한 넓은 트렌치(114)에서의 증착을 나타낸다. 큰 AR 갭이 도 3A에 도시된 방법에 의해 트렌치(114)에 인접하여 충전되는 일부 구체 예에서, 작업(305) 동안 트렌치 내에 증착된 유동성 산화물의 두께는 매우 작으며 무시할 만하다. 따라서, 도 3B에 도시된 바와 같이, 트렌치는 HDP 산화물로 실질적으로 완전하게 충진되며, 그 내에 증착된 유동성 산화물의 상당량은 없다.
도 4A는 유동성 산화물 필름이 보텀-업 충진을 증가시키는 또 다른 구체 예를 나타내는 공정 흐름도이다. 공정은 돌출 피처들 및 돌출 피처들 사이의 미충진 갭을 갖는 기판을 HDP-CVD 반응기에 제공함으로써 시작한다(401). 1회 이상의 HDP-CVD 증착 작업을 그 후 수행하여 도 2A 및 3A에 설명한 방법에서와 같이 갭을 HDP 산화물 절연 물질로 부분적으로 충진한다(403). 그 다음 작업은 유동성 산화물 필름을 증착시켜 갭을 과충진하는 것을 포함하며(405), 이는 또한 도 2A와 관련하여 앞서 설명한 바와 같다. 일단 유동성 산화물이 증착되면, 선택사항으로서 경화 작업이 수행될 수 있으며(407), 이는 증착된 유동성 산화물의 에칭 특성을 조정하기 위해 사용될 수 있다. 일부 구체 예에서, 유동성 산화물 필름은 갓-증착된 HDP 산화물 및 갓-증착된 유동성 산화물 필름의 에칭 특성의 차이를 이용하기 위하여 미경화된 상태로 잔류된다. 유동성 산화물 필름의 일부를 그 후 선택적으로 제거하고, 에칭백을 갭 개구 아래 지점에서 중지시키고, 갭을 HDP 산화물 및 유동성 산화물로 부분적으로 충진된 채로 남겨둔다(409). 일부 구체 예에서, HDP 산화물 및 유동성 산화물의 비-선택적 에칭이 선택적 제거 이전에 수행되며, 비-선택적 에치백을 돌출 피처 및 갭 개구 상부에서 중지하고, 탑헷 HDP 산화물 증착의 적어도 일부분을 제거한다. 선택적 제거 이후에, 잔류하는 유동성 산화물 필름의 전부 또는 일부를, 선택사항으로서, 경화시킨다(411). 다른 구체 예와 유사하게, 전술한 작업의 전부 또는 일부의 복수의 순환이 일부 구체 예에서 수행될 수 있다. 1회 이상의 추가 HDP-CVD 증착이 수행되어 갭을 HDP 산화물로 완전하게 충진한다(413). 복수의 증착이 수행되는 일부 구체 예에서, 삽입된 에칭 작업이 수행될 수 있다. 결과적인 갭은 HDP 산화물 및 유동성 산화물로 충진된다. 갭 충진을 완료한 이후, 갭 및 피처의 상단은 예컨대 화학-기계적 평탄화 (CMP) 공정에서 평탄화된다(415).
도 4B는 도 4A에 설명된 공정의 여러 단계에서의 피처 및 갭을 도시한다. (420)에서, 탑헷(221) 및 커스프(223) 증착 뿐만 아니라 보텀-업 충진을 비롯하여, 좁은 갭(104)이 HDP 산화물(112)로 부분적으로 충진되어 도시된다. (430)에서, 유동성 물질(110)이 갭을 과충진하고 (440)에서 에치 백 유동성 필름이 도시된다. HDP 산화물(112)과 유동성 산화물(110)의 조합에 의해 좁은 갭의 완전한 충진이 (450)에 도시된다. 넓은 갭 내 증착이 (460)에 도시된다.
일부 구체 예에서, 본 공정 설계는 도 3A 및 3B에 도시된 공정 설계보다 갭 전반의 더욱 균일한 유동성 산화물 높이를 제공한다. 또한, 일부 구체 예에서, 도 3A 및 3B에 도시된 공정 설계와 비교하여, 본 설계에서 증착된 전체 HDP 두께가 더 적다. 그 결과, CMP 공정이 더욱 용이하다. 도 4B에 도시된 바와 같이, 유동성 산화물 증착은 더 작은 갭에서 더 두껍고 더 넓은 갭에서 더 얇다. 적어도 큰 AR 갭이 과충진됨에 따라, 넓은 갭 내 증착된 유동성 산화물의 양은 도 3B에 도시된 것보다 더 많다. 일부 구체 예에서, HDP 산화물은 유동성 산화물을 캡슐화하고 이에 따라 유동성 산화물은 갭의 측벽 및 바닥과 접촉하지 않으며, 충진된 갭의 표면에 노출되지 않는다. 대안적인 구체 예에서, HDP 산화물은 유동성 산화물을 부분적으로 캡슐화시켜, 예를 들면 단지 갭의 측벽에 접촉한다.
도 5A는 유동성 산화물 필름이 보텀-업 충진을 위해 사용되고 HDP 산화물이 캡 층으로서 사용되어 충진을 완료하는 구체 예를 나타내는 공정 흐름도이다. 공정은 돌출 피처들 및 돌출 피처들 사이의 미충진 갭을 갖는 기판을 반응기에 제공함으로써 시작한다(501). 다른 실시예와 달리, HDP 산화물이 초기에 미충진 갭을 부분적으로 충진하기 위해 사용되지 않는다. 오히려, 1회 이상의 유동성 산화물 증착이 수행되어 갭을 유동성 산화물 절연 물질로 부분적으로 충진한다(503). 복수의 증착 작업이 수행되는 경우, 일부 구체 예에서, 이들 복수의 증착 작업 사이에 1회 이상의 삽입된 경화 작업(intervening cure operation)이 존재할 수 있다. 본 명세서에 기재된 모든 실시예와 같이, 유동성 산화물 증착은 다양한 구체 예에 따라 HDP-CVD 증착 챔버 내에서 일어날 수 있거나 또는 별도의 증착 챔버 내에서 일어날 수 있다. 유동성 산화물 필름을 그 후 경화시킨다(505). 필름은 경화 공정에 의해 전부 또는 부분적으로 고형화될 수 있다. 일부 구체 예에서, 필름은 후속하는 HDP 산화물 증착 이전에 미경화 상태이다. 1회 이상의 추가 HDP-CVD 증착이 수행되어 갭을 HDP 산화물로 완전하게 충진한다(507). 일부 경우에 있어서, HDP-CVD 공정은 유동성 산화물 필름을 치밀화시킬 수 있으며 이를 전부 또는 부분적으로 고형화시킬 수 있다. 결과적인 캡은 HDP 산화물 및 유동성 산화물로 충진되고, 단지 HDP 산화물만이 표면에서 노출된다. 갭 충진을 완료한 이후, 갭 및 피처의 상단을 예컨대 화학-기계적 평탄화(CMP) 공정에서 평탄화시킬 수 있다(509). 도 5B는 본 실시예에 기재된 공정의 여러 단계에서의 피처 및 갭을 도시한다. (520)에서, 유동성 산화물 증착(110)이 갭(104) 내에 도시된다. (530)에서, 유동성 산화물 증착을 덮는 HDP 산화물 증착(112)이 도시된다. (540)에서, 넓은 갭 내 HDP 증착(112)이 도시된다. 도 3B에 도시된 공정과 같이, 유동성 산화물의 과충진 작업이 없기 때문에, 넓은 갭(트렌치) 내 유동성 산화물 두께는 훨씬 더 작고 일부 구체 예에서 무시할 수 있다.
일부 구체 예에서, 유동성 절연 물질이 인커밍 미충진 갭 내에 증착되어 후속하는 HDP 산화물에 의한 충진을 위하여 갭의 종횡비를 감소시킨다. 도 6A는 유동성 산화물(110)로 부분적으로 충진된 여러 종횡비의 갭의 횡단면을 개략적으로 도시한다. 종횡비를 트렌치 또는 또 다른 갭의 깊이를 그 개구의 넓이로 나눈 값으로 정의된다. 도 6A에 정성적으로 도시된 바와 같이, 종횡비는 유동성 산화물에 의한 보텀-업 충진 이후 감소한다. 또한 도 6A은 여러 임계 치수에 대하여 유동성 산화물에 의한 부분적인 충진 이전 및 이후의 종횡비를 나타내는 도표이다. 임계 치수(Critical dimension)는 갭 개구의 가장 좁은 치수를 의미한다. 14:1 만큼 큰 갭 종횡비가 약 4:1로 감소하였다. HDP 증착은 종횡비가 감소함에 따라 극적으로 개선된다. 다양한 구체 예에 따르면, 본 명세서에 기재된 방법은 60:1, 예컨대, 약 30:1, 약 20:1 또는 약 10:1만큼 큰 종횡비를 갖는 갭을 충진하기 위하여 사용될 수 있다. 임계 치수는 10 nm, 15 nm 또는 22 nm만큼 작을 수 있다. 일부 구체 예에서, 유동성 산화물은 부분적으로 충진된 피처의 종횡비가 HDP 증착 이전에 약 6:1 또는 그 미만이 되는 높이로 증착된다. 도 6B는 HDP 이전 또는 이후의 종횡비를 제공하는 또 다른 그래프이다(흰색 사각형은 사전-유동성을 나타내고, 검은색 다이아몬드는 사후 유동성을 나타냄). 일부 구체 예에서, 서로 다른 인커밍 AR의 갭은 증착 공정으로 부분적으로 충진되며, 유동성 산화물의 높이는 가장 좁은 피처에 대하여 가장 크다.
HDP
-
CVD
공정
전술한 바와 같이, 본 명세서에 기재된 구체 예에 따르는 갭 충진 방법은 하나 이상의 작업을 포함하며 여기서 갭은 고밀도 플라즈마 (HDP) 화학 기상 증착 (CVD) 공정에 의해 증착된 절연체(HDP 산화물)로 부분적으로 충진된다. 일반적으로, 고밀도 플라즈마는 최소 약 5 x 1010 전자/입방센티미터의 전자 밀도를 갖는 임의 플라즈마이다. 전형적으로, 필수적인 것은 아니지만, 고밀도 플라즈마 반응기는 100 mTorr 또는 그 미만의 비교적 저압에서 작동한다. HDP CVD 증착은 보텀-업으로부터 갭의 유익한 충진을 야기한다.
임의 적절한 증착 화학이 사용될 수 있다. 일반적으로, HDP CVD 공정 기체는 증착 층에 대한 전구체를 포함할 것이다. 절연체가 실리콘-함유 절연체인 경우, 공정 기체는 실란과 같은 실리콘-포함 화합물을 포함할 것이다. 공정 기체는 또한 일반적으로 운송 기체(carrier gas)를 포함할 것이다. 운송 기체는 불활성 기체, 예컨대 He 및/또는 또 다른 희가스(noble gas)일 수 있다. 또는 운송 기체는 원소 또는 분자 수소일 수 있거나 이를 포함할 수 있다. 실리콘 산화물 또는 또 다른 절연 물질을 형성하기 위한 산소가 실리콘-함유 전구체 자체에 의해 또는 또 다른 공정 기체 예컨대 원소 산소(O2), 일산화질소(NO), 및/또는 아산화질소(N2O)로부터 제공될 수 있다.
증착 공정 기체는 표준 입방센티미터/분(standard cubic centimeter per minute, sccm) 단위로, 구성 기체의 유량(flow rate)으로 표현되는 특정한 조성을 가질 것이다. 공정 기체는 증착 층에 대한 전규체를 포함할 것이다. 절연체가 실리콘-함유 절연체인 경우, 공정 기체는 실리콘-포함 화합물 예컨대 SiH4, SiF4, Si2H6, TEOS (테트라에틸 오르토실리케이트), TMCTS (테트라메틸-사이클로테트라실록산), OMCTS (옥타메틸-사이클로테트라실록산), 메틸-실란, 디메틸-실란, 3MS (트리메틸실란), 4MS (테트라메틸실란), TMDSO (테트라메틸-디실록산), TMDDSO (테트라메틸-디에톡실-디실록산), DMDMS (디메틸-디메톡실-실란) 및 이들의 조합을 포함할 것이다. 증착 동안, 공정은 실리콘-함유 반응물을 분해시켜 실리콘-함유 기체 및 플라즈마 상 화학종을 형성하고, 이는 기판의 표면에서 반응할 수 있다.
공정 기체는 또한 일반적으로 운송 기체를 포함할 것이다. 운송 기체는 불활성 기체, 예컨대 He 및/또는 희가스, 예를 들어 Ar일 수 있다. 또는 운송 기체는 원소 또는 분자 수소이거나 이를 포함할 수 있다.
본 발명의 공정 기체에 대한 예시적인 유량 범위가 아래에 제시된다.
기체 | 유량 (sccm) |
SiH4 | 10-300 |
O2 | 20-1000 |
He | 0-500 |
H2 | 0-5000 |
Ar | 0-500 |
일반적으로, 또 다른 산소 및 실리콘-함유 화합물이 상기 표에 나열된 것들을 대체할 수 있다. 전구체 기체 내 원자 수(atom count)에 따라서, 유량 범위는 변화되어야 할 수도 있다. 분자 구조의 함수로서 유량을 수정하기 위한 정확한 규칙은 없지만, 일반적으로 실리콘-함유 전구체의 유량은 분자 내 실리콘 원자의 수에 대응하는 인자(factor)에 의해 감소될 것이다. HDP-CVD 공정 기체는 유일한 운송 기체로서, 또는 수소와의 혼합으로서, 희가스(예컨대, 아르곤, 헬륨, 또는 제논)를 함유할 수 있다.
도핑된 절연체(특히 이산화실리콘계 절연체)에 대하여, 공정 기체는 도펀트 전구체 예컨대 붕소-함유 기체, 인-함유 기체, 탄소-함유 기체, 또는 이들의 조합을 포함할 수 있다. 특정 구체 예에서, 기체는 1종 이상의 붕소-함유 반응물 및 1종 이상의 인-함유 반응물을 포함하며 절연 필름은 인- 및 붕소-도핑된 실리콘 산화물 글래스(BPSG)를 포함한다. 적절한 붕소 및 인 전구체 기체의 예에는 B2H6 및 PH3가 포함된다.
절연체가 산화불화물(예컨대, 실리콘 산화불화물)을 포함하는 경우, 공정 기체는 바람직하게는 불소-함유 반응물 예컨대 실리콘 헥사플루오라이드(SiF4)를 포함한다. 절연체가 산화질화물(예컨대, 실리콘 산화질화물)을 포함하는 경우, 공정 기체는 바람직하게는 질소-함유 반응물 예컨대 N2, NH3, NF3, NO, N2O, 및 이들의 조합을 포함한다.
방법은 또한 유기실란 (예컨대, TEOS (테트라에틸 오르토실리케이트), TMCTS (테트라메틸-사이클로테트라실록산), OMCTS (옥타메틸-사이클로테트라실록산), 메틸-실란, 디메틸-실란, 3MS (트리메틸실란), 4MS (테트라메틸실란), TMDSO (테트라메틸-디실록산), TMDDSO (테트라메틸-디에톡실-디실록산), DMDMS (디메틸-디메톡실-실란) 및 이들의 조합)을 포함하는 공정 기체 혼합물로부터 탄소-도핑된 실리콘 산화물의 증착(바이어스된 또는 비-바이어스된)에 적용된다.
반응기 압력은 고-밀도 플라즈마를 유지하기 위하여 필요한 값으로 유지된다. 일부 구체 예에서 공정 용기는 최대 약 100 mTorr의 압력에서 유지된다. 일부 경우, 공정 챔버 압력은 1 mTorr 미만으로 유지된다. 그렇지만, 많은 응용분야에서, 압력은 약 1 내지 100 mTorr; 가장 바람직하게는 약 1 내지 30 mTorr로 유지된다.
공정 용기 내 온도는 절연체 증착 반응이 효율적으로 진행하는 것을 보장하기에 충분히 높게 유지되어야 한다. 따라서, 온도는 바람직하게는 약 30 내지 1000℃의 값에서 유지된다. 이러한 온도는 반응에 사용되는 전구체의 종류에 따라 변할 것이다. 추가로, 온도는 공정 제한, 예컨대 약 700-750℃ 초과의 온도를 배제하는 열 처리 한계에 의해 제한될 수 있다. 이러한 제한은 개량된 기술 및 대응하는 더 작은 피처 크기에 있어서 더욱 통상적인 것이 된다. 이러한 응용분야에서, 공정 온도는 약 30 내지 750℃로 유지될 수 있다.
지적한 바와 같이, 기판 온도를 제어하기 위하여, 반응기는 기판의 표면과 필름 증착 동안 기판이 지지되는 기판 홀더의 표면 사이에 열 전달 기체를 제공할 수 있다. 열 전달 기체는 헬륨 및 아르곤 중 최소 하나를 포함할 수 있다. 후면 헬륨 압력은 공정의 온도 조건에 의해 설정된다(전형적으로 0-15 Torr의 범위).
일부 응용분야에서, 웨이퍼를 미리-특정된 비교적 저온으로 사전가열하고 그 후 온도를 점진적으로 증가시키는 것이 바람직할 수 있다. 이는 등온 작업(isothermal operation)을 가능하게 한다. 목적은 증착을 시작하고 그 후 웨이퍼 온도를 전체 증착 공정 동안 좁은 범위 내에서 유지하는 것이다.
기판 크기(예컨대 200 또는 300 mm 지름) 및 사용되는 특정 공정의 조건에 따라, (플라즈마를 생성하기 위하여) 상부 전극(upper electrode)에 인가된 저주파수 전력은 전형적으로 1 kW 내지 20 kW에서 변하며, (웨이퍼를 바이어스 하기 위한) 고주파수 전력은 전형적으로 최소 약 0.2 W/cm2 (바람직하게는 약 0.5 kW 내지 10 kW에서 변화함)에 도달한다.
앞서 지적한 바와 같이, 기판에 인가된 바이어스는 전형적으로 RF(radio frequenc) 바이어스이다. RF 바이어스를 기판을 인가하는 것은 RF 바이어스를 기판에 공급하는 전극을 갖는 기판 홀더 상부에 기판을 지지하는 것을 포함한다. 많은 구체 예에서, 기판에 인가된 RF 바이어스는 약 100 kHz 내지 27 MHz 범위의 주파수이다. 상부의 플라즈마-생성 전극에 인가된 주파수 범위는 전형적으로 약 300 kHz 내지 27 MHz이다.
증착 조건은 증착 토포그래피(topography)를 최적화하기 위해 선택될 수 있다. 증착 토포그래피는 증착 공정의 S/D 비율을 조절함으로써 최적화될 수 있다. S/D 비율은 스퍼터/증착(sputter/deposition) 비율을 의미한다. 이는 주어진 절연체 증착 공정에 대한 증착 비율(deposition rate)을 측정하고 그 후 실리콘 함유 전구체(예컨대, 실란) 없이 수행된 동일 공정에 대한 스퍼터 비율(sputter rate)을 측정하여 회득된다. S/D 비율은 다음 표현으로 주어진다;
S/D = 스퍼터 비율/(스퍼터 비율 + 증착 비율).
조건은, 갭을 라이닝하는 피처 물질, 예컨대 실리콘 질화물 장벽 층에 대하여, HDP CVD 증착된 절연체(예컨대 SiO2)에 대하여 선택성이 되도록 설정된다.
HDP 증착 작업은 단지 단일 증착, 또는 단일 증착-에칭 작업을 포함할 수 있거나, 또는 복수의 증착-에칭 순환을 포함할 수 있다. 예컨대, 복수의 SiH4/O2 증착 공정은 삽입된 NF3 플라즈마 에칭 작업을 포함할 수 있다.
전술한 것이 예시적인 HDP-CVD 공정 및 조건의 설명을 제공하지만, 본 명세서에 기재된 방법은 이러한 특정한 HDP-CVD 공정에 제한되지 않으며 또 다른 HDP-CVD 공정에 적용될 수 있다.
유동성 산화물 증착 공정
전술한 바와 같이, 본 명세서에 기재된 구체 예에 따르는 갭 충진 방법은 갭이 절연체 유동성 산화물 필름으로 부분적으로 충진 또는 과충진되는 하나 이상의 작업을 포함한다. 많은 구체 예에서, 유동성 절연 필름은 유동성 실리콘 및 산소-함유 필름이며, 본 명세서에 기재된 집적화 설계는 또 다른 유동성 절연 필름으로 실현될 수 있다. 다양한 구체 예에 따르면, 유동성 필름은 스핀-온 글래스 기술(spin-on glass technique)에 의해 형성된다. 대안적인 구체 예에서, 유동성 필름은 기상(vapor phase) 반응물을 조건하에서 증착 챔버 내에 도입시킴으로써 g여성되며 이에 따라 유동성 필름이 기판상에 형성되어 갭을 충진한다.
기판을 반응 챔버에 제공한 이후, 공정 기체를 도입한다. 실리콘 산화물을 형성하기 위하여, 공정 기체 반응물은 일반적으로 실리콘-함유 화합물 및 산화제를 포함하며, 또한 촉매, 용매 및 또 다른 첨가제를 포함할 수 있다. 기체는 또한 1종 이상의 도펀트 전구체, 예컨대 불소, 인 및/또는 붕소-함유 기체를 포함할 수 있다. 가끔, 필수적인 것은 아니지만, 불활성 운송 기체가 존재한다. 일부 구체 예에서, 기체는 액체 주입 시스템을 사용하여 도입된다. 일부 구체 예에서, 실리콘-함유 화합물 및 산화제는 별도의 유입구를 통하여 도입되거나 또는 혼합 용기 및/또는 샤워헤드 내 반응기로 도입되기 직전에 혼합된다. 촉매 및/또는 선택사항인 도펀트가 반응물 중 하나에 혼입되어 반응물 중 하나와 사전-혼합될 수 있거나 또는 별도의 반응물로서 도입될 수 있다. 그 후 작업에서 기판을 공정 기체에 노출시킨다. 반응기의 조건은 실리콘-함유 화합물과 산화제가 반응하여 기판상에 응축된 유동성 필름을 형성하도록 하는 조건이다. 필름의 형성은 촉매의 존재에 의해 도움을 받을 수 있다. 방법은 특정 반응 메커니즘에 제한되지 않으며, 예컨대 반응 메커니즘은 축합반응, 응축하는 기상 생성물을 생성하는 기상 반응, 반응 이전에 1종 이상의 반응물의 축합, 또는 이들의 조합을 포함할 수 있다. 유동성 필름을 원하는 바와 같이 갭의 적어도 일부를 충진하거나 또는 갭을 과충진하기에 충분한 기간 동안 기판을 공정 기체에 노출시킨다.
일부 구체 예에서, 전체 증착 공정을 두 단계, 즉 가수분해 및 축합의 문맥에서 설명한다. 첫 번째 단계는 산화제에 의한 실리콘-함유 전구체의 가수분해를 포함한다. 예를 들면, 실리콘 함유 전구체의 알콕시 그룹(-OR)은 하이드록실 그룹(-OH)으로 대체될 수 있다. 축합 단계에서, -OH 그룹이 Si로부터 제거될 때 Si-O-Si 결합이 생성될 수 있다. 이러한 반응 단계가 본 발명의 여러 양상을 설명하는 유용한 개요를 제공하지만, 본 명세서에 기재된 방법은 특정 반응 메커니즘에 필수적으로 제한되는 것이 아님을 주목해야 한다.
도 7은 일부 구체 예에 따라 유동성 산화물 물질로 갭을 충진하는 방법에서의 일부 작업을 제공한다. 공정은 전형적으로 어떠한 산화물 물질도 갭 내에 증착되기 이전에 시작하며, 갭은 측벽 및 바닥 표면에 의해 정의된다. 측벽 및 바닥 표면은 실리콘 질화물, 실리콘 산화물, 실리콘 산화질화물, 또는 또 다른 실리콘-함유 물질일 수 있다.
(701)에서, 선택사항인 전처리 작업이 수행된다. 다양한 구체 예에 따르면, 전처리 작업은 산소, 질소, 헬륨 또는 이들의 일부 조합을 포함하는 플라즈마에 대한 노출을 포함한다. 플라즈마는 예를 들어 Astron® 원격 플라즈마 공급원, 유도성-결합(inductively-coupled) 플라즈마 발생기 또는 용량성-결합(capacitively-coupled) 플라즈마 발생기와 같은 원격 플라즈마 발생기에 의해 하류 또는 제자리에서 발생될 수 있다. 전처리 기체의 예에는 O2, O3, H2O, NO, NO2, N2O, H2, N2, He, Ar, 및 이들의 조합이 단독으로 또는 또 다른 화합물과 결합되어 포함된다. 화학물질의 예에는 O2, O2/N2, O2/He, O2/Ar, O2/H2가 포함된다. 특정 공정 조건은 실시에 의존하여 변할 수 있다. 대안적인 구체 예에서, 전처리 작업은 기판을 O2, O2/N2, O2/He, O2/Ar 또는 또 다른 전처리 화학물질에, 비-플라즈마 환경에서 노출시키는 것을 포함한다. 이러한 구체 예에서, 기판은 열 에너지 공급원, 자외선 공급원, 마이크로웨이브 공급원 등을 비롯하여 또 다른 에너지 공급원로부터 유래한 에너지의 존재 하에서 전처리 화학물질에 노출될 수 있다. 일부 구체 예에서, 전술한 또 다른 전처리 작업에서, 기판이 촉매에 대한 노출에 의해 전처리된다. 전처리 작업은, 수행되는 경우, 층착 챔버 내에서 일어나거나 또는 기판을 증착 챔버 내로 이동시키기 이전에 또 다른 챔버 내에서 일어날 수 있다. 일단 증착 챔버 내이고, 선택사항인 전처리 작업 이후에는, 공정 기체가 도입된다.
증착 화학물질
실리콘 산화물을 형성하기 위하여, 공정 기체 반응물은 일반적으로 실리콘-함유 화합물 및 산화제를 포함하고, 또한 촉매, 용매 및 또 다른 첨가제를 포함할 수 있다. 기체는 또한 1종 이상의 도펀트 전구체, 예컨대 불소, 인 및/또는 붕소-함유 기체를 포함할 수 있다. 가끔, 필수적인 것은 아니지만, 불활성 운송 기체가 존재한다. 일부 구체 예에서, 기체는 액체 주입 시스템을 사용하여 도입된다. 일부 구체 예에서, 실리콘-함유 화합물 및 산화제는 별도의 유입구를 통하여 도입되거나 또는 혼합 용기 및/또는 샤워헤드 내 반응기로 도입되기 직전에 혼합된다. 촉매 및/또는 선택사항인 도펀트가 반응물 중 하나에 혼입되어 반응물 중 하나와 사전-혼합될 수 있거나 또는 별도의 반응물로서 도입될 수 있다. 그 후 작업(703)에서 기판을 공정 기체에 노출시킨다. 반응기의 조건은 실리콘-함유 화합물과 산화제가 반응하여 기판상에 응축된 유동성 필름을 형성하도록 하는 조건이다. 필름의 형성은 촉매의 존재에 의해 도움을 받을 수 있다. 방법은 특정 반응 메커니즘에 제한되지 않으며, 예컨대 반응 메커니즘은 축합반응, 응축하는 기상 생성물을 생성하는 기상 반응, 반응 이전에 1종 이상의 반응물의 축합, 또는 이들의 조합을 포함할 수 있다. 유동성 필름을 원하는 바와 같이 갭의 적어도 일부를 충진하거나 또는 갭을 과충진하기에 충분한 기간 동안 기판을 공정 기체에 노출시킨다.
일부 구체 예에서, 실리콘-함유 전구체는 알콕시실란이다. 사용될 수 있는 알콕시실란은 비제한적으로 다음을 포함할 수 있다:
Hx-Si-(OR)y, 여기서 x = 0-3, x+y = 4 그리고 R은 치환 또는 비치환 알킬 그룹;
R'x-Si-(OR)y, 여기서 x = 0-3, x+y = 4, R은 치환 또는 비치환 알킬 그룹 그리고 R'는 치환 또는 비치환 알킬, 알콕시 또는 알콕시알칸 그룹; 및
Hx(RO)y-Si-Si-(OR)yHx, 여기서 x = 0-2, x+y = 0-2 그리고 R은 치환 또는 비치환 알킬 그룹.
실리콘 함유 전구체의 예에는 비제한적으로 알콕시실란, 예컨대, 테트라옥시메틸사이클로테트라실록산 (TOMCTS), 옥타메틸사이클로테트라실록산 (OMCTS), 테트라에톡시실란 (TEOS), 트리에톡시실란 (TES), 트리메톡시실란 (TriMOS), 메틸트리에톡시오르토실리케이트 (MTEOS), 테트라메틸오르토실리케이트 (TMOS), 메틸트리메톡시실란 (MTMOS), 디메틸디메톡시실란 (DMDMOS), 디에톡시실란 (DES), 디메톡시실란 (DMOS), 트리페닐에톡시실란, 1-(트리에톡시실일)-2-(디에톡시메틸실일)에탄, 트리-t-부톡실실란올, 헥사메톡시디실란 (HMODS), 헥사에톡시디실란 (HEODS), 테트라이소시아네이트실란 (TICS), 및 비스-tert-부틸아미노 실란 (BTBAS)이 포함된다. 실리콘 함유 전구체의 또 다른 예는 실란(SiH4) 및 알킬실란, 예컨대 메틸실란, 및 에틸실란을 포함한다.
일부 구체 예에서, 탄소-도핑된 전구체가 또 다른 전구체(예컨대 도펀트로서)에 부가하여 또는 단독으로 사용된다. 탄소-도핑된 전구체는 최소 하나의 Si-C 결합을 포함한다. 사용되는 탄소-도핑된 전구체는 비제한적으로 다음을 포함한다:
R'x-Si-Ry 여기서 x = 0-3, x+y = 4, R은 치환 또는 비치환 알킬 그룹 그리고 R'은 치환 또는 비치환 알킬, 알콕시 또는 알콕시알칸 그룹; 및
SiHxR'y-Rz 여기서 x = 1-3, y = 0-2, x+y+z = 4, R은 치환 또는 비치환 알킬 그룹 그리고 R'는 치환 또는 비치환 알킬, 알콕시 또는 알콕시알칸 그룹.
탄소-도핑된 전구체의 예에는 (CH3Si(OCH2)3, 트리메틸실란 (3MS), 테트라메틸실란 (4MS), 디에톡시메틸실란 (DEMS), 디메틸디메톡시실란 (DMDMOS), 메틸-트리에톡시실란 (MTES), 메틸-트리메톡시실란, 메틸-디에톡시실란 및 메틸-디메톡시실란이 포함된다. 추가적인 탄소-도핑된 전구체가 앞서 기재된다. 일부 구체 예에서, 필름은 또 다른 실리콘으로 도핑된다.
적절한 산화제의 예에는 비제한적으로 오존(O3), 과산화수소(H2O2)를 포함하는 과산화물, 산소(O2), 물(H2O), 알코올 예컨대 메탄올, 에탄올, 및 이소프로판올, 일산화질소(NO), 이산화질소(nitrous dioxide)(NO2) 아산화질소(N2O), 일산화탄소(CO) 및 이산화탄소(CO2)가 포함된다. 일부 구체 예에서, 원격 플라즈마 발생기가 활성화된 산화제 화학종을 공급할 수 있다.
1종 이상의 도펀트 전구체, 촉매, 억제제, 완충제, 용매 및 또 다른 화합물이 도입될 수 있다. 일부 구체 예에서, 양성자 주개 촉매(proton donor catalyst)가 사용된다. 양성자 주개 촉매의 예에는 1) 질산, 불화수소산, 인산, 황산, 염산, 및 브롬산을 포함하는 산; 2) R-COOH 및 R-C(=O)X 여기서 R은 치환 또는 비치환 알킬, 아릴, 아세틸 또는 페놀 그리고 X는 할라이드, 뿐만 아니라 R-COOC-R 카르복실 무수물을 포함하는 카르복실산 유도체; 3) SixXyHz 여기서 x = 1-2, y = 1-3, z = 1-3 및 X는 할라이드; 4) RxSi-Xy 여기서 x = 1-3 및 y = 1-3; R은 알킬, 알옥시(aloxy), 알옥시(aloxy)알칸, 아릴, 아세틸 또는 페놀; 그리고 X는 할라이드; 및 5) 암모니아 및 그 유도체 예컨대 수산화암모늄, 히드라진, 하이드록실아민, 및 R-NH2 여기서 R은 치환 또는 비치환 알킬, 아릴, 아세틸, 또는 페놀;이 포함된다.
전술한 촉매의 예에 부가하여, 사용될 수도 있는 할로겐-함유 화합물은 할로겐화 유기 분자 예컨대 디클로로실란 (SiCl2H2), 트리클로로실란 (SiCl3H), 메틸클로로실란 (SiCH3ClH2), 클로로트리에톡시실란, 및 클로로트리메톡시실란을 포함한다. 사용될 수도 있는 산은 광물산(mineral acid) 예컨대 염산 (HCl), 황산 (H2SO4), 및 인산 (H3PO4); 유기산 예컨대 포름산 (HCOOH), 아세트산 (CH3COOH), 및 트리플루오로아세트산 (CF3COOH)일 수 있다. 사용될 수도 있는 염기는 암모니아 (NH3) 또는 수산화암모늄 (NH4OH), 포스핀 (PH3); 및 또 다른 질소- 또는 인-함유 유기 화합물을 포함한다. 촉매의 추가적인 예는 클로로-디에톡시실란, 메탄설폰산 (CH3SO3H), 트리플루오로메탄설폰산 ("트리플릭", CF3SO3H), 클로로-디메톡시실란, 피리딘, 아세틸 클로라이드, 클로로아세트산 (CH2ClCO2H), 디클로로아세트산 (CHCl2CO2H), 트리클로로아세트산 (CCL2CO2H), 옥살산 (HO2CCO2H) 및 벤조산 (C6H5CO2H)이다.
다양한 구체 예에 따르면, 촉매 및 또 다른 반응물은 동시에 또는 특정 순서로 도입될 수 있다. 예를 들어 일부 구체 예에서, 산성 화합물을 증착 공정의 시작 시에 반응기에 도입시켜 가수분해 반응을 촉매작용시키고, 그 후 염기성 화합물을 가수분해 단계의 종점 근처에서 도입시켜 가수분해 반응을 억제하고 축합 반응을 촉매작용 시킬 수 있다. 산 또는 염기는 정상 전달 또는 신속 전달 또는 "퍼핑(puffing)"에 의해 도입되어 증착 공정 동안 가순분해 또는 축합 반응을 신속하게 촉매작용시키거나 또는 억제시킬 수 있다. 퍼핑에 의해 pH를 조절 및 변화시키는 것은 증착 공정 동안 어느 시점에서도 일어날 수 있으며, 서로 다른 공정 시간 및 순서는 서로 다른 응용분야에 바람직한 특성을 갖는 서로 다른 필름을 야기할 수 있다. 촉매의 일부 예는 앞서 제시되었다. 또 다른 촉매의 예에는 염산 (HCl), 불화수소산 (HF), 아세트산, 트리플루오로아세트산, 포름산, 디클로로실란, 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 트리메톡시클로로실란, 및 트리에톡시클로로실란이 포함된다. 사용될 수도 있는 신속 전달의 방법은 미국 출원 12/566,085에 개시되며, 참고문헌으로 수록된다. 일부 구체 예에서, 다단계 유동성 산화물 증착이 수행되며, 여기서 촉매의 존재, 종류, 또는 양은 갭이 충진되는지 여부, 또는 평면 또는 과부과 층이 증착되는지 여부에 따라 변한다. 예를 들어, 피처는 미촉매 공정에 의해 선택적으로 충진되며, 과부과 또는 블랭킷 층은 촉매를 사용하여 증착된다.
용매는 비극성 또는 극성 그리고 양성자성 또는 비양성자성 일 수 있다. 용매는 산화제 내 혼합도를 개선하기 위하여 절연체 전구체의 선택에 일치될 수 있다. 비극성 용매는 알칸 및 알켄을 포함하고; 극성 비양성자성 용매는 아세톤 및 아세테이트를 포함하고; 그리고 극성 양성자성 용매는 알코올 및 카르복실 화합물을 포함한다.
도입될 수 있는 용매의 예에는 알코올, 예컨대, 이소프로필 알코올, 에탄올 및 메탄올, 또는 또 다른 화합물, 예를 들어 에테르, 카르보닐, 니트릴, 반응물과 혼합가능한 것이 포함된다. 용매는 선택사항이며 일부 구체 예에서 별도로 또는 산화제 또는 또 다른 공정 기체와 함께 도입될 수 있다. 용매의 예는 비제한적으로 메탄올, 에탄올, 이소프로판올, 아세톤, 디에틸에테르, 아세토니트릴, 디메틸포름아마이드, 및 디메틸 설폭사이드, 테트라하이드로푸란 (THF), 디클로로메탄, 헥산, 벤젠, 톨루엔, 이소헵탄 및 디에틸에테르를 포함한다. 용매는 일부 구체 예에서 퍼핑(puffing) 또는 정상 운송 중 어느 하나에 의해, 또 다른 반응물 이전에 도입될 수 있다. 일부 구체 예에서, 용매는 퍼핑에 의하여 반응기에 도입되어, 특히 전구체와 산화제가 낮은 혼화성(miscibility)을 가지는 경우, 가수분해를 촉진할 수 있다.
가끔, 필수적인 것은 아니지만, 불활성 운송 기체가 존재한다. 예를 들어, 질소, 헬륨, 및/또는 아르곤이 전술한 화합물 중 하나와 함께 챔버 내에 도입될 수 있다.
앞서 지적하였듯이, 반응물(실리콘-함유 전구체, 산화제, 용매, 촉매, 등) 중 어느 것이나 단독으로 또는 하나 이상의 다른 반응물과 결합되어 나머지 반응물보다 먼저 도입될 수 있다. 또한, 일부 구체 예에서, 1종 이상의 반응물은 나머지 반응물의 흐름이 중지된 이후에 연속적으로 반응 챔버 내로 유동할 수 있다.
일부 구체 예에서, 반응 조건은 실리콘-함유 화합물 및 산화제가 축합 반응을 거치고, 기판 표면에서 응축하여 유동성 필름을 형성하도록 하는 조건이다. 일부 구체 예에서, 반응은 다크(dark) 또는 비-플라즈마(non-plasma) 조건에서 일어난다. 또 다른 구체 예에서, 반응은 플라즈마의 존재 하에서 일어난다. 플라즈마-강화 화학 기상 증착 (PECVD) 반응을 통한 갭 충진을 위한 유동성 필름 증착 방법은 미국 특허 출원 12/334,726에 개시되며, 참고문헌으로 수록된다.
챔버 압력은 약 1 내지 200 Torr일 수 있으며, 일부 구체 예에서, 10 내지 75 Torr이다. 특정 구체 예에서, 챔버 압력은 약 10 Torr이다.
공정 기체 성분의 부분압은 성분의 증기압과 관련되어 특징되며 다음의 범위를 가지며, Pp는 반응물의 부분압이며 Pvp는 반응 온도에서 반응물의 증기압이다:
전구체 부분압 비율 (Pp/ Pvp) = 0.01 내지 1, 예컨대, 0.01 내지 0.5
산화제 부분압 비율 (Pp / Pvp) = 0.25 내지 2, 예컨대, 0.5 내지 1
용매 부분압 비율 (Pp / Pvp) = 0 내지 1, 예컨대, 0.1 내지 1
일부 구체 예에서, 공정 기체는 전구체 부분압 비율이 0.01 내지 0.5, 산화제 부분압 비율이 0.5 내지 1, 그리고 용매(존재하는 경우) 부분압 비율이 0.1 내지 1인 것을 특징으로 한다. 동일 또는 또 다른 구체 예에서, 공정 기체는 다음을 특징으로 한다:
산화제 : 전구체 부분압 비율 (Pp산화제/Pp전구체) = 1 내지 30, 예컨대, 5 내지 15
용매 : 산화제 부분압 비율 (Pp용매/Pp산화제) = 0 내지 10, 예컨대, 0.1 내지 5
일부 구체 예에서, 공정 기체는 약 5 내지 15의 산화제:전구체 부분압 비율 및 약 0.1 내지 5의 용매:산화제 부분압 비율을 특징으로 하며; 뿐만 아니라 전술한 비율을 특징으로 한다.
기판 온도는 일부 구체 예에서 약 -20℃ 내지 100℃이다. 일부 구체 예에서, 온도는 약 -20℃ 내지 30℃, 예컨대, -10℃ 내지 10℃이다. 압력 및 온도는 증착 시간을 조정하기 위해 변할 수 있으며; 고압 및 저온은 신속한 증착에 일반적으로 유리하다. 고온 및 저압은 느린 증착 시간을 야기할 것이다. 따라서, 온도를 증가시키는 것은 증가된 압력을 요구할 수 있다. 한 구체 예에서, 온도는 약 5℃이며 압력은 약 10 Torr이다. 노출 시간은 반응 조건뿐만 아니라 바람직한 필름 두께에 의존한다. 증착 속도는 여러 구체 예에 따라 약 100 옹스트롱/분 내지 1 마이크로미터/분이다. 일부 구체 예에서, 증착 시간은 0.1 내지 180 초, 예컨대, 1 내지 90 초이다. 일부 구체 예에서, 증착 시간은 블랭킷 필름의 동일 증착 공정에 대한 핵형성 딜레이(nucleation delay) 미만이다.
기판을 이러한 조건 하에서 유동성 필름을 갭 내에 증착하기에 충분히 긴 기간 동안 반응물에 노출시킨다. 도시된 구체 예에서, 필름의 원하는 두께 전체가 작업(703)에서 증착되며, 이는 단일 사이클 증착이다. 다중 증착 작업을 사용하는 또 다른 구체 예에서, 원하는 필름 두께의 단지 일부가 특정 사이클에서 증착된다. 비록 또 다른 구체 예에서, 1종 이상의 반응물이 펄스되거나 또는 다른 방식으로 간헐적으로 도입되더라도, 일부 구체 예에서, 기판은 작업(703)에서 연속적으로 반응물에 노출된다. 또한 전술한 바와 같이, 일부 구체 예에서, 절연체 전구체, 산화제, 촉매 또는 용매를 비롯한 1종 이상의 반응물은 나머지 반응물의 도입 이전에 도입되고 및/또는 나머지 반응물의 유동이 중지된 이후에 반응기 내로 연속하여 유동할 수 있다.
노출 시간은 반응 조건뿐만 아니라 원하는 필름 두께에 의존한다. 증착 속도는 전형적으로 약 100 옹스트롱/분 내지 1 마이크로미터/분이다. 일부 구체 예에서, 증착은 용량성-결합 플라즈마 공급원을 사용하는 플라즈마-강화 화학 기상 증착 (PECVD) 반응일 수 있다. PECVD 반응은 HDP 플라즈마보다 더 낮은 플라즈마 밀도를 가지며, 예컨대 108 전자/cm3 내지 최대 1010 전자/cm3이다.
증착-
후 처리
증착 이후, 갓-증착된 필름을 여러 구체 예에 따라 처리한다(블록 705). 다양한 구체 예에 따르면, 1회 이상의 처리 작업이 수행되어 다음 중 하나 이상을 수행한다: 도펀트의 도입, 갓-증착된 필름의 화학적 전환, 및 치밀화. 일부 구체 예에서, 단일 처리가 이들 중 하나 이상을 수행한다. 증착-후 처리가 제자리에서, 즉 증착 챔버 내에서, 또는 또 다른 챔버 내에서 수행될 수 있다. 치밀화 작업은 플라즈마-기초 또는 순수 열적이거나, 또는 자외선, 적외선, 또는 마이크로웨이브선과 같은 복사선에 대한 노출에 의해 수행될 수 있다.
수행되는 경우, 유동성 산화물 필름의 증착-후 처리는 증착 챔버 내(in situ) 또는 외(ex situ)에서 수행될 수 있다. 증착-후 치밀화 처리 작업은 한 가지 이상의 작업을 포함할 수 있으며, 이들 중 임의 것 또는 모두는 또한 갓-증착된 필름을 화학적으로 전환시킬 수 있다. 또 다른 구체 예에서, 치밀화 작업 중 임의 것 또는 모두는 전환 없이 치밀화시킬 수 있다. 일부 구체 예에서, 하나의 전환 작업은 별도로 수행되거나, 또는 전혀 수행되지 않을 수 있다. 별도로 수행되는 경우, 전환 작업은 치밀화 작업 이전 또는 이후에 수행될 수 있다. 한 실시예에서, 필름은 반응성 플라즈마에 노출되어 전환되고 부분적으로 치밀화되고 후속하여 불활성 환경 하의 열 어닐링에 의해 더욱 치밀화된다.
다양한 구체 예에 따르면, 순수 열 어닐링, 플라즈마에 대한 노출, 자외선 또는 마이크로웨이브 복사선에 대한 노출, 또는 또 다른 에너지 공급원에 대한 노출에 의해 치밀화될 수 있다. 열 어닐링 온도는 300℃ 또는 그 이상(열처리량에 의존함)일 수 있다. 처리는 불활성 환경(Ar, He, 등)에서 또는 잠재적 반응성인 환경에서 수행될 수 있다. 산화 환경(O2, N2O, O3, H2O, H2O2, NO, NO2, CO, CO2 등을 이용)이 사용될 수 있으나, 일부 상황에서 질소-함유 화합물은 필름 내 질소의 혼입을 방지하기 위하여 배제될 것이다. 또 다른 구체 예에서, 질화 환경(N2, N2O, NH3, NO, NO2 등을 이용)이 사용된다. 일부 구체 예에서, 산화 환경 및 질화 환경의 혼합이 사용된다. 탄소-함유 화학물질이 사용되어 일부 용량의 탄소를 증착된 필름 내에 혼입시킬 수 있다. 다양한 구체 예에 따르면, 치밀화된 필름의 조성은 갓-증착된 필름 조성 및 처리 화학에 의존한다. 예를 들어, 일부 구체 예에서, Si(OH)x 갓-증착된 겔은 산화 플라즈마 경화를 사용하여 SiO 네트워크로 전환된다. 또 다른 구체 예에서, Si(OH)x 갓-증착된 겔은 SiON 네트워크로 전환된다.
일부 구체 예에서, 필름을 원격 또는 직접(유도성 또는 용량성) 플라즈마에 노출시켜 처리한다. 이는 치밀화된 고체 필름으로의 유동성 필름의 탑-다운 전환을 야기할 수 있다. 플라즈마는 불활성 또는 반응성일 수 있다. 헬륨 및 아르곤 플라즈마가 불활성 플라즈마의 예이며; 산소 및 스팀 플라즈마가 산화 플라즈마의 예이다(예컨대, 탄소를 원하는 만큼 제거하기 위해 사용됨). 수소 플라즈마가 또한 사용될 수 있다. 플라즈마 노출 동안의 온도는 전형적으로 약 200℃ 또는 그 이상이다. 일부 구체 예에서, 산소 또는 산소-함유 플라즈마가 탄소를 제거하기 위해 사용된다.
온도는 0-600℃ 범위일 수 있으며, 온도 범위의 상한은 특정 공정 단계에서의 열 처리량에 의해 결정된다. 예컨대, 일부 구체 예에서, 도 3에 도시된 전체 공정은 약 400 ℃ 미만의 온도에서 수행된다. 이러한 온도 범위는 NiSi 접촉과 상용가능하다. 일부 구체 예에서, 온도는 약 200℃-550℃ 범위이다. 압력은 0.1-10 Torr이며, 탄소를 제거하기 위해 높은 산화제 압력이 사용된다.
신속 열 공정(rapid thermal processing, RTP)을 비롯한 또 다른 어닐링 공정이 또한 사용되어 필름을 고형화하고 수축시킬 수 있다. 에스 시츄(ex situ) 공정을 사용하는 경우, 더 높은 온도 및 또 다른 에너지 공급원이 사용될 수 있다. 엑스 시츄 처리는 N2, O2, H2O 및 He와 같은 환경에서 고온 어닐링(700-1000 ℃)을 포함한다. 일부 구체 예에서, 엑스 시츄 처리는 예컨대 자외선 열 처리(ultraviolet thermal processing, UVTP) 공정에서, 자외선 복사선에 필름을 노출시키는 것을 포함한다. 예를 들어, UV 노출과 함께 400 ℃ 또는 그 이상의 온도가 사용되어 필름을 경화시킬 수 있다. RTP를 비롯한 또 다른 플래시 경과 공정(flash curing process)이 또한 엑스 시츄 처리를 위하여 사용될 수 있다.
일부 구체 예에서, 필름은 동일 공정 작업에 의해 치밀화 및 전환된다. 필름을 전환시키는 것은 반응성 화학물질을 사용하는 것을 포함한다. 다양한 구체 예에 따르면, 필름의 조성은 갓-증착된 필름 조성 및 경화 화학에 의존한다. 예를 들어, 일부 구체 예에서, Si(OH)x 갓-증착된 겔은 산화 플라즈마 경화를 사용하여 SiO 네트워크로 전환된다. 또 다른 구체 예에서, Si(OH)x 갓-증착된 겔은 산화 및 질화 플라즈마에 대한 노출에 의해 SiON으로 전환된다.
또 다른 구체 예에서, 유동성 절연 필름은 실리콘 및 질소-함유 필름, 예컨대 실리콘 질화물 또는 실리콘 산화질화물일 수 있다. 이는 기상 반응물을 이러한 기상 반응물이 반응하여 유동성 필름을 형성하는 조건에서 증착 챔버에 도입시켜 증착될 수 있다. 기상 반응물은 플라즈마에 의해 생성된 화학종을 포함할 수 있다. 이러한 플라즈마는 원격에서 또는 증착 챔버 내에서 생성될 수 있다. 필름 내에 혼입된 질소는 하나 이상의 공급원, 예를 들어 실리콘 및 질소-함유 전구체(예를 들어, 트리실일아민 (TSA) 또는 디실일아민 (DSA)), 질소 전구체(예를 들어, 암모니아 (NH3) 또는 히드라진 (N2H4)), 또는 플라즈마에 공급된 질소-함유 기체(N2, NH3, NO, NO2, N2O)로부터 유래할 수 있다. 증착 이후, 유동성 절연 필름을 다음 중 한 가지 이상을 수행하기 위해 처리할 수 있다: 갓-증착된 필름의 화학적 전환, 치밀화. 화학적 전환은 질소 성분의 일부 또는 전부를 제거하고, Si(ON)x 필름을 주로 SiO 네트워크로 전환하는 것을 포함할 수 있다. 또한 -H, -OH, -CH 또는 -NH 화학종 중 하나 이상을 필름으로부터 제거하는 것을 포함할 수 있다. 증착-후 처리는 열, 플라즈마, UV, IR 또는 마이크로웨이브 에너지에 대한 노출을 포함할 수 있다.
반응 메커니즘
앞서 지적한 바와 같이, 유동성 절연체 증착은 구체적인 실시에 따라 다양한 반응 메커니즘을 포함할 수 있다. 일부 구체 예에 따라 유동성 산화물 필름을 증착하는 방법에서의 반응 메커니즘의 예를 이하에서 설명한다. 이러한 반응 단계들이 본 발명의 여러 양상을 설명하는데 유용한 개요를 제공하지만, 본 발명의 방법이 이러한 특정한 반응 메커니즘에 제한되는 것이 아님을 주목하여야 한다.
전체 증착 공정을 두 단계, 즉 가수분해 및 축합의 문맥에서 설명한다. 첫 번째 단계는 산화제에 의한 실리콘-함유 전구체의 가수분해를 포함한다. 예를 들면, 실리콘 함유 전구체의 알콕시 그룹(-OR)은 하이드록실 그룹(-OH)으로 대체될 수 있다. -OH 그룹 및 나머지 알콕시 그룹은 축합 반응에 참여하여 물과 알코올 분자의 방출을 야기하고 Si-O-Si 결합의 형성을 유발한다. 본 메커니즘에서, 갓-증착된 필름(as-deposited film)은, 비록 알콕시실란 전구체가 탄소를 함유하더라도, 상당한 탄소 함량을 갖지 않는다. 일부 구체 예에서, 반응물 부분압이 제어되어 보텀-업 충진을 촉진한다. 액체 응축이 좁은 갭 내에서 포화 압력 이하에서 일어나며; 반응물 부분압은 모세관 응축을 제어한다. 일부 구체 예에서, 반응물 부분압은 포화 압력 바로 아래로 설정된다. 가수분해 매질에서, 실리콘-함유 전구체는 웨이퍼 표면 상에 유체-유사 필름을 형성하며 이는 모세관 응축 및 표면 장력으로 인하여 트렌치 내에 우세하게 증착하여, 보텀-업 충진 공정을 유발한다.
도 8A-8D는 한 구체 예에 따르는 증착 및 어닐링 반응 메커니즘의 단순화한 개략도를 제공한다. 본 발명의 방법은 특정 반응물, 생성물 및 도시된 반응 메커니즘에 제한되지 않으며, 유동성 절연 필름을 생성하는 또 다른 반응물 및 반응 메커니즘과 함께 사용될 수 있음에 주목하여야 한다. 또한 증착 및 어닐링이 복수의 서로 다른 동시발생적 또는 순차적 반응 메커니즘을 포함할 수 있음을 이해하여야 한다.
도 8A는 감소된 온도 예컨대 -5℃로 유지되는 웨이퍼(801) 상의 유동성 필름의 반응물 축합, 가수분해, 및 개시(initiating)를 도시한다. 반응물은 절연체 전구체(802), 산화제(804), 및 선택사항인 촉매(803) 및 선택사항인 용매(805)를 포함한다. 절연체 전구체(802)가 표면에 흡수한다. 전구체와 산화제의 액상 반응은 전구체의 가수분해를 야기하고, 실란올 Si(OH)x 을 형성하여 웨이퍼 표면에 부착시켜 필름의 성장을 개시한다. 일부 구체 예에서, 용매의 존재는 혼화성 및 표면 습윤성을 개선한다.
도 8B는 가교된 Si-O 사슬을 형성하기 위한 생성물(Si(OH)x 사슬(808) 참조)의 중합화 뿐만 아니라 실란올의 축합을 도시한다. 축합 반응의 결과는 겔(809)이다. 본 단계에서, 비록 하이드록실 그룹처럼 Si-H 그룹(811)이 겔 내에 잔류하는 것으로 도시되지만, 유기 그룹은 겔(809)로부터 실질적으로 제거될 수 있으며, 알코올과 물은 부산물로서 방출된다. 일부 경우에서, 소량의 그러나 검출될만한 양의 탄소 그룹이 겔 내에 잔류한다. 전체 탄소 함량은 1%(원자) 미만일 수 있다. 일부 구체 예에서, 본질적으로 탄소 그룹이 잔류하지 않으며, 이에 따라 Si-C 그룹은 FTIR에 의해 검출되지 않는다. 도 8C는 어닐링 동안의 반응 메커니즘을 도시하며, 이러한 경우 활성화된 산소 화학종 O* (810), 예컨대 산소 라디칼, 이온 등이 존재한다. 일부 구체 예에서, 어닐링은 다음과 같은 두 가지 효과를 가진다: 1) SiOH 및 SiH를 SiO로, 그리고 겔을 SiO 네트워크(813)로 전환하기 위한, 겔의 산화; 및 2) 필름 치밀화 또는 수축. 산소는 Si-H 결합을 산화시키고 Si-H 그룹이 실질적으로 없는 SiOx 네트워크의 형성을 촉진한다. 기판 온도는 예컨대 375℃까지 증가하여 필름 수축 및 산화를 촉진할 수 있다. 또 다른 구체 예에서, 산화 및 수축 작업은 별도로 수행된다. 일부 구체 예에서, 산화는 제1 온도(예컨대, 300 ℃)에서 일어날 수 있으며 추가적인 치밀화는 더 높은 온도(예컨대, 375 ℃)에서 일어날 수 있다. 도 8D는 치밀화된 SiO 필름(814)의 개략도를 나타낸다.
충진
높이 선택도
유동성 산화물 충진 높이는 임계 치수의 함수이다. 즉, 넓은 피처 내의 증착은 거의 없거나 전혀 없는 충진 높이를 유발하며 상기 높이는 피처 치수가 좁을수록 증가한다. 일부 구체 예에서, 충진 높이의 경사 vs. 임계 치수 곡선은 반응물 부분압을 조절함으로써 조정될 수 있음이 밝혀졌다. 도 9A는 정성적 실시예를 나타내는데 여기서 곡선(902)은 용매 부분압을 감소시켜 수득된 것이며 곡선(903)은 용매 부분압을 증가시켜 수득된 것이다. 이러한 방식으로, 여러 임계 치수의 피처를 가로지르는 충진 선택도가, 예컨대 좁은 피처에서 충진 높이를 증가시키고 넓은 피처에서 충진 높이를 감소시키기 위한 특정 증착에 대하여 조정될 수 있다.
도 9B는 두 가지 데이터 시리즈를 나타내는 그래프인데: 직사각형으로 표현된 데이터 포인트는 높은 용매 (에탄올) 부분압을 갖는 공정으로부터 수득된 것이며; 다이아몬드로 표현된 데이터 포인트는 동일 공정을 사용하지만 낮은 용매 (에탄올) 부분압을 가진다. 제시된 바와 같이, 낮은 용매 부분압은 곡선의 경사를 변화시키며 이에 따라 더 좁은 피처의 충진 선택도가 증가된다. 특정 이론에 제한됨이 없이, 충진 높이 선택도의 조정가능성은 모세관 응축 메커니즘을 포함하는 증착의 특징이다. 예를 들어 용매의 양은 피처 내 충진의 표면 장력, 및 이에 따른 모세관 응축 메커니즘에 대한 큰 영향을 미친다. 반응물 부분압 비율의 범위는 앞서 제시되었다. 일부 구체 예에 따르는 모세관 응축 메커니즘은 앞서 개시되었으며 미국 특허 7,074,690에 개시되며, 참고문헌으로 수록된다.
공정 순서
도 10-12는 여러 구체 예에 따르는 공정 순서의 예를 제공한다. 먼저, 도 10에서, 공정은 증착을 위하여 예컨대 친수성으로 만들거나 또는 또 다른 방법으로 처리하기 위해 웨이퍼를 전처리(pre-treating)함으로써 시작된다(블록 1001). 전처리의 예는 전술하였다. 일부 구체 예에서, 이러한 작업은 수행되지 않을 수도 있는데, 즉 웨이퍼가 증착을 위하여 유동성 산화물 증착 모듈에 직접 제공될 수 있다. 도 10에 도시된 바와 같이, 전처리가 유동성 산화물 증착 모듈 외부에서 수행되는 경우, 웨이퍼를 그 후 유동성 산화물 증착 모듈로 이동시킨다(1003). 이는 전형적으로 전처리의 효과를 보존하기 위하여 불활성 분위기 또는 진공 하에서 수행된다. 그 후 하나 이상의 갭을 유동성 산화물 필름으로 부분적으로 충진한다(블록 1005). 다양한 구체 예에 따르면, 이는 단일 증착, 또는 다중 증착 사이클을 포함할 수 있다. 인-시츄 증착-후 처리가 수행되는 경우, 이는 증착 모듈 내에서 수행된다(블록 1007). 인-시츄 증착-후 처리가 수행된 이후, 또는 인-시츄 증착-후 처리가 수행되지 않는 경우에는 증착 바로 직후, 별도의 처리가 수행되는 경우 웨이퍼를 별도의 경화 모듈로 이동시키고 처리한다(블록 1009). 예를 들어, 일부 구체 예에서, 웨이퍼를 원격 경화 모듈로 이동시켜 원격 생성된 산화 플라즈마에 노출시킨다. 웨이퍼를 그 후 HDP 증착을 위하여 HDP 증착 모듈로 이동시킨다(블록 1011). HDP 산화물을 그 후 증착한다(블록 1013). 비록 도시되지 않았으나, 일부 구체 예에서, 유도성 결합 산화 플라즈마에 대한 직접적인 노출 또는 또 다른 처리가 HDP 산화물의 증착 이전에 HDP 모듈에서 일어날 수 있다. 일부 구체 예에서, 유동성 필름은 HDP 산화물 증착 동안 산화된다.
다양한 변형이 도 10에 도시된 공정에서 이루어질 수 있다. 예를 들어, 일부 구체 예에서, 전처리 및/또는 유동성 산화물 증착이 HDP 증착 모듈에서 일어나고, 일부 이동 작업을 생략할 수 있다. 작업(1001) 이전에, 웨이퍼상의 갭이 미충진일 수 있거나(예컨대, 도 1A에 도시된 바와 같음) 또는 전술한 하나 이상의 이전 단계의 유동성 산화물 또는 HDP 증착에 의해 부분적으로 충진될 수 있다.
일단 HDP 챔버에서, 웨이퍼를 사전-열처리 작업을 할 수 있다. 다양한 구체 예에 따르면, 사전-열처리에는 HDP 산화물 증착 이전에 유동성 필름을 산화시키기 위하여 산화제에 노출시키는 것이 동반될 수 있다. 또 다른 구체 예에서, 사전-열처리는 산화제가 없는 환경에서 수행된다. 이는 예컨대 원격 플라즈마 경화 모듈에서의 처리 이후에 수행될 수 있다.
도 11은 일부 구체 예에 따르는 공정 순서인데 여기서 유동성 절연 물질은 실리콘 또는 SOI 표면에 형성된 갭 내에 증착된다. 한 예는 STI 집적 공정에서의 갭 충진이다. 도 11에 도시된 공정은 예컨대 도 10에 도시된 공정과 조합되어 사용될 수 있다. 먼저, 실리콘 또는 SOI에 형성된 갭을 포함하는 실리콘 또는 SOI 웨이퍼를 제공한다(블록 1101). 유동성 절연 물질을 그 후 갭 내에 증착한다(블록 1103). 전술한 바와 같은 다양한 전처리가 증착 이전에 수행될 수 있다. 그 후 유동성 절연 물질을 선택적으로 산화시키는데, 즉 유동성 절연 물질이 하부 실리콘의 산화 없이 산화된다(블록 1105).
유동성 절연 물질을 선택적으로 산화시키는 것은 원격 생성된 산화 플라즈마에 대한 노출, 스팀 또는 또 다른 산화제의 존재 하에 자외선 복사선에 대한 노출, 또는 열 어닐링, 예컨대 스팀 어닐링에서 산화제에 대한 노출을 포함할 수 있다. 전술한 바와 같이, 이들은 증착 챔버 또는 또 다른 챔버 내에서 수행될 수 있다. 일부 구체 예에서, 직접(비-원격) 유도성-결합 또는 용량성-결합 산화 플라즈마가 사용되어 유동성 절연 물질을 선택적으로 산화시킨다. 이러한 경우, 선택적 산화는 바이어스를 기판에 인가하는 것 및/또는 비교적 저온에서 산화를 수행하는 것을 포함하지 않을 수 있다. 한 실시예에서, 플라즈마는 챔버 내 인-시츄에서 증착 온도에서 인가된다. 플라즈마 밀도를 감소시키는 것은 또한 선택도를 개선할 수 있다. 또한 일부 구체 예에서, HDP 챔버에서의 사전-열처리는 하부 층의 산화를 방지하기 위하여 비-산화제 환경에서 수행된다.
전술한 바와 같이, 일부 구체 예에서 유동성 절연 필름의 증착은 다중 증착-경화 사이클을 포함한다. 도 12는 이러한 작업을 포함하는 공정 순서를 도시한다. 먼저, 갭을 포함하는 기판을 제공한다(블록 1201). 그 후, 일정량의 유동성 산화물 필름을 갭 내에 증착한다(블록 1203). 일부 구체 예에서, 작업(1203)에서 증착된 유동성 산화물의 양은 갭 내 바람직한 유동성 산화물의 전체 원하는 양보다 적다. 증착된 유동성 절연 물질을 그 후 처리하여 이전 작업에서 증착된 물질을 완전히 또는 부분적으로 고형화한다(블록 1205). 여기서, 수행되는 처리는 필름을 상당할 정도로 수축시키지 않으며, 이를 건조 또는 고형화시켜 이에 따라 갭의 측벽에 대한 구조적 강화를 제공한다. 실질적인 수축 없는 고형화 또는 건조는 일부 구체 예에서 증착 온도에서의 인-시츄 불활성 또는 반응성 플라즈마에 의해 또는 산화제에 대한 비-플라즈마 노출에 의해 달성될 수 있다. 일부 가교-결합 또는 치밀화가 존재할 수 있으나, 이러한 작업은 완전한 치밀화 및 가교-결합과는 구별된다. 일부 구체 예에서, 처리는 또한 후속하는 증착 작업에 대한 전처리로서 기능을 한다. 한 실시예에서, 필름을 증착 온도에서 질소 및 산소-함유 플라즈마에 노출시킨다. 또 다른 구체 예에서, 고형화 작업(solidification operation)은 추가적인 유동성 산화물 증착 이전의 전처리 작업이 후속한다. 예를 들어, 이는 불활성 플라즈마에 노출시키고 후속하여 산화 전처리 플라즈마에 노출시키는 것을 포함할 수 있다. 유동성 산화물 증착 및 처리 작업은 원하는 양의 유동성 산화물이 증착될 때까지 반복된다. 도시된 구체 예에서, 비록 다른 구체 예에서 갭을 완전하게 충진할 수도 있으나, 단지 갭을 부분적으로 충진하는 것이 충분하다. 도시된 구체 예에서, 갭 충진은 그 후 HDP 산화물 증착에 의해 완료된다(블록 1207). 치밀화 또는 또 다른 처리가 HDP 산화물 증착 이전에 수행될 수 있다.
장치
본 발명의 방법은 광범위한 장치에서 수행될 수 있다. 증착 작업은 HDP-CVD 반응기, PECVD 반응기, 서브-대기압 CVD 반응기를 포함하여 절연 필름의 증착을 위하여 구비된 임의 챔버, CVD 반응을 위하여 구비된 임의 챔버, 및 PDL(pulsed deposition layer, 펄스 증착 층)을 위하여 사용되는 챔버에서 수행될 수 있으며, 처리 작업은 이러한 챔버 또는 또 다른 챔버를 사용하여 수행된다.
일반적으로, 장치는 하나 이상의 웨이퍼를 내장(house)하고 웨이퍼 가공에 적절한 하나 이상의 챔버 또는 "반응기"(가끔 다중 스테이션을 포함)를 포함할 것이다. 각각의 챔버는 가공을 위한 하나 이상의 웨이퍼를 내장할 수 있다. 하나 이상의 챔버는 정의된 위치 또는 위치들(해당 위치 내에서의 움직임 즉 회전, 진동, 또는 또 다른 동요가 있거나 또는 없는)에 웨이퍼를 유지시킨다. 공정 중에, 각각의 웨이퍼는 받침대, 웨이퍼 처크(chuck), 및/또는 또 다른 웨이퍼 고정 장치에 의해 제자리에 고정된다. 웨이퍼가 가열되는 일부 작업 동안, 장치는 히팅 플레이트와 같은 가열기를 포함할 수 있다.
도 13은 예시적인 툴 구성(1300)을 도시하며 여기서 툴은 2개의 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 모듈(1310), 유동성 갭 충진 모듈(1320), WTS (Wafer Transfer System, 웨이퍼 이동 시스템)(1340), 로드락(1350)을 포함하며, 일부 구체 예에서 웨이퍼 냉각 스테이션, 및 진공 이동 모듈(1335)을 포함한다. HDP-CVD 모듈(1310)은, 예를 들면, Novellus SPEED MAX 모듈일 수 있다. 유동성 갭 충진 모듈(1320)은 예를 들면 Novellus Flowable Oxide 모듈일 수 있다.
도 14는 다양한 구체 예에 따라, HDP 산화물의 증착, 증착-전 및/또는 증착 후 처리, 또는 경화를 위하여 사용될 수 있는 HDP-CVD 장치의 여러 성분의 간략화된 도면이다. 또한 일부 구체 예에서, 이는 유동성 산화물 증착을 위하여 사용될 수 있다. 제시된 바와 같이, 반응기(1401)는 공정 챔버(1403)를 포함하며 상기 공정 챔버는 반응기의 또 다른 성분을 내포하며 플라즈마를 보유하는 역할을 한다. 한 실시예에서, 공정 챔버 벽은 알루미늄, 알루미늄 산화물, 및/또는 또 다른 적절한 물질로 제조된다. 도 14에 제시된 구체 예는 2개의 플라즈마 공급원, 즉 상단 RF 코일(1405) 및 측면 RF 코일(1407)을 가진다. 상단 RF 코일(1405)은 중간 주파수 또는 MFRF 코일이고 측면 RF 코일(1407)은 저주파수 또는 LFRF 코일이다. 도 14에 도시된 구체 예에서, MFRF 주파수는 430 내지 470 kHz일 수 있으며 LFRF 주파수는 340 내지 370 kHz일 수 있다. 그렇지만, 단일 공급원 및/또는 비-RF 플라즈마 공급원을 갖는 장치가 사용될 수도 있다.
반응기 내에서, 웨이퍼 받침대(1409)가 기판(1411)을 지지한다. 열 전달 유체를 공급하기 위한, 라인(1413)을 포함하는 열 전달 서브시스템이 기판(1411)의 온도를 제어한다. 웨이퍼 처크 및 열 전달 유체 시스템은 적절한 웨이퍼 온도를 유지하는 것을 촉진할 수 있다.
HFRF 공급원(1415)의 고주파수 RF는 기판(1411)을 전기적으로 바이어스시키고 하전된 전구체 화학종을 전처리 또는 경화 작업을 위하여 기판에 유도하는 역할을 한다. 공급원(1415)로부터의 전기적 에너지는 예컨대 전극 또는 용량성 결합을 통하여 기판(1411)에 결합된다. 기판에 인가된 바이어스는 RF 바이어스일 필요가 없음에 주목하라. 또 다른 주파수 및 DC 바이어스가 또한 사용될 수 있다.
공정 기체가 하나 이상의 유입구(1417)를 통하여 도입된다. 기체는 사전혼합되거나 또는 그렇지 않을 수 있다. 기체 또는 기체 혼합물은 기본 기체 고리(1421)로부터 도입될 수 있으며, 상기 기본 기체 고리(1421)는 기체를 기판 표면으로 유도하거나 그렇지 않을 수 있다. 주입기가 기본 기체 고리(1421)에 연결되어 기체 또는 기체 혼합물 중 적어도 일부를 챔버 내로 그리고 기판으로 유도할 수 있다. 주입기, 기체 고리 또는 공정 기체를 웨이퍼로 유도하기 위한 또 다른 장치는 일부 구체 예에서 존재하지 않는다. 공정 기체는 유출구(1422)를 통하여 챔버(1403)를 빠져 나간다. 진공 펌프가 전형적으로 공정 기체를 배출시키고 반응기 내 적절하게 낮은 압력을 유지한다. HDP 챔버가 증착-전 및/또는 증착-후 처리 또는 경화의 문맥에서 설명되지만, 일부 구체 예에서, 이는 유동성 필름의 증착을 위한 증착 반응기로서 사용될 수도 있다. 예를 들어, 열(비-플라즈마) 증착에서, 이러한 챔버는 플라즈마 스트라이킹 없이 사용될 수 있다.
도 15는 본 발명의 일부 구체 예에 따라 사용될 수 있는 반응기의 한 예를 나타낸다. 도 15에 도시된 반응기는 다크(비-플라즈마) 또는 플라즈마-강화 증착 뿐만 아니라, 예를 들면 용량성-결합 플라즈마 어닐링에 의한 경화에 적합하다. 도시된 바와 같이, 반응기(1500)는 공정 챔버(1524)를 포함하며, 이는 반응기의 또 다른 성분을 내포하며 접지된 가열기 블록(1520)과 함께 작동하는 샤워헤드(1514)를 포함하는 커패시터 타입 시스템에 의해 발생된 플라즈마를 보유하는 역할을 한다. 저-주파수 RF 발생기(1502) 및 고-주파수 RF 발생기(1504)는 샤워헤드(1514)에 연결된다. 전력 및 주파수는 공정 기체로부터 플라즈마를 생성하기에 충분하며, 예를 들어 400-700W 전체 에너지이다. 본 발명의 실시에 있어서, 발생기는 유동성 필름의 다크 증착 동안 사용되지 않는다. 플라즈마 어닐링 단계에서, 하나 또는 둘 모두의 발생기가 사용될 수 있다. 예를 들어, 전형적인 공정에서, 고주파수 RF 성분은 일반적으로 2-60MHz이며; 바람직한 구체 예에서, 성분은 13.56 MHz이다.
반응기 내에서, 웨이퍼 받침대(1518)가 기판(1516)을 지지한다. 받침대는 전형적으로 증착 및/또는 플라즈마 처리 반응 동안 그리고 그 사이에, 기판을 고정하고 이동시키기 위해, 처크, 포크, 또는 리프트 핀을 포함한다. 처크는 정전기 처크, 기계적 처크, 또는 산업 및/또는 연구에서의 사용에 적합한 또 다른 여러 유형의 처크일 수 있다.
공정 기체는 유입구(1512)를 통하여 도입된다. 복수의 공급원 기체 라인(1510)이 매니폴드(1508)에 연결된다. 기체는 사전혼합되거나 그렇지 않을 수 있다. 혼합 용기/매니폴드 라인의 온도는 반응 온도 이상의 수준에서 유지되어야 한다. 약 20 Torr 또는 그 미만의 압력에서 약 80℃ 또는 그 이상의 온도가 일반적으로 만족스럽다. 적절한 밸브 및 질량 흐름 제어 장치가 사용되어 공정의 증착 및 플라즈마 처리 단계 동안 올바른 기체가 운반되는 것을 보장한다. 화학적 전구체가 액체 형태로 운반되는 경우에, 액체 흐름 제어 장치가 사용된다. 액체는 그 후 증착 챔버에 도달하기 이전에 기화점 이상으로 가열된 매니폴드에서 운반되는 동안 기화되고 또 다른 공정 기체와 혼합된다.
공정 기체는 유출구(1522)를 통하여 챔버(1500)를 빠져나온다. 진공 펌프(1526) (예컨대, 1단 이상의 기계적 건조 펌프 및/또는 터보분자 펌프)가 전형적으로 공정 기체를 배출시키고, 폐쇄 루프 제어된 흐름 제한 장치(close loop controlled flow restriction device), 예컨대 스로틀 밸브 또는 팬들럼 밸브에 의해 반응기 내 적절하게 낮은 압력을 유지한다.
도 16은 일부 구체 예에 따르는 원격 플라즈마 전처리 및/또는 경화 모듈의 개략도를 나타낸다. 장치(1600)는 플라즈마 생성 영역(1611) 및 샤워헤드 어셈블리 또는 패이스플레이트(1617)에 의해 분리된 노출 챔버(1601)를 가진다. 노출 챔버(1601) 내부에서, 압반(또는 스테이지)(1605)가 웨이퍼 지지를 제공한다. 압반(1605)에는 가열/냉각 요소가 구비된다. 일부 구체 예에서, 압반(1605)은 또한 바이어스를 웨이퍼(1603)에 인가하도록 구성된다. 저압이 도관(1607)을 통한 진공 펌프에 의해 노출 챔버(1601)에 달성된다. 기체상태 처리 기체의 공급원은 유입구(1609)를 통하여 기체의 흐름을 장치의 플라즈마 생성 영역(1611)으로 제공한다. 플라즈마 생성 영역(1611)은 유도 코일(도시되지 않음)로 둘러싸여 진다. 증착 동안, 기체 혼합물이 플라즈마 생성 영역(1611)으로 도입되고, 유도 코일이 에너지를 발생하고 플라즈마가 플라즈마 생성 영역(1611) 내에서 발생한다. 샤워헤드 어셈블리(1617)는 인가된 전압을 가질 수 있으며 일부 이온의 흐름을 종결할 수 있고 천연 화학종(neutral species)의 흐름을 노출 챔버(1601) 내로 가능하게 할 수 있다.
에칭
지적한 바와 같이, 본 발명의 구체 예는 비-선택적 및 선택적 제거 (에칭) 작업을 포함한다. 다양한 구체 예에 따르면, HDP 산화물 및 유동성 산화물의 비-선택적 에칭은 절연체 층을 불소 화학종을 함유하는 플라즈마에 노출시키는 것을 포함한다. 이러한 화학종은 불소-함유 공정 기체 성분 예컨대 SiF4, SiH2F2, Si2F6, C2F6, NF3, CF4, 등으로부터 기원할 수 있다.
유동성 산화물 물질의 선택적 에칭도 또한 절연체 층을 불소 화학종을 함유하는 플라즈마에 노출시키는 것을 포함한다. 또 다른 구체 예에서, 이는 습식 에칭, 예컨대, HF 습식 에칭을 통하여 달성될 수 있다.
일부 구체 예에서, 하나 이상의 에칭 작업은 하류의 에칭 공정을 포함하며, 여기서 기판은 플라즈마에 직접 노출되지 않는다. 원격 플라즈마 발생기가 사용되어 플라즈마를 생성할 수 있다. 또 다른 구체 예에서, 비-불소 화학을 사용하는 스퍼터 에칭이 사용된다. 스퍼터 에칭 화학은 He, Ar, O2 또는 H2 중 하나 이상을 포함할 수 있다. 일부 구체 예에서, 이러한 기체 중 하나 이상은 원격 또는 인 시츄 플라즈마 발생기에 공급된다. 에칭 공정은 이전의 증착 공정과 동일한 또는 서로 다른 챔버에서 수행될 수 있다.
도 13-16은 본 명세서에 기재된 전처리를 수행하기 위하여 사용될 수 있는 장치의 예를 제공한다. 그렇지만, 해당 업계의 통상의 기술자들은 여러 변형이 이러한 설명으로부터 이루어질 수 있음을 이해할 것이다.
일부 구체 예에서, 시스템 제어기가 사용되어 공정 파라미터를 제어한다. 시스템 제어기는 전형적으로 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함한다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결부, 스테퍼 모터 제어기 보드(stepper motor controller board), 등을 포함할 수 있다. 전형적으로, 시스템 제어기와 연결된 사용자 인터페이스가 존재할 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 공정 조건의 그래픽 소프트웨어 디스플레이, 및 사용자 입력 장치 예컨대 포인팅 장치, 키보드, 터치 스크린, 마이크로폰 등을 포함할 수 있다. 시스템 제어기는 툴의 도 13에 제시된 성분의 임의 것 또는 전부에 연결될 수 있으며; 그 위치 및 연결은 특정 실시예 따라 변할 수 있다.
일부 구체 예에서, 시스템 제어기는 공정 챔버의 압력을 제어한다. 시스템 제어기는 또한 전달 시스템 내 밸브, 액체 전달 제어기 및 MFC 뿐만 아니라 배출 라인의 흐름 제한 밸브를 조절함으로써, 챔버 내 여러 공정 기체의 농도를 제어할 수 있다. 시스템 제어기는 타이밍, 기체 및 액체의 유량, 챔버 압력, 기판 온도, 및 특정 공정의 또 다른 파라미터를 제어하기 위한 명령 세트를 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연결된 메모리 장치에 저장된 또 다른 컴퓨터 프로그램이 일부 구체 예에서 사용될 수 있다. 일부 구체 예에서, 시스템 제어기는 도 13에 도시된 장치의 여러 성분으로 또는 상기 여러 성분으로부터의 기판의 이동을 제어한다.
공정 순서의 공정을 제어하기 위한 컴퓨터 프로그램 코드는 임의 종래의 컴퓨터 판독가능한 프로그래밍 언어, 예를 들어 어셈블리 랭귀지, C, C++, 파스칼, 포트란 또는 다른 것으로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트가 프로세서에 의해 실행되어 프로그램 내에 정의된 임무를 수행한다. 시스템 소프트웨어는 많은 서로 다른 방식으로 설계 또는 구성될 수 있다. 예를 들어, 다양한 챔버 성분 서브루틴 또는 제어 객체(object)가 기록되어 전술한 공정을 수행하기에 필수적인 챔버 성분의 작업을 제어할 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램 섹션의 예는 공정 기체 제어 코드, 압력 제어 코드, 및 플라즈마 제어 코드를 포함한다.
제어기 파라미터는 공정 조건, 예를 들어 각 작업의 타이밍, 챔버 내 압력, 기판 온도, 공정 기체 유량, RF 전력, 뿐만 아니라 전술한 그 밖의 것과 관련된다. 이러한 파라미터는 레시피(recipe) 형식으로 사용자에게 제공되며, 사용자 인터페이스를 사용하여 입력될 수 있다. 공정을 모니터링 하기 위한 신호가 시스템 제어기의 아날로그 및/또는 디지털 입력 연결부에 의해 제공될 수 있다. 공정을 제어하기 위한 신호는 장치의 아날로그 및 디지털 출력 연결부에서 출력된다.
전술한 공정 및 장치는 절연체를 얇은 절연체 층을 요구하는 임의 종류의 기판상에 증착할 수 있다. 종종, 기판은 절연체 충진을 필요로 하는 갭을 가는 반도체 웨이퍼일 수 있다. 그렇지만, 본 발명은 이러한 응용에 제한되는 것은 아니다. 이는 예컨대 평탄 패널 디스플레이 제조를 위한 많은 제조 공정에서 사용될 수 있다.
전술한 바와 같이, 본 발명은 집적 회로 제작에 사용될 수 있다. 갭 충진 공정은 반도체 기판을 사용하는 부분적으로 제작된 집적 회로에 대하여 수행된다. 특정 실시예에서, 본 발명의 갭 충진 공정이 수행되어 STI(shallow trench isolation), IMD(inter-metal dielectric) 층, 패시베이션 층, 등을 형성한다. 일부 구체 예에서, 본 발명의 방법은, 원자 층 증착(atomic layer deposition, ALD) 및 펄스 증착 층(PDL) 기술을 비롯한, 임의 고체 절연체 증착 기술 및 유동성 산화물 증착을 사용하는 집적 공정에 적용될 수 있다. 개시된 방법 및 장치는 또한 반도체 제조를 위한 리소그래피 및/또는 패터닝 하드웨어를 포함하는 시스템에서 실행될 수 있다. 또한, 개시된 방법은 개시된 방법에 선행하거나 또는 후속하는 리소그래피 및/또는 패터닝 공정을 사용하는 공정에서 실행될 수 있다.
비록 전술한 발명이 이해의 명확성을 위하여 일부 상세사항에서 개시되었으나, 일부 변화 및 변형이 첨부된 청구항의 범위 이내에서 예상될 수 있음이 명확하다. 본 발명의 공정, 시스템, 및 장치를 실시하기 위한 많은 대안적인 방법이 존재함을 이해하여야 한다. 따라서, 본 구체 예는 단지 예시적인 것으로 인식되며 제한적인 것이 아니며, 본 발명은 본 명세서에 제시된 상세사항에 제한되지 않는다.
Claims (24)
- 반도체 기판상의 미충진 갭을 충진하는 방법에 있어서, 상기 방법은
상기 갭을 부분적으로 충진하도록 유동성 절연 필름(flowable dielectric film)을 상기 갭 내에 증착하는 단계;
상기 갭을 상기 유동성 절연 필름으로 부분적으로 충진한 이후, 상기 갭의 충진을 완료하도록 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 절연 필름을 고밀도 플라즈마 화학 기상 증착 반응을 통하여 상기 갭 내에 증착하는 단계를 포함하며, 상기 유동성 절연 필름은 후속하는 HDP-CVD 증착 이전에 미경화(uncured) 상태이고, 상기 유동성 절연 필름은 HDP-CVD 증착 필름의 증착 동안 적어도 부분적으로 치밀화되고,
상기 유동성 절연 필름을 상기 갭 내에 증착하는 것은 실리콘-함유 전구체, 산화제 및 용매를 포함하는 공정 기체를 도입하는 것을 포함하며, 상기 공정 기체는 5 내지 15의 산화제/전구체 부분압 비율을 갖는 것을 특징으로 하는, 반도체 기판상의 미충진 갭을 충진하는 방법. - 제 1 항에 있어서, 상기 유동성 절연 필름은 실리콘 산화물 필름, 실리콘 질화물 필름 또는 실리콘 산화질화물 필름인, 반도체 기판상의 미충진 갭을 충진하는 방법.
- 반도체 기판상의 미충진 갭을 충진하는 방법에 있어서, 상기 방법은
상기 갭을 부분적으로 충진하도록 유동성 절연 필름(flowable dielectric film)을 상기 갭 내에 증착하는 단계;
상기 갭을 상기 유동성 절연 필름으로 부분적으로 충진한 이후, 상기 갭의 충진을 완료하도록 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 절연 필름을 고밀도 플라즈마 화학 기상 증착 반응을 통하여 상기 갭 내에 증착하는 단계를 포함하며, 상기 유동성 절연 필름은 후속하는 HDP-CVD 증착 이전에 미경화(uncured) 상태이고, 상기 유동성 절연 필름은 HDP-CVD 증착 필름의 증착 동안 적어도 부분적으로 치밀화되고,
상기 유동성 절연 필름을 상기 갭 내에 증착하는 것은 실리콘-함유 전구체, 산화제 및 용매를 포함하는 공정 기체를 도입하는 것을 포함하며, 상기 공정 기체는 0.1 내지 5의 용매/산화제 부분압 비율을 갖는 것을 특징으로 하는, 반도체 기판상의 미충진 갭을 충진하는 방법. - 제 1 항에 있어서, 상기 유동성 절연 필름은 HDP-CVD 절연 필름의 증착 동안 적어도 부분적으로 산화되는, 반도체 기판상의 미충진 갭을 충진하는 방법.
- 기판상의 미충진 갭을 충진하는 방법에 있어서, 상기 방법은
유동성 필름을 상기 갭 내에 증착시켜 상기 갭을 부분적으로 충진하도록 실리콘-함유 전구체, 산화제 및 선택적으로 용매를 포함하는 공정 기체를 도입하는 단계; 여기서, 실리콘-함유 전구체의 부분압 (Pp)/증기압 (Pvp) 비율은 0.01 내지 1이고, 산화제의 부분압 (Pp)/증기압 (Pvp) 비율은 0.25 내지 2이고, 용매가 존재하는 경우, 용매의 부분압 (Pp)/증기압 (Pvp) 비율은 0.1 내지 1이며;
상기 갭을 상기 유동성 필름으로 부분적으로 충진한 이후, 상기 갭의 충진을 완료하도록 HDP 절연 필름을 증착하는 단계를 포함하는, 기판상의 미충진 갭을 충진하는 방법. - 제 5 항에 있어서, 상기 실리콘-함유 전구체의 Pp/Pvp 비율은 0.01 내지 0.5인, 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 산화제의 Pp/Pvp 비율은 0.5 내지 1인, 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 산화제의 Pp/Pvp 비율은 0.1 내지 1인, 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 공정 기체는 5 내지 15의 산화제/실리콘-함유 전구체 부분압 비율을 가짐을 더욱 특징으로 하는, 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 공정 기체는 0.1 내지 5의 용매/산화제 부분압 비율을 가짐을 더욱 특징으로 하는, 기판상의 미충진 갭을 충진하는 방법.
- 제 1 항에 있어서, 상기 갭 내의 상기 유동성 절연 필름을 산화시키는 단계를 더 포함하는, 반도체 기판상의 미충진 갭을 충진하는 방법.
- 제 3 항에 있어서, 상기 갭 내의 상기 유동성 절연 필름을 산화시키는 단계를 더 포함하는, 반도체 기판상의 미충진 갭을 충진하는 방법.
- 제 3 항에 있어서, 상기 유동성 절연 필름은 실리콘 산화물 필름, 실리콘 질화물 필름 또는 실리콘 산화질화물 필름인, 반도체 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 기판을 증착 모듈로부터 고밀도 플라즈마 화학 기상 증착(HDP-CVD) 모듈로 이동시키는 단계를 더 포함하는, 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 유동성 필름을 부분적으로 치밀화하는 단계를 더 포함하는, 기판상의 미충진 갭을 충진하는 방법.
- 제 15 항에 있어서, 상기 유동성 필름을 부분적으로 치밀화하는 단계는 상기 필름을 원격 또는 직접 플라즈마에 노출시키는 것을 포함하는, 기판상의 미충진 갭을 충진하는 방법.
- 제 16 항에 있어서, 상기 플라즈마는 산화 플라즈마(oxidizing plasma)인, 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 유동성 필름은 실리콘 산화물 필름, 실리콘 질화물 필름 또는 실리콘 산화질화물 필름인, 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 유동성 필름은 질소-함유 필름인, 기판상의 미충진 갭을 충진하는 방법.
- 제 5 항에 있어서, 상기 갭 내의 상기 유동성 필름을 산화시키는 단계를 더 포함하는, 기판상의 미충진 갭을 충진하는 방법.
- 제 20 항에 있어서, 상기 유동성 필름을 산화시키는 단계는 자외선의 존재 하에서 상기 필름을 산화제에 노출시키는 것 및 상기 필름을 원격-생성된 산화 플라즈마(oxidizing plasma)에 노출시키는 것 중 어느 하나를 포함하는, 기판상의 미충진 갭을 충진하는 방법.
- 제 20 항에 있어서, 상기 유동성 필름을 산화시키는 단계는 상기 필름을 직접(인 시츄) 플라즈마에 노출시키는 것을 포함하는, 기판상의 미충진 갭을 충진하는 방법.
- 제 22 항에 있어서, 상기 플라즈마는 산화 플라즈마인, 기판상의 미충진 갭을 충진하는 방법.
- 삭제
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US28509109P | 2009-12-09 | 2009-12-09 | |
US61/285,091 | 2009-12-09 | ||
PCT/US2010/059721 WO2011072143A2 (en) | 2009-12-09 | 2010-12-09 | Novel gap fill integration |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20120099243A KR20120099243A (ko) | 2012-09-07 |
KR101758944B1 true KR101758944B1 (ko) | 2017-07-18 |
Family
ID=44146182
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020127013775A KR101758944B1 (ko) | 2009-12-09 | 2010-12-09 | 신규한 갭 충진 집적화 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8728958B2 (ko) |
KR (1) | KR101758944B1 (ko) |
CN (1) | CN102652353B (ko) |
TW (1) | TWI579916B (ko) |
WO (1) | WO2011072143A2 (ko) |
Families Citing this family (245)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7524735B1 (en) | 2004-03-25 | 2009-04-28 | Novellus Systems, Inc | Flowable film dielectric gap fill process |
US7582555B1 (en) | 2005-12-29 | 2009-09-01 | Novellus Systems, Inc. | CVD flowable gap fill |
US9257302B1 (en) | 2004-03-25 | 2016-02-09 | Novellus Systems, Inc. | CVD flowable gap fill |
US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
US7888273B1 (en) | 2006-11-01 | 2011-02-15 | Novellus Systems, Inc. | Density gradient-free gap fill |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
CN102652353B (zh) | 2009-12-09 | 2016-12-07 | 诺发系统有限公司 | 新颖间隙填充整合 |
US8741394B2 (en) * | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9685320B2 (en) * | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8685867B1 (en) | 2010-12-09 | 2014-04-01 | Novellus Systems, Inc. | Premetal dielectric integration process |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8569143B2 (en) * | 2011-06-23 | 2013-10-29 | GlobalFoundries, Inc. | Methods of fabricating a semiconductor IC having a hardened shallow trench isolation (STI) |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
WO2013048872A1 (en) * | 2011-09-26 | 2013-04-04 | Applied Materials, Inc. | Pretreatment and improved dielectric coverage |
US20130260564A1 (en) * | 2011-09-26 | 2013-10-03 | Applied Materials, Inc. | Insensitive dry removal process for semiconductor integration |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8846536B2 (en) | 2012-03-05 | 2014-09-30 | Novellus Systems, Inc. | Flowable oxide film with tunable wet etch rate |
SG195494A1 (en) * | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8889566B2 (en) * | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US10279959B2 (en) | 2012-12-11 | 2019-05-07 | Versum Materials Us, Llc | Alkoxysilylamine compounds and applications thereof |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
EP2939261B1 (en) * | 2012-12-31 | 2016-08-24 | FEI Company | Depositing material into high aspect ratio structures |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9536771B2 (en) | 2013-04-11 | 2017-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gap fill self planarization on post EPI |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
KR102053350B1 (ko) | 2013-06-13 | 2019-12-06 | 삼성전자주식회사 | 저유전율 절연층을 가진 반도체 소자를 형성하는 방법 |
US9698454B1 (en) * | 2013-07-09 | 2017-07-04 | Calabazas Creek Research, Inc. | High power RF window deposition apparatus, method, and device |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
KR102049569B1 (ko) | 2013-08-01 | 2019-11-28 | 삼성디스플레이 주식회사 | 미세 패턴을 포함한 구조물의 형성 방법, 나노 패턴 형성 방법 및 액정 표시 장치용 표시판의 제조 방법 |
US20150048477A1 (en) * | 2013-08-16 | 2015-02-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9847222B2 (en) * | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
KR102335891B1 (ko) * | 2013-12-26 | 2021-12-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 유동성 막들의 광-보조 증착 |
US9721828B2 (en) * | 2013-12-30 | 2017-08-01 | Texas Instruments Incorporated | Method to reduce particles during STI fill and reduce CMP scratches |
US9786542B2 (en) | 2014-01-13 | 2017-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming semiconductor device having isolation structure |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9997396B2 (en) * | 2014-05-21 | 2018-06-12 | Newport Fab, Llc | Deep trench isolation structure and method for improved product yield |
CN105097500B (zh) * | 2014-05-23 | 2020-02-11 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法、电子装置 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
KR102174336B1 (ko) | 2014-07-08 | 2020-11-04 | 삼성전자주식회사 | 반도체 패키지 및 그 제조 방법 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
CN104465402B (zh) * | 2014-12-25 | 2018-03-06 | 中航(重庆)微电子有限公司 | 一种半导体器件制备工艺 |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US10354860B2 (en) | 2015-01-29 | 2019-07-16 | Versum Materials Us, Llc | Method and precursors for manufacturing 3D devices |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
CN107430991A (zh) * | 2015-02-23 | 2017-12-01 | 应用材料公司 | 用于形成高质量薄膜的循环连续工艺 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9570289B2 (en) * | 2015-03-06 | 2017-02-14 | Lam Research Corporation | Method and apparatus to minimize seam effect during TEOS oxide film deposition |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US9871100B2 (en) | 2015-07-29 | 2018-01-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Trench structure of semiconductor device having uneven nitrogen distribution liner |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
WO2017048268A1 (en) * | 2015-09-17 | 2017-03-23 | Intel Corporation | Gap filling material and process for semiconductor devices |
JP6929279B2 (ja) * | 2015-10-22 | 2021-09-01 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | SiOおよびSiNを含む流動性膜を堆積させる方法 |
US9916977B2 (en) | 2015-11-16 | 2018-03-13 | Lam Research Corporation | Low k dielectric deposition via UV driven photopolymerization |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US10192775B2 (en) | 2016-03-17 | 2019-01-29 | Applied Materials, Inc. | Methods for gapfill in high aspect ratio structures |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
CN109417048A (zh) * | 2016-06-25 | 2019-03-01 | 应用材料公司 | 用于间隙填充应用的可流动非晶硅膜 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US9960074B2 (en) | 2016-06-30 | 2018-05-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated bi-layer STI deposition |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10246774B2 (en) * | 2016-08-12 | 2019-04-02 | Lam Research Corporation | Additive for ALD deposition profile tuning in gap features |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
KR102269470B1 (ko) * | 2017-04-04 | 2021-06-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 실리콘 갭충전을 위한 2-단계 프로세스 |
KR102616070B1 (ko) * | 2017-04-07 | 2023-12-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 비정질 실리콘 갭충전을 개선하기 위한 표면 개질 |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10017856B1 (en) * | 2017-04-17 | 2018-07-10 | Applied Materials, Inc. | Flowable gapfill using solvents |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
KR20180134048A (ko) | 2017-06-08 | 2018-12-18 | 에스케이하이닉스 주식회사 | 전자 장치 및 그 제조방법 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
CN111630203A (zh) * | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
CN112335032A (zh) | 2018-03-09 | 2021-02-05 | 应用材料公司 | 用于通过PECVD进行Si间隙填充的方法 |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10332746B1 (en) * | 2018-03-14 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post UV cure for gapfill improvement |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10727046B2 (en) | 2018-07-06 | 2020-07-28 | Lam Research Corporation | Surface modified depth controlled deposition for plasma based deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11387138B2 (en) | 2018-09-25 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit isolation feature and method of forming the same |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
JP7487189B2 (ja) | 2018-10-19 | 2024-05-20 | ラム リサーチ コーポレーション | 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
CN111424259B (zh) * | 2019-01-09 | 2022-06-10 | 联芯集成电路制造(厦门)有限公司 | 高密度等离子体化学气相沉积制程形成氧化硅层的方法 |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102642977B1 (ko) * | 2019-02-13 | 2024-03-05 | 에스케이하이닉스 주식회사 | 이미지 센싱 장치 및 그 제조 방법 |
US12060639B2 (en) | 2019-04-19 | 2024-08-13 | Lam Research Corporation | Rapid flush purging during atomic layer deposition |
US12040181B2 (en) | 2019-05-01 | 2024-07-16 | Lam Research Corporation | Modulated atomic layer deposition |
CN110137132A (zh) * | 2019-05-09 | 2019-08-16 | 德淮半导体有限公司 | 沟槽隔离结构的形成方法、化学气相沉积工艺 |
US11469100B2 (en) | 2019-05-30 | 2022-10-11 | Applied Materials, Inc. | Methods of post treating dielectric films with microwave radiation |
TWI792005B (zh) * | 2019-07-23 | 2023-02-11 | 美商應用材料股份有限公司 | 可流動cvd薄膜之表面粗糙度 |
US11133178B2 (en) | 2019-09-20 | 2021-09-28 | Applied Materials, Inc. | Seamless gapfill with dielectric ALD films |
US11532475B2 (en) * | 2019-12-24 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Deposition process for forming semiconductor device and system |
DE102020120899A1 (de) | 2019-12-24 | 2021-06-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Abscheidungsprozess zum bilden von halbleitervorrichtungen und system |
US11562923B2 (en) | 2020-05-05 | 2023-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor arrangement including a first electrical insulator layer and a second electrical insulator layer and method of making |
US11615966B2 (en) * | 2020-07-19 | 2023-03-28 | Applied Materials, Inc. | Flowable film formation and treatments |
US11887811B2 (en) | 2020-09-08 | 2024-01-30 | Applied Materials, Inc. | Semiconductor processing chambers for deposition and etch |
US11699571B2 (en) | 2020-09-08 | 2023-07-11 | Applied Materials, Inc. | Semiconductor processing chambers for deposition and etch |
US11655537B2 (en) | 2020-10-26 | 2023-05-23 | Applied Materials, Inc. | HDP sacrificial carbon gapfill |
US20230065234A1 (en) * | 2021-08-27 | 2023-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structures of semiconductor devices |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030159655A1 (en) | 2002-02-26 | 2003-08-28 | Ping-Wei Lin | Apparatus for depositing an insulation layer in a trench |
US20040152342A1 (en) * | 2003-02-04 | 2004-08-05 | Micron Technology, Inc. | Method of eliminating residual carbon from flowable oxide fill |
Family Cites Families (78)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB1483144A (en) * | 1975-04-07 | 1977-08-17 | British Petroleum Co | Protective films |
JPS618945A (ja) | 1984-06-25 | 1986-01-16 | Nec Corp | 半導体集積回路装置 |
CA2009518C (en) | 1990-02-07 | 2000-10-17 | Luc Ouellet | Spin-on glass processing technique for the fabrication of semiconductor device |
US5932289A (en) | 1991-05-28 | 1999-08-03 | Trikon Technologies Limited | Method for filling substrate recesses using pressure and heat treatment |
US5387546A (en) * | 1992-06-22 | 1995-02-07 | Canon Sales Co., Inc. | Method for manufacturing a semiconductor device |
US5874367A (en) | 1992-07-04 | 1999-02-23 | Trikon Technologies Limited | Method of treating a semi-conductor wafer |
CA2131668C (en) | 1993-12-23 | 1999-03-02 | Carol Galli | Isolation structure using liquid phase oxide deposition |
US5858880A (en) | 1994-05-14 | 1999-01-12 | Trikon Equipment Limited | Method of treating a semi-conductor wafer |
US5534731A (en) * | 1994-10-28 | 1996-07-09 | Advanced Micro Devices, Incorporated | Layered low dielectric constant technology |
JPH08153784A (ja) * | 1994-11-28 | 1996-06-11 | Nec Corp | 半導体装置の製造方法 |
US5960300A (en) | 1994-12-20 | 1999-09-28 | Matsushita Electric Industrial Co., Ltd. | Method of manufacturing semiconductor device |
KR0183886B1 (ko) | 1996-06-17 | 1999-04-15 | 김광호 | 반도체장치의 트렌치 소자분리 방법 |
WO1998008249A1 (en) | 1996-08-24 | 1998-02-26 | Trikon Equipments Limited | Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate |
US5866945A (en) * | 1997-10-16 | 1999-02-02 | Advanced Micro Devices | Borderless vias with HSQ gap filled patterned metal layers |
TW354417B (en) * | 1997-10-18 | 1999-03-11 | United Microelectronics Corp | A method for forming a planarized dielectric layer |
GB9801359D0 (en) | 1998-01-23 | 1998-03-18 | Poulton Limited | Methods and apparatus for treating a substrate |
GB9801655D0 (en) | 1998-01-28 | 1998-03-25 | Trikon Equip Ltd | Method and apparatus for treating a substrate |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6593247B1 (en) | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6218268B1 (en) | 1998-05-05 | 2001-04-17 | Applied Materials, Inc. | Two-step borophosphosilicate glass deposition process and related devices and apparatus |
TW405223B (en) * | 1998-07-28 | 2000-09-11 | United Microelectronics Corp | Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole |
US6316052B1 (en) * | 1998-08-19 | 2001-11-13 | Anelva Corporation | Method for the surface treatment of vacuum materials and surface treated vacuum |
US6383951B1 (en) | 1998-09-03 | 2002-05-07 | Micron Technology, Inc. | Low dielectric constant material for integrated circuit fabrication |
WO2000051174A1 (en) | 1999-02-26 | 2000-08-31 | Trikon Holdings Limited | A method of processing a polymer layer |
ES2261210T3 (es) * | 1999-06-21 | 2006-11-16 | Kuhnil Pharmaceutical Co., Ltd. | Comoposicion anestesica para inyeccion intravenosa que comprende propofol. |
GB2354528B (en) | 1999-09-25 | 2004-03-10 | Trikon Holdings Ltd | Delivery of liquid precursors to semiconductor processing reactors |
US6114259A (en) * | 1999-07-27 | 2000-09-05 | Lsi Logic Corporation | Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage |
US6300219B1 (en) | 1999-08-30 | 2001-10-09 | Micron Technology, Inc. | Method of forming trench isolation regions |
TW439194B (en) * | 2000-01-24 | 2001-06-07 | United Microelectronics Corp | Manufacturing method of shallow trench isolation region |
EP1139404A1 (en) | 2000-03-31 | 2001-10-04 | Applied Materials, Inc. | Low thermal budget solution for PMD application using SACVD layer |
US6309933B1 (en) * | 2000-06-05 | 2001-10-30 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating T-shaped recessed polysilicon gate transistors |
JP4654544B2 (ja) | 2000-07-12 | 2011-03-23 | 日産化学工業株式会社 | リソグラフィー用ギャップフィル材形成組成物 |
US7001778B2 (en) | 2001-03-09 | 2006-02-21 | Symetrix Corporation | Method of making layered superlattice material with improved microstructure |
US6902947B2 (en) | 2001-05-07 | 2005-06-07 | Applied Materials, Inc. | Integrated method for release and passivation of MEMS structures |
US6828162B1 (en) | 2001-06-28 | 2004-12-07 | Advanced Micro Devices, Inc. | System and method for active control of BPSG deposition |
US6620745B2 (en) * | 2001-10-19 | 2003-09-16 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming a blocking layer |
DE10211544C1 (de) | 2002-03-15 | 2003-11-27 | Infineon Technologies Ag | Verfahren zur Herstellung einer Kondensatorelektrode eines Grabenkondensators aus flüssiger Phase |
CN100360710C (zh) | 2002-03-28 | 2008-01-09 | 哈佛学院院长等 | 二氧化硅纳米层压材料的气相沉积 |
US6743736B2 (en) * | 2002-04-11 | 2004-06-01 | Micron Technology, Inc. | Reactive gaseous deposition precursor feed apparatus |
US7056560B2 (en) | 2002-05-08 | 2006-06-06 | Applies Materials Inc. | Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) |
US6909300B2 (en) * | 2002-05-09 | 2005-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for fabricating microelectronic fabrication electrical test apparatus electrical probe tip having pointed tips |
US20060014384A1 (en) * | 2002-06-05 | 2006-01-19 | Jong-Cheol Lee | Method of forming a layer and forming a capacitor of a semiconductor device having the same layer |
JP2006511965A (ja) | 2002-12-19 | 2006-04-06 | マトリックス セミコンダクター インコーポレイテッド | 高密度不揮発性メモリを製作するための改良された方法 |
US7238604B2 (en) * | 2003-04-24 | 2007-07-03 | Intel Corporation | Forming thin hard mask over air gap or porous dielectric |
US6693050B1 (en) * | 2003-05-06 | 2004-02-17 | Applied Materials Inc. | Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques |
US20040229452A1 (en) * | 2003-05-15 | 2004-11-18 | Johnston Steven W. | Densifying a relatively porous material |
US20050136684A1 (en) | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
JP2005260040A (ja) * | 2004-02-12 | 2005-09-22 | Sony Corp | ドーピング方法、半導体装置の製造方法および電子応用装置の製造方法 |
US7582555B1 (en) | 2005-12-29 | 2009-09-01 | Novellus Systems, Inc. | CVD flowable gap fill |
US7074690B1 (en) * | 2004-03-25 | 2006-07-11 | Novellus Systems, Inc. | Selective gap-fill process |
US7524735B1 (en) | 2004-03-25 | 2009-04-28 | Novellus Systems, Inc | Flowable film dielectric gap fill process |
US7033945B2 (en) * | 2004-06-01 | 2006-04-25 | Applied Materials | Gap filling with a composite layer |
US7521378B2 (en) * | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
US7153783B2 (en) * | 2004-07-07 | 2006-12-26 | Honeywell International Inc. | Materials with enhanced properties for shallow trench isolation/premetal dielectric applications |
KR100546161B1 (ko) * | 2004-07-13 | 2006-01-24 | 주식회사 하이닉스반도체 | 반도체 소자의 소자 분리막 제조 방법 |
US7271112B1 (en) * | 2004-12-30 | 2007-09-18 | Novellus Systems, Inc. | Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry |
US8598044B2 (en) * | 2005-03-25 | 2013-12-03 | Renesas Electronics Corporation | Method of fabricating a semiconductor device |
US7585704B2 (en) * | 2005-04-01 | 2009-09-08 | International Business Machines Corporation | Method of producing highly strained PECVD silicon nitride thin films at low temperature |
US8138104B2 (en) * | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US7566655B2 (en) * | 2005-05-26 | 2009-07-28 | Applied Materials, Inc. | Integration process for fabricating stressed transistor structure |
US8129290B2 (en) * | 2005-05-26 | 2012-03-06 | Applied Materials, Inc. | Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure |
DE102005026695A1 (de) | 2005-06-09 | 2006-12-21 | Schott Ag | Leuchtvorrichtung mit einem Außenkolben, insbesondere Hochdruck-Entladungslampe |
US20070054505A1 (en) | 2005-09-02 | 2007-03-08 | Antonelli George A | PECVD processes for silicon dioxide films |
JPWO2007080944A1 (ja) * | 2006-01-13 | 2009-06-11 | 東京エレクトロン株式会社 | 多孔質膜の成膜方法およびコンピュータ可読記録媒体 |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US8232176B2 (en) * | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
KR100839529B1 (ko) * | 2006-09-29 | 2008-06-19 | 주식회사 하이닉스반도체 | 반도체소자의 소자분리막 형성 방법 |
US7888273B1 (en) | 2006-11-01 | 2011-02-15 | Novellus Systems, Inc. | Density gradient-free gap fill |
KR101284146B1 (ko) * | 2007-07-19 | 2013-07-10 | 삼성전자주식회사 | 트렌치 소자분리 영역을 갖는 반도체소자 및 그 제조방법 |
US7745352B2 (en) * | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
US7541297B2 (en) * | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7803722B2 (en) * | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7622369B1 (en) * | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US20100109155A1 (en) | 2008-11-05 | 2010-05-06 | Chartered Semiconductor Manufacturing, Ltd. | Reliable interconnect integration |
KR101561862B1 (ko) * | 2008-12-26 | 2015-10-21 | 삼성전자 주식회사 | 반도체 집적 회로 장치의 제조 방법 |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
CN102652353B (zh) | 2009-12-09 | 2016-12-07 | 诺发系统有限公司 | 新颖间隙填充整合 |
US20120149213A1 (en) * | 2010-12-09 | 2012-06-14 | Lakshminarayana Nittala | Bottom up fill in high aspect ratio trenches |
-
2010
- 2010-12-09 CN CN201080055670.3A patent/CN102652353B/zh active Active
- 2010-12-09 TW TW099143081A patent/TWI579916B/zh active
- 2010-12-09 WO PCT/US2010/059721 patent/WO2011072143A2/en active Application Filing
- 2010-12-09 KR KR1020127013775A patent/KR101758944B1/ko active IP Right Grant
- 2010-12-09 US US12/964,110 patent/US8728958B2/en active Active
-
2014
- 2014-04-09 US US14/249,272 patent/US20140302689A1/en not_active Abandoned
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030159655A1 (en) | 2002-02-26 | 2003-08-28 | Ping-Wei Lin | Apparatus for depositing an insulation layer in a trench |
US20040152342A1 (en) * | 2003-02-04 | 2004-08-05 | Micron Technology, Inc. | Method of eliminating residual carbon from flowable oxide fill |
Also Published As
Publication number | Publication date |
---|---|
US20140302689A1 (en) | 2014-10-09 |
KR20120099243A (ko) | 2012-09-07 |
CN102652353B (zh) | 2016-12-07 |
WO2011072143A3 (en) | 2011-10-06 |
US8728958B2 (en) | 2014-05-20 |
CN102652353A (zh) | 2012-08-29 |
TWI579916B (zh) | 2017-04-21 |
TW201128700A (en) | 2011-08-16 |
US20110151678A1 (en) | 2011-06-23 |
WO2011072143A2 (en) | 2011-06-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101758944B1 (ko) | 신규한 갭 충진 집적화 | |
KR102427218B1 (ko) | 기판 표면들 상에 유동성 유전체 디포지션 처리 | |
US9299559B2 (en) | Flowable oxide film with tunable wet etch rate | |
US8685867B1 (en) | Premetal dielectric integration process | |
US20120149213A1 (en) | Bottom up fill in high aspect ratio trenches | |
US20150118863A1 (en) | Methods and apparatus for forming flowable dielectric films having low porosity | |
US10049921B2 (en) | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor | |
KR102291889B1 (ko) | 유기아미노실란 어닐링을 이용한 SiOCH 막의 형성 방법 | |
US9245739B2 (en) | Low-K oxide deposition by hydrolysis and condensation | |
US7629227B1 (en) | CVD flowable gap fill | |
CN107109643A (zh) | 高质量流动式化学气相沉积膜的先进工艺流程 | |
KR20100069603A (ko) | Pecvd 유동성 유전체 갭 충전 | |
KR20120089792A (ko) | 고 종횡비 트렌치의 바텀 업 충전 | |
KR20160028359A (ko) | 가수 분해 및 응결에 의한 저-k 옥사이드 증착 | |
KR101008490B1 (ko) | 저온 화학기상증착에 의한 산화막 증착 방법 | |
KR20240154621A (ko) | 절연막의 형성 방법 및 기판 처리 시스템 | |
JP2023128751A (ja) | 絶縁膜の形成方法および基板処理システム | |
KR20220025751A (ko) | 마이크로파 방사로 유전체 막들을 후처리하는 방법들 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |