DE102020120899A1 - Abscheidungsprozess zum bilden von halbleitervorrichtungen und system - Google Patents

Abscheidungsprozess zum bilden von halbleitervorrichtungen und system Download PDF

Info

Publication number
DE102020120899A1
DE102020120899A1 DE102020120899.5A DE102020120899A DE102020120899A1 DE 102020120899 A1 DE102020120899 A1 DE 102020120899A1 DE 102020120899 A DE102020120899 A DE 102020120899A DE 102020120899 A1 DE102020120899 A1 DE 102020120899A1
Authority
DE
Germany
Prior art keywords
precursor
dielectric
trench
deposition
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020120899.5A
Other languages
English (en)
Inventor
Chung-Ting Ko
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/943,020 external-priority patent/US11532475B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020120899A1 publication Critical patent/DE102020120899A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/38Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions
    • H01L21/383Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/441Deposition of conductive or insulating materials for electrodes
    • H01L21/443Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Ein Verfahren umfasst das Platzieren eines Halbleitersubstrats in einer Abscheidungskammer, wobei das Halbleitersubstrat einen Graben aufweist, und das Ausführen eines Atomlagenabscheidungsprozesses (ALD), um ein Dielektrikum innerhalb des Grabens abzuscheiden, umfassend das Einströmen eines ersten Vorläufers des Dielektrikums in die Abscheidungskammer als eine Gasphase; Einströmen eines zweiten Vorläufers des dielektrischen Materials in die Abscheidungskammer als eine Gasphase; und Steuern des Drucks und der Temperatur innerhalb der Abscheidungskammer, sodass der zweite Vorläufer auf Flächen innerhalb des Grabens als eine Flüssigphase des zweiten Vorläufers kondensiert, wobei die Flüssigphase des zweiten Vorläufers Kapillarität aufweist.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 62/953,335 , eingereicht am 24. Dezember 2019, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • ALLGEMEINER STAND DER TECHNIK
  • Halbleitervorrichtungen werden in einer Vielzahl von Elektronikanwendungen wie z. B. Personal-Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Betriebsmitteln verwendet. Halbleitervorrichtungen werden üblicherweise hergestellt, indem sequenziell isolierende Schichten oder Dielektrikumschichten, leitende Schichten und Halbleitermaterialschichten über einem Halbleitersubstrat abgeschieden werden, und indem die verschiedenen Materialschichten unter Verwendung von Lithografie strukturiert werden, um darauf Schaltungskomponenten und -elemente zu bilden.
  • Die Halbleiterindustrie fährt fort die Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) durch kontinuierliche Verringerung der minimalen Strukturgröße zu verbessern, die es erlaubt, mehr Komponenten in einem gegebenen Bereich zu integrieren. Da jedoch die minimalen Merkmalsgrößen reduziert sind, ergeben sich zusätzliche Probleme, die adressiert werden sollten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • Die 1 und 2 sind Veranschaulichungen eines Abscheidungssystems, das zum Ausführen eines kapillarunterstützten Atomlagenabscheidungsprozesses (ALD-Prozesses) verwendet wird, gemäß einigen Ausführungsformen.
    • Die 2, 3,4, 5, 6, 7, 8, 9 und 10 sind Querschnittansichten von Zwischenstufen bei der Ausführung eines kapillarunterstützten Abscheidungs-ALD-Prozesses gemäß einigen Ausführungsformen.
    • 11 ist eine Veranschaulichung eines ALD-Zyklus eines kapillarunterstützten Abscheidungs-ALD-Prozesses gemäß einigen Ausführungsformen.
    • Die 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27 und 28 sind verschiedene Ansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Implementieren unterschiedlicher Merkmale der Erfindung bereit. Es werden nachfolgend spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränken. Das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, bei denen die ersten und die zweiten Merkmale in direktem Kontakt gebildet sind, und auch Ausführungsformen, bei denen zusätzliche Funktionen zwischen den ersten und den zweiten Merkmalen gebildet sein können, sodass die ersten und die zweiten Merkmale nicht in direktem Kontakt sein können. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder - zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient zum Zweck der Einfachheit und Klarheit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe, wie „darunter“, „unter“, „untere“, „über“, „obere“ und dergleichen zur Erleichterung der Erörterung hierin verwendet sein, um die Beziehung eines Elements oder Merkmals zu einem bzw. zu anderen Elementen oder Merkmalen wie veranschaulicht in den Figuren zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren gezeigt ist, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb der Vorrichtung umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hierin verwendeten räumlichen relativen Beschreiber können desgleichen dementsprechend interpretiert werden.
  • Verschiedene Ausführungsformen stellen Prozesse zum Abscheiden von Lückenfüllungsmaterialien unter Verwendung des kapillarunterstützten Atomlagenabscheidungsprozesses (ALD-Prozesses) zum Ausführen eines teilweisen Bottom-up-Abscheidungsprozesses. Der hierin beschriebene kapillarunterstützte ALD-Prozess umfasst das Steuern des Prozessdrucks und/oder der Prozesstemperatur während eines ALD-Prozesses, sodass ein oder mehrere Vorläufer auf Flächen als eine Flüssigkeit kondensieren. Der bzw. die Vorläufer können als geeigneter Vorläufer gewählt werden, der Kapillarität aufweist, wenn er sich in einer Flüssigphase befindet. Aufgrund der Kapillarität des kondensierten Vorläufers kann der Vorläufer durch Kapillarwirkung in tiefe oder enge Räume gezogen werden. Auf diese Weise kann das Material innerhalb dieser tiefen oder engen Räume ein bottom-up-artiges Wachstum aufweisen, während das Material zudem auf exponierteren oder flacheren Flächen ein konformes Wachstum aufweisen kann. Dies ermöglicht die Lückenfüllungsabscheidung von Material in tiefen oder engen Räumen ohne die Bildung von Defekten wie Fugen oder Hohlräumen. Die hierin beschriebenen Techniken ermöglichen eine verbesserte Materialabscheidung unter Verwendung von thermischer ALD oder Plasma-ALD ohne die Verwendung von Inhibitoren oder anderen Zusätzen. Halbleitervorrichtungen, die gemäß den hierin beschriebenen Prozessen gebildet werden, können weniger Vorrichtungsfehler und eine verbesserte Leistung aufweisen.
  • Einige hierin beschriebene Ausführungsformen werden im Kontext von FinFETs beschrieben, die unter Verwendung eines Gate-Last-Prozesses gebildet werden. Bei anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Einige Ausführungsformen berücksichtigen auch Aspekte, die bei planaren Vorrichtungen wie planaren FETs verwendet werden, oder Aspekte, die in Nanostruktur-FETs (z. B. Nanoblech- oder „Gate-Rundum“-FETs oder dergleichen) verwendet werden. Außerdem können die hierin beschriebenen Ausführungsformen für einen Lückenfüllungsabscheidungsprozess in anderen Schritten bei der Bildung von FinFETs als den gezeigten oder zum Bilden anderer Arten von Vorrichtungen oder Strukturen verwendet werden. Beispielsweise können die hierin beschriebenen Ausführungsformen zum Bilden anderer Front-End-of-Line-Merkmale (FEOL-Merkmale) oder Back-End-of-Line-Merkmale verwendet werden, wie beispielsweise zum Bilden von Passivierungsschichten, Isolierschichten, Opferschichten, Abstandselementen, Kontakten, Durchkontaktierungen, Metallleitungen oder anderen leitenden Leiterbahnen, für Lückenfüllungsabscheidungsschritte bei Mehrstrukturierungsprozessen oder dergleichen.
  • Die 1A und 1B veranschaulichen ein Abscheidesystem 100, das zum Abscheiden eines Materials auf einem Substrat 110 verwendet werden kann, gemäß einigen Ausführungsformen. Das Abscheidesystem 100 kann verwendet werden, um das Material unter Verwendung eines kapillarunterstützten Atomlagenabscheidungsprozesses (ALD) abzuscheiden. Ein veranschaulichendes Beispiel für einen kapillarunterstützten ALD-Prozess wird in den 2 bis 11 ausführlicher beschrieben. Die 12 bis 28 beschreiben Prozessschritte zum Bilden einer FinFET-Vorrichtung 310 unter Verwendung eines kapillarunterstützten ALD-Prozesses zum Abscheiden dielektrischer Hartmasken 380 gemäß einigen Ausführungsformen.
  • In den 1A bis 1B ist ein Abscheidungssystem 100 gezeigt, das zum Abscheiden eines Materials unter Verwendung eines kapillarunterstützten Atomlagenabscheidungsprozesses (ALD-Prozesses), wie dem für die 2 bis 11 nachstehend ausführlicher beschriebenen, verwendet werden kann. Das Abscheidesystem 100 kann verwendet werden, um ein Material auf einem Substrat 110 abzuscheiden, das beispielsweise eine Halbleiterstruktur (z. B. Struktur 210 wie gezeigt in 2), ein Wafer (z. B. Wafer 310 wie gezeigt in 12), eine Vorrichtung, ein Package, eine andere Struktur oder dergleichen sein kann.
  • Bei einigen Ausführungsformen empfängt das Abscheidungssystem 100 Vorläufermaterialien von einem ersten Vorläuferzuführsystem 105 und einem zweiten Vorläuferzuführsystem 106 und bildet Materialschichten auf das Substrat 110. Das erste Vorläuferzuführsystem 105 und das zweite Vorläuferzuführsystem 106 können in Verbindung miteinander arbeiten, um die verschiedenen unterschiedlichen Vorläufermaterialien einer Abscheidungskammer 103 zuzuführen, in der das Substrat 110 platziert ist. In einigen Fällen können das erste Vorläuferzuführsystem 105 und das zweite Vorläuferzuführsystem 106 physische Komponenten aufweisen, die einander ähnlich sind. Das erste Vorläuferzuführsystem 105 kann beispielsweise eine Gasversorgung 107A und einen Strömungsregler 109A aufweisen und das zweite Vorläuferzuführsystem 106 kann eine Gasversorgung 107B und einen Strömungsregler 109B aufweisen. Bei einer Ausführungsform, bei der ein Vorläufer in gasförmigem Zustand gespeichert wird, kann eine Gasversorgung 107A/B den Vorläufer der Abscheidungskammer 103 zuführen. Die Gasversorgung 107A/B kann ein Behälter, wie beispielsweise ein Gasspeichertank, sein, der sich entweder lokal an der Abscheidungskammer 103 befindet oder aber sich entfernt von der Abscheidungskammer 103 befinden kann. Bei einer anderen Ausführungsform kann die Gasversorgung 107A/B eine Einrichtung sein, die den Vorläufer unabhängig aufbereitet und dem entsprechenden Strömungsregler 109A/B zuführt. Jede geeignete Quelle für einen Vorläufer kann als Gasversorgung 107A und/oder 107B verwendet werden und alle diese Quellen sollen im Umfang der Ausführungsformen umfasst sein.
  • Die Gasversorgung 107A/B kann den gewünschten Vorläufer dem entsprechenden Strömungsregler 109A/B zuführen. Der Strömungsregler 109A/B kann verwendet werden, um die Strömung des Vorläufers zum Vorläufergasregler 113 und schließlich zur Abscheidungskammer 103 zu steuern, wodurch auch das Steuern des Drucks innerhalb der Abscheidungskammer 103 unterstützt wird. Der Strömungsregler 109A und/oder 109B kann z. B. ein Proportionalventil, ein Modulationsventil, ein Nadelventil, ein Druckregler, ein Massenflussregler, Kombinationen davon oder dergleichen sein. Es kann jedoch jedes geeignete Verfahren zum Steuern und Regeln des Gasflusses zu dem Vorläufergasregler 113 verwendet werden und alle diese Komponenten und Verfahren sollen im Umfang der Ausführungsformen umfasst sein.
  • Ein Durchschnittsfachmann wird erkennen, dass das erste Vorläuferzuführsystem 105 und das zweite Vorläuferzuführsystem 106 hierin als identische Komponenten aufweisend beschrieben wurden, dies aber lediglich ein veranschaulichendes Beispiel ist und nicht dazu beabsichtigt ist, die Ausführungsformen in irgendeiner Weise einzuschränken. Jede Art von geeignetem Vorläuferzuführsystem mit jeder Art und Anzahl an Einzelkomponenten, die identisch mit jedem der anderen Vorläuferzuführsysteme innerhalb des Abscheidungssystems 100 oder davon verschieden sind, kann verwendet werden. Von all diesen Vorläufersystemen ist vollständig beabsichtigt, dass sie im Umfang der vorliegenden Ausführungsformen umfasst sind.
  • Zusätzlich kann bei einer Ausführungsform, bei der ein Vorläufer in einem festen oder flüssigen Zustand gespeichert wird, die Gasversorgung 107A/B ein Trägergas speichern und das Trägergas in einen Vorläuferbehälter (nicht separat veranschaulicht) eingeführt werden, der den Vorläufer in dem festen oder flüssigen Zustand speichert. Das Trägergas wird dann verwendet, um den Vorläufer beim Verdampfen oder Sublimieren in einen gasförmigen Abschnitt des Vorläuferbehälters zu drücken und zu befördern, bevor er zu dem Vorläufergasregler 113 geschickt wird. Jedes geeignete Verfahren und jede Kombination von Komponenten kann zum Bereitstellen des Vorläufers verwendet werden und alle solchen Kombinationen von Komponenten sollen im Umfang der Ausführungsformen umfasst sein.
  • Das erste Vorläuferzuführsystem 105 und das zweite Vorläuferzuführsystem 106 können ihre individuellen Vorläufermaterialien in einen Vorläufergasregler 113 zuführen. Der Vorläufergasregler 113 verbindet das erste Vorläuferzuführsystem 105 und das zweite Vorläuferzuführsystem 106 mit der Abscheidungskammer 103 und isoliert sie davon, um die gewünschten Vorläufermaterialien der Abscheidungskammer 103 zuzuführen. Der Vorläufergasregler 113 kann solche Vorrichtungen wie Ventile, Strömungsmesser, Sensoren und dergleichen aufweisen, um die Zuführgeschwindigkeiten (z. B. Strömungsgeschwindigkeiten) von jedem der Vorläufer zu steuern, und kann durch Befehle gesteuert werden, die von der Steuereinheit 115 empfangen werden (nachstehend in Bezug auf 2B beschrieben).
  • Der Vorläufergasregler 113 kann nach Empfang von Befehlen von der Steuereinheit 115 Ventile öffnen und schließen, um eines oder mehrere von dem ersten Vorläuferzuführsystem 105 und dem zweiten Vorläuferzuführsystem 106 mit der Abscheidungskammer 103 zu verbinden und ein gewünschtes Vorläufermaterial durch einen Verteiler 116 in die Abscheidungskammer 103 und zu einem Verteilerkopf 117 zu leiten. Der Verteilerkopf 117 kann verwendet werden, um das bzw. die gewählten Vorläufermaterialien in die Abscheidungskammer 103 zu verteilen, und er kann ausgelegt sein, das Vorläufermaterial gleichmäßig zu verteilen, um unerwünschte Prozessbedingungen, die sich aus einer ungleichmäßigen Verteilung ergeben können, zu minimieren. Bei einer Ausführungsform kann der Verteilerkopf 117 ein kreisförmiges Design mit Öffnungen aufweisen, die gleichmäßig um den Verteilerkopf 117 herum verteilt sind, um die Verteilung des gewünschten Vorläufermaterials in der Abscheidungskammer 103 zu ermöglichen.
  • Ein Durchschnittsfachmann wird jedoch erkennen, dass die Einführung von Vorläufermaterialien in die Abscheidungskammer 103 durch einen einzelnen Verteilerkopf 117 oder durch einen einzelnen Einführungspunkt, wie es vorstehend beschrieben ist, nur veranschaulichen soll und nicht auf die Ausführungsformen beschränkt sein soll. Es kann irgendeine Anzahl an separaten und unabhängigen Brauseköpfen 117 oder anderer Öffnungen zum Einführen von Vorläufermaterialien in die Abscheidungskammer 103 verwendet werden. Alle solchen Kombinationen von Duschköpfen und anderen Einführungspunkten sollen im Umfang der Ausführungsformen umfasst sein.
  • Die Abscheidungskammer 103 kann die gewünschten Vorläufermaterialien aufnehmen und das Substrat 110 den Vorläufermaterialien aussetzen und die Abscheidungskammer 103 kann jede gewünschte Form aufweisen, die geeignet sein kann, die Vorläufermaterialien zu verteilen und sie mit der Halbleitervorrichtung 100 in Kontakt zu bringen. Bei der veranschaulichten Ausführungsform in 1A weist die Abscheidungskammer 103 eine zylindrische Seitenwand und einen Boden auf. Die Abscheidungskammer 103 ist jedoch nicht auf eine zylindrische Form beschränkt und es kann jede andere geeignete Form, wie beispielsweise ein hohles quadratisches Rohr, eine achteckige Form oder dergleichen, verwendet werden. Außerdem kann die Abscheidungskammer 103 von einem Gehäuse 119 umgeben sein, das aus einem Material hergestellt ist, das gegenüber den verschiedenen Prozessmaterialien inert ist. Während das Gehäuse 119 irgendein geeignetes Material sein kann, das den beim Abscheidungsprozess auftretenden Chemikalien und Drücken widerstehen kann, kann das Gehäuse 119 bei einer Ausführungsform Stahl, Edelstahl, Nickel, Aluminium, Legierungen davon, Kombinationen davon und dergleichen sein.
  • In der Abscheidungskammer 103 kann das Substrat 110 auf einer Befestigungsplattform 121 platziert werden, um das Substrat 110 und die Halbleitervorrichtung 100 während der Abscheidungsprozesse zu positionieren und zu steuern. Die Befestigungsplattform 121 kann Heizmechanismen aufweisen, um das Substrat 110 während der Abscheidungsprozesse zu erwärmen. Die Befestigungsplattform 121 kann beispielsweise während eines thermischen ALD-Prozesses beheizt werden.
  • Bei einigen Ausführungsformen kann ein Vorläufermaterial in ein Plasma gezündet werden, um beim Abscheidungsprozess, wie beispielsweise für einen Plasma-ALD-Prozess, zu unterstützen. Bei dieser Ausführungsform kann die Befestigungsplattform 121 zusätzlich eine erste Elektrode 123 aufweisen, die mit einem ersten RF-Erzeuger 133 gekoppelt ist. Die erste Elektrode 123 kann durch den ersten RF-Erzeuger 133 (unter Steuerung der Steuereinheit 115) während des Abscheideprozesses mit einer RF-Spannung elektrisch vorgespannt werden. Indem sie elektrisch vorgespannt wird, wird die erste Elektrode 123 dazu verwendet, eine Vorspannung für das eingehende zweite Vorläufermaterial bereitzustellen sowie beim Zünden des Vorläufermaterials in ein Plasma zu unterstützen. Zusätzlich wird die erste Elektrode 123 auch dazu verwendet, das Vorläuferplasma während des Abscheidungsprozesses durch Aufrechterhaltung der Vorspannung aufrechtzuerhalten.
  • Bei einer Ausführungsform kann der Verteilerkopf 117 auch eine zweite Elektrode 129 zur Verwendung als Plasmaerzeuger zum Unterstützen in der Abscheidungskammer 103 sein oder aufweisen (oder anderweitig integrieren). Bei einer Ausführungsform kann der Plasmaerzeuger ein transformatorgekoppelter Plasmaerzeuger und kann z. B. eine Spule sein. Die Spule kann an einem zweiten RF-Erzeuger 127 befestigt sein, der dazu dient, die zweite Elektrode 129 mit Strom zu versorgen (unter Steuerung der Steuereinheit 115), um das Plasma während des Einbringens des Vorläufermaterials zu zünden.
  • Während die zweite Elektrode 129 vorstehend als ein transformatorgekoppelter Plasmaerzeuger beschrieben wurde, sollen Ausführungsformen nicht auf einen transformatorgekoppelten Plasmaerzeuger beschränkt werden. Vielmehr kann jedes geeignete Verfahren zum Erzeugen des Plasmas verwendet werden, wie beispielsweise induktiv gekoppelte Plasmasysteme, magnetfeldunterstütztes reaktives Ionenätzen, Elektronenzyklotronresonanz, ein Remote-Plasmaerzeuger oder dergleichen. Alle diese Verfahren sollen vollständig in den Umfang der Ausführungsformen eingeschlossen sein.
  • Während eine einzelne Befestigungsplattform 121 in 1A veranschaulicht ist, kann außerdem eine beliebige Anzahl an Befestigungsplattformen 121 zusätzlich in der Abscheidungskammer 103 umfasst sein. Außerdem können die Abscheidekammer 103 und die Befestigungsplattform 121 Teil eines Clusterwerkzeugsystems sein (nicht gezeigt). Das Clusterwerkzeugsystem kann in Verbindung mit einem automatisierten Handhabungssystem verwendet werden, um das Substrat 110 vor den Abscheidungsprozessen in der Abscheidungskammer 103 zu positionieren und zu platzieren, das Substrat 110 während der Abscheidungsprozesse zu positionieren und zu halten und das Substrat 110 nach den Abscheidungsprozessen aus der Abscheidungskammer 103 zu entfernen.
  • Die Abscheidungskammer 103 kann auch einen Abgasauslass 125 aufweisen, sodass Abgase aus der Abscheidungskammer 103 austreten. Eine Vakuumpumpe 131 kann mit dem Absaugauslass 125 der Abscheidungskammer 103 verbunden sein, um das Evakuieren der Abgase zu unterstützen. Die Vakuumpumpe 131, die von der Steuereinheit 115 gesteuert wird, kann auch dazu verwendet werden, den Druck in der Abscheidungskammer 103 auf einen gewünschten Druck zu reduzieren und zu steuern, und sie kann auch dazu verwendet werden, Vorläufermaterialien in Vorbereitung für die Einführung des nächsten Vorläufermaterials aus der Abscheidungskammer 103 zu evakuieren.
  • 1B veranschaulicht eine Ausführungsform der Steuereinheit 115, die zum Steuern des Vorläufergasreglers 113 und der Vakuumpumpe 131 verwendet werden kann (wie es in 1A veranschaulicht ist). Die Steuereinheit 115 kann irgendeine Form eines Computerprozessors sein, der in einer industriellen Umgebung zum Steuern von Prozessmaschinen verwendet werden kann. Bei einer Ausführungsform kann die Steuereinheit 115 eine Verarbeitungseinheit 101 aufweisen, wie beispielsweise einen Desktop-Computer, eine Arbeitsstation, einen Laptop-Computer oder eine dedizierte Einheit, die für eine bestimmte Anwendung angepasst ist. Die Steuereinheit 115 kann mit einer Anzeige 143 und einer oder mehreren Ein-/Ausgabe-Komponenten 145 ausgestattet sein, wie beispielsweise Befehlsausgänge, Sensoreingänge, eine Maus, eine Tastatur, einen Drucker, Kombinationen davon oder dergleichen. Die Verarbeitungseinheit 101 kann eine Zentraleinheit (CPU) 146, Speicher 148, eine Massenspeichervorrichtung 150, einen Videoadapter 154 und eine E/A-Schnittstelle 156, die mit einem Bus 158 verbunden ist, aufweisen.
  • Der Bus 158 kann eine oder mehrere von mehreren Busarchitekturen irgendeiner Art sein, die einen Speicherbus oder Speichercontroller, einen Peripheriebus oder einen Videobus aufweisen. Die CPU 146 kann jede Art von elektronischem Datenprozessor aufweisen und der Speicher 148 kann jede Art von Systemspeicher aufweisen, wie beispielsweise statischen Speicher mit wahlfreiem Zugriff (SRAM), dynamischen Speicher mit wahlfreiem Zugriff (DRAM) oder Festwertspeicher (ROM). Die Massenspeichervorrichtung 150 kann jede Art von Speichervorrichtung aufweisen, die konfiguriert ist, um Daten, Programme und andere Informationen zu speichern und die Daten, Programme und andere Informationen über den Bus 158 zugänglich zu machen. Die Massenspeichervorrichtung 150 kann beispielsweise ein oder mehrere von einem Festplattenlaufwerk, einem Magnetplattenlaufwerk oder einem optischen Laufwerk aufweisen.
  • Der Videoadapter 154 und die E/A-Schnittstelle 156 stellen Schnittstellen zum Koppeln externer Ein- und Ausgabevorrichtungen mit der Verarbeitungseinheit 101 bereit. Wie in 1B veranschaulicht, weisen Beispiele für Ein- und Ausgabevorrichtungen die mit dem Videoadapter 154 gekoppelte Anzeige 143 und die mit der E/A-Schnittstelle 156 gekoppelte E/A-Komponente 145, wie beispielsweise eine Maus, eine Tastatur, einen Drucker und dergleichen, auf. Andere Vorrichtungen können mit der Verarbeitungseinheit 101 gekoppelt sein, und es können zusätzliche oder weniger Schnittstellenkarten verwendet werden. Eine serielle Schnittstellenkarte (nicht gezeigt) kann beispielsweise verwendet werden, um eine serielle Schnittstelle für einen Drucker bereitzustellen. Die Verarbeitungseinheit 101 kann auch eine Netzwerkschnittstelle 160 aufweisen, die eine drahtgebundene Verbindung zu einem lokalen Netzwerk (LAN) oder einem Weitverkehrsnetzwerk (WAN) 162 und/oder eine drahtlose Verbindung sein kann.
  • Es ist zu beachten, dass die Steuereinheit 115 andere Komponenten aufweisen kann. Die Steuereinheit 115 kann beispielsweise Stromversorgungen, Kabel, eine Hauptplatine, austauschbare Speichermedien, Gehäuse und dergleichen aufweisen. Diese anderen Komponenten werden, auch wenn sie nicht in 1B gezeigt sind, als Teil der Steuereinheit 115 betrachtet.
  • Unter Bezugnahme auf die 2 bis 11 sind Zwischenschritte in einem Beispiel für einen kapillarunterstützten ALD-Prozess gemäß einigen Ausführungsformen gezeigt. Der hierin beschriebene kapillarunterstützte ALD-Prozess kann beispielsweise zum Abscheiden eines Spaltfüllungsmaterials in engen Regionen einer Struktur mit einer verringerten Wahrscheinlichkeit der Bildung von Fugen oder Hohlräumen verwendet werden. Der gezeigte Prozess ist ein veranschaulichendes Beispiel, bei dem ein Abscheidesystem (z. B. das Abscheidesystem 100 oder dergleichen) verwendet wird, um einen kapillarunterstützten ALD-Prozess auszuführen, der ein Material 240 über einer Struktur 210 abscheidet. Das zuvor für 1A beschriebene Substrat 110 kann die Struktur 210 aufweisen. Der kapillarunterstützte ALD-Prozess umfasst einen ALD-Prozess, bei dem mindestens ein Vorläufer des Materials 240 auf Flächen der Struktur 210 Kapillarität aufweist. Der beispielhafte kapillarunterstützte ALD-Prozess der 2 bis 11 beschreibt einen Prozess, bei dem ein erster Vorläufer 220 keine Kapillarität und ein zweiter Vorläufer 230 Kapillarität aufweist, aber bei anderen Ausführungsformen können beide Vorläufer Kapillarität aufweisen oder Vorläufer, die in verschiedenen Schritten des Prozesses verwendet werden, können Kapillarität aufweisen. Bei einigen Ausführungsformen können die Bedingungen des Abscheidungsprozesses gesteuert werden, um die Kapillarität von einem oder mehreren Vorläufern zu erhöhen und die Qualität oder das Lückenfüllungsvermögen des abgeschiedenen Materials 240 zu verbessern. Die spezifischen Eigenschaften der verwendeten Vorläufer können von dem Material 240 abhängen. Der kapillarunterstützte ALD-Prozess wird nachstehend unter Bezugnahme auf das in 11 gezeigte ALD-Zyklusdiagramm 250 beschrieben, das einen beispielhaften einzelnen ALD-Zyklus zeigt, der zwei Schritte umfasst.
  • Unter Bezugnahme auf 2 ist eine Struktur 210 gemäß einigen Ausführungsformen gezeigt. Die Struktur 210 weist zwei Merkmale 202 auf, die von einem Substrat 3203 vorstehen, in dem die Merkmale 202 durch einen Graben 201 getrennt sind. Die gezeigte Struktur 210 ist ein Beispiel und die hierin beschriebenen Techniken können verwendet werden, um ein Material über irgendeiner geeigneten Struktur oder innerhalb irgendeines geeigneten Grabens oder irgendeiner geeigneten Aussparung abzuscheiden. Als weiteres Beispiel beschreiben die 23 bis 24 eine Ausführungsform, in der ein dielektrisches Hartmaskenmaterial 380' als Teil des Bildens einer FinFET-Vorrichtung 310 innerhalb einer Aussparung 378 abgeschieden wird. Diese und andere Strukturen werden als im Umfang der vorliegenden Offenbarung betrachtet.
  • Der in 2 gezeigte Graben 201 weist eine Breite W1 auf, die beispielsweise in einem Bereich zwischen ungefähr 3 nm und ungefähr 30 nm liegen kann, obwohl auch andere Breiten möglich sind. Der Graben 201 kann eine Tiefe D1 in einem Bereich zwischen ungefähr 9 nm und ungefähr 300 nm aufweisen, obwohl auch andere Tiefen möglich sind. Als solches kann der Graben 201 ein Höhen:Breiten-Seitenverhältnis zwischen ungefähr 1:2 und ungefähr 1:25 aufweisen, obwohl auch andere Seitenverhältnisse möglich sind. Die Merkmale 202 können eine Teilung P1 aufweisen, die in einem Bereich zwischen ungefähr 5 nm und ungefähr 200 nm liegt, obwohl auch andere Teilungen möglich sind. In einigen Fällen kann ein kapillarunterstützter ALD-Prozess, wie er hierin beschrieben wird, ein Material in Gräben, Aussparungen oder dergleichen mit einer Breite W1 von kleiner als ungefähr 10 nm, einer Tiefe von größer als ungefähr 100 nm oder einem Seitenverhältnis von größer als ungefähr 1:10 ohne Bildung von Fugen oder Hohlräumen in dem abgeschiedenen Material abscheiden. Dies kann die Ausbeute und die Prozesszuverlässigkeit beim Verwenden eines ALD-Prozesses zum Abscheiden eines Materials verbessern.
  • Unter Bezugnahme auf 3 kann ein erstes Vorläufermaterial 220 in die Abscheidungskammer (z. B. die Abscheidungskammer 103) eingeströmt (z. B. „gepulst“) werden. Dies entspricht der ersten Vorläuferströmung 252A von Schritt 1, die in 11 gezeigt ist. Bei diesem beispielhaften Prozess weist das erste Vorläufermaterial 220 keine Kapillarität auf. Das erste Vorläufermaterial 220 adsorbiert an freiliegenden Flächen der Struktur 210 und reagiert damit, wobei ein erstes Reaktionsprodukt 221 gebildet wird, das an diese Flächen gebondet wird. Sobald das erste Vorläufermaterial 220 mit allen verfügbaren Reaktionsstellen auf den Flächen reagiert hat, kann kein weiteres erstes Reaktionsprodukt 221 gebildet werden. Auf diese Weise kann die Bildung des ersten Reaktionsprodukts 221 eine „selbstbegrenzende Reaktion“ sein.
  • Nachdem das erste Vorläufermaterial 220 reagiert hat, kann die Abscheidungskammer dann von überschüssigem erstem Vorläufermaterial 220 oder anderen Reaktionsprodukten gespült werden. Dies entspricht dem ersten Spülen 252B von Schritt 1, das in 11 gezeigt ist. Das Spülen kann vor oder nach Abschluss der selbstbegrenzenden Reaktion, die das erste Reaktionsprodukt 221 bildet, erfolgen. Das Spülen kann beispielsweise durch Einleiten eines Spülgases wie Stickstoff, Argon, Xenon oder dergleichen in die Abscheidekammer erfolgen. Nachdem das erste Spülen 252B ausgeführt wurde, ist Schritt 1 des ALD-Zyklus abgeschlossen.
  • Unter Bezugnahme auf 4 kann dann ein zweites Vorläufermaterial 230 in die Abscheidungskammer eingeströmt werden. Dies entspricht der ersten Vorläuferströmung 254A von Schritt 2, die in 11 gezeigt ist. Bei diesem beispielhaften Prozess weist das zweite Vorläufermaterial 230 Kapillarität auf. Das zweite Vorläufermaterial 230 adsorbiert an das erste Reaktionsprodukt 221 und reagiert damit, wobei ein zweites Reaktionsprodukt 231 gebildet wird, das an das erste Reaktionsprodukt 221 gebondet wird. Es ist zu beachten, dass das erste Reaktionsprodukt 221 während der Reaktion mit dem zweiten Vorläufermaterial 230 chemische Veränderungen erfahren kann und das erste Reaktionsprodukt 221 nach der Reaktion mit dem zweiten Vorläufermaterial 230 daher in 4 als erstes Reaktionsprodukt 221' bezeichnet wird. Das Ergebnis der Reaktion zwischen dem ersten Reaktionsprodukt 221 und dem zweiten Vorläufermaterial 230 bildet einen Teil oder die Gesamtheit einer Schicht (z. B. einer Monoschicht) aus Material 240, die in 4 als Materialschicht 240A bezeichnet ist. Die Bildung einer Monoschicht aus dem Material 240 kann auch eine selbstbegrenzende Reaktion sein, da die Reaktion durch die Anzahl der unreagierten Stellen des ersten Reaktionsprodukts 221 begrenzt ist.
  • Zusätzlich zeigt 4 eine Ausführungsform, bei der die Prozessbedingungen (z. B. Druck und/oder Temperatur) der Abscheidung gesteuert werden und/oder das zweite Vorläufermaterial 230 derart gewählt wird, dass das zweite Vorläufermaterial 230, das über der Struktur 210 kondensiert, Kapillarkräfte erfährt. Das zweite Vorläufermaterial 230 kann beispielsweise derart gewählt werden, dass es ein Material ist, das Kapillarität in einer Flüssigphase aufweist (hierin als „Kapillarflüssigkeit“ bezeichnet). Einige beispielhafte Vorläufermaterialien mit Kapillarität werden nachstehend beschrieben. Bei einigen Ausführungsformen kann der Druck und/oder die Temperatur der Abscheidungskammer gesteuert werden, um die Kondensation oder Adsorption des zweiten Vorläufermaterials 230 auf Flächen (z. B. auf dem Reaktionsprodukt 221) als Flüssigkeit zu fördern. Einige beispielhafte Prozessbedingungen werden nachstehend ebenfalls beschrieben. Bei einigen Ausführungsformen kann ein höherer Druck und/oder eine niedrigere Temperatur innerhalb der Abscheidungskammer die Kondensation des zweiten Vorläufermaterials 230 auf Flächen fördern. Die während des Prozesses verwendeten Drücke und/oder Temperaturen können von den Eigenschaften (z. B. Schmelzpunkt, Siedepunkt usw.) des zweiten Vorläufermaterials 230 abhängen. Ein Vorläufer mit einem höheren Schmelzpunkt kann beispielsweise bei niedrigeren Temperaturen kondensieren als ein Vorläufer mit einem niedrigeren Schmelzpunkt oder ein Vorläufer mit einem niedrigeren Siedepunkt kann bei höheren Temperaturen kondensieren als ein Vorläufer mit einem höheren Siedepunkt.
  • Wie in 4 gezeigt, kann das zweite Vorläufermaterial 230, das in die Abscheidungskammer eingebracht wird, auf Flächen als eine Flüssigkeit kondensieren. Da es eine Kapillarflüssigkeit ist, kann dieses zweite Vorläufermaterial 230 in einer flüssigen Phase durch Kapillarkräfte in Richtung von Flächen gezogen werden. Insbesondere kann das zweite Vorläufermaterial 230 in einer Flüssigphase durch Kapillarkräfte von den Seitenwänden, welche die Aussparung umgeben, in eine Aussparung gezogen werden. Das zweite Vorläufermaterial 230 kann beispielsweise in einer Flüssigphase durch die Kapillarkräfte von den Seitenwänden der Merkmale 202 und der unteren Fläche des Substrats 3203 in Richtung der Unterseite des Grabens 201 gezogen werden. Auf diese Weise kann ein Kapillarflüssigkeitsvorläufer auf einigen Flächen innerhalb enger oder begrenzter Regionen leichter gebildet werden als beispielsweise ein Vorläufer, der in einer Gasphase vorhanden ist. Dies kann das Wachsen des gewünschten Materials auf Flächen erleichtern, die für einen gasförmigen Vorläufer schwer zu erreichen sein können, wie beispielsweise Flächen in der Nähe der Unterseite von Gräben, Flächen in Aussparungen mit einem hohen Seitenverhältnis, Flächen in tiefen Öffnungen oder dergleichen. Die Kapillarwirkung ermöglicht es, dass das zweite Vorläufermaterial 230 mit dem ersten Reaktionsprodukt 221 auf diesen Flächen reagiert, um das Material 240 zu bilden. Auf diese Weise kann die Kapillarwirkung eines Vorläufers während eines ALD-Prozesses das Wachsen von Material sowohl von der Unterseite eines Grabens als auch von den Seitenwänden des Grabens erleichtern. Dies kann ermöglichen, dass das Material in einem Graben ohne die Bildung von Fugen oder Hohlräumen wächst.
  • Zusätzlich kann das zweite Vorläufermaterial 230, das auf oberen Flächen oder Oberseitenflächen der Struktur 210 kondensiert, mit dem ersten Reaktionsprodukt 221 reagieren, um das Material 240 auf diesen Flächen zu bilden. Auf diese Weise kann das Material 240 zusätzlich zu den Flächen innerhalb von Gräben auf den oberen Flächen oder Oberseitenflächen einer Struktur konform abgeschieden werden. Als solches kann das Verwenden einer kapillarunterstützten ALD, wie es hierin beschrieben wird, ein gewünschtes Material über allen Flächen einer Struktur bilden und das Material mit geringerer Möglichkeit einer unvollständigen Abdeckung der Flächen bilden. In einigen Fällen kann die Kondensation des zweiten Vorläufermaterials 230 in relativ begrenzten Regionen, wie beispielsweise nahe der Unterseite des Grabens 201, durch kapillare Kondensationseffekte erleichtert werden, in denen Flüssigkeiten in Regionen, die sich in der Nähe von relativ mehr Flächen befinden, mit größerer Wahrscheinlichkeit kondensieren.
  • Wie in 4 gezeigt, sammelt sich aufgrund von Kapillarwirkung das zweite Vorläufermaterial 230 in einer Flüssigphase nahe der Unterseite des Grabens 201 an. Diese relativ große Menge an flüssigem zweiten Vorläufermaterial 230 aufgrund von Kapillarwirkung ist in 4 als flüssiges zweites Vorläufermaterial 230A angegeben. Eine Meniskus- oder Kapillarbrücke, die sich zwischen den aus dem flüssigen zweiten Vorläufermaterial 230A gebildeten Merkmalen 202 erstreckt, kann sich nahe der Unterseite des Grabens 201 bilden. Der Meniskus oder die Brücke kann eine konkave Fläche, wie es in 4 gezeigt ist, oder eine flache oder konvexe Fläche aufweisen.
  • Nachdem das zweite Vorläufermaterial 230 reagiert hat, um das Material 240 zu bilden, kann dann überschüssiges zweites Vorläufermaterial 230 oder andere Reaktionsprodukte aus der Abscheidekammer gespült werden. Dies entspricht dem zweiten Spülen 254B von Schritt 2, das in 11 gezeigt ist. Das Spülen kann vor oder nach Abschluss der selbstbegrenzenden Reaktion, die eine Monoschicht aus dem Material 240 bildet, erfolgen. Das Spülen kann beispielsweise durch Einleiten eines Spülgases wie Stickstoff, Argon, Xenon oder dergleichen in die Abscheidekammer erfolgen.
  • Nachdem die Abscheidungskammer beim zweiten Spülen 254B gespült wurde, ist Schritt 2 des ALD-Zyklus abgeschlossen und der gesamte ALD-Zyklus zur Bildung des Materials 240 abgeschlossen. Die resultierende Schicht aus dem Material 240 ist in 5 als Materialschicht 240A gezeigt. Der für die 2 bis 4 und 11 beschriebene ALD-Zyklus kann dann wiederholt werden, bis eine gewünschte Dicke aus Material 240 gebildet oder eine ausreichende Spaltfüllung (z. B. des Grabens 201) erreicht wurde. 6 zeigt beispielsweise das Einbringen des ersten Vorläufermaterials 220 in die Abscheidekammer, wo es mit der Materialschicht 240A reagiert, um das erste Reaktionsprodukt 221 zu bilden, entsprechend der ersten Vorläuferströmung 252A. Dann kann das erste Spülen 252B ausgeführt werden. In 7 wird der zweite Vorläufer 230 in die Abscheidungskammer eingebracht, wo er auf Flächen kondensiert und mit dem ersten Reaktionsprodukt 221 reagiert, um eine weitere Schicht aus dem Material 240 zu bilden, die in den 7 als Materialschicht 240B angegeben ist.
  • Die 8 bis 9 zeigen eine Ausführungsform, bei der das zweite Spülen 254B nach dem Bilden der Materialschicht 240B das nicht reagierte zweite Vorläufermaterial 230 gemäß einigen Ausführungsformen unvollständig aus der Abscheidungskammer entfernt. Ein Spülen kann gesteuert werden, sodass es ein unvollständiges Spülen ist, indem beispielsweise die Geschwindigkeit oder die Dauer der Strömung von Spülgasen reduziert wird. Zusätzlich kann der Druck und/oder die Temperatur der Abscheidungskammer gesteuert werden, um das Vorhandensein von kondensiertem Vorläufermaterial aufrechtzuerhalten. Als ein veranschaulichendes Beispiel ist ein kapillarunterstützter ALD-Prozess unter Verwendung eines unvollständigen Spülens im Zusammenhang mit dem Auftreten nach 7 gezeigt, aber die Technik kann mit jeglichen anderen Ausführungsformen, Prozessen oder Techniken für einen kapillarunterstützten ALD-Prozess, wie diejenigen, die hierin beschrieben werden, verwendet werden. Bei einigen Ausführungsformen werden in jedem ALD-Zyklus eine oder mehrere unvollständige Spülvorgänge ausgeführt, aber bei anderen Ausführungsformen sind alle Spülvorgänge vollständig oder fast vollständig.
  • Unter Bezugnahme auf 8 kann ein unvollständiges zweites Spülen 254B nach der zweiten Vorläuferströmung 254A einen verbleibenden Teil des zweiten Vorläufermaterials 230 in einer Flüssigphase zurücklassen, was in 8 als verbleibende Flüssigkeit 230B gezeigt ist. Die verbleibende Flüssigkeit 230 kann aufgrund von Kapillarkräften bevorzugt in relativ engen oder begrenzten Regionen verbleiben, wie beispielsweise nahe der Unterseite des Grabens 201. In einigen Fällen kann eine verbleibende Flüssigkeit 230 während einer nachfolgenden ersten Vorläuferströmung 252A vorhanden sein. Das erste Vorläufermaterial 220 kann mit dem zweiten Vorläufermaterial 230 in der verbleibenden Flüssigkeit 230B reagieren und in diesen Regionen mehr als eine Monoschicht aus dem Material 240 bilden, da das zusätzliche zweite Vorläufermaterial 230 in der verbleibenden Flüssigkeit 230B zur Reaktion mit dem ersten Reaktionsprodukt 221 verfügbar ist. Auf diese Weise kann das Wachsen des Materials 240 in Regionen, in denen die verbleibende Flüssigkeit 230B vorhanden ist, wie beispielsweise nahe der Unterseite des Grabens 201, größer sein. Während eines einzelnen ALD-Zyklus kann daher das in dem Graben 201 gebildete Material 240 in einer „Bottom-up“-Richtung von der Unterseite des Grabens 201 schneller wachsen als von den Seitenwänden des Grabens 201. Dies ist in 9 gezeigt, in der eine anschließend gebildete Materialschicht 240C nahe der Unterseite des Grabens 201 dicker ist als an den Seitenwänden des Grabens 201. Ein Wachsen des Materials 240 in einer derartigen „Bottom-up“-Richtung kann die Spaltfüllung von engen Aussparungen oder Aussparungen mit hohem Seitenverhältnis verbessern und auch die Möglichkeit reduzieren, dass sich während des Wachsens Fugen oder Hohlräume innerhalb des Materials 240 bilden.
  • 10 zeigt die Struktur 210, nachdem mehrere ALD-Zyklen den Graben 201 vollständig mit dem Material 240 gefüllt haben, gemäß einigen Ausführungsformen. Wie zuvor erwähnt, kann der hierin beschriebene kapillarunterstützte ALD-Prozess als ein fugenloser Spaltenfüllungsabscheidungsprozess verwendet werden. Zusätzlich kann der hierin beschriebene kapillarunterstützte ALD-Prozess auch die konforme Abscheidung auf oberen Flächen und oberen Ecken von Strukturen erhalten, was eine verbesserte Abscheidung von Material in beispielsweise teilweisen Spaltenfüllungsprozessschritten ermöglicht.
  • Bei einigen Ausführungsformen kann der hierin beschriebene kapillarunterstützte ALD-Prozess zum Abscheiden eines Materials (z. B. das Material 240) verwendet werden, das ein Dielektrikum, wie beispielsweise ein Nitrid, ein Oxid, ein Metalloxid oder dergleichen, aufweist. Einer oder mehrere der Vorläufer des Materials können als Kapillarflüssigkeit gewählt werden, um das Wachsen in engen Gräben oder Gräben mit hohem Seitenverhältnis zu erleichtern. Bei einigen Ausführungsformen kann beispielsweise einer der Vorläufer des Materials Wasser (H20) sein, das in einer Flüssigphase Kapillarität aufweist. Bei einigen Ausführungsformen kann ein bestimmter Vorläufer derart gewählt werden, dass er einen höheren Siedepunkt und/oder einen niedrigeren Schmelzpunkt als andere Vorläufer aufweist, was es ermöglichen kann, dass dieser Vorläufer bei niedrigeren Temperaturen kondensiert. Ein Vorläufer mit einer längeren Kohlenstoffkette kann beispielsweise einen höheren Siedepunkt aufweisen als ein ähnlicher Vorläufer mit einer kürzeren Kohlenstoffkette. Beispielhafte Vorläufer, die Kohlenstoffketten aufweisen, enthalten Trimethylaluminium Al(CH3)3 (auch als „TMA“ bezeichnet), Diethylzink (C2H5)2Zn, H2Si[N(C2H5)2]2, Tris(dimethylamino)silan (Me2N)3SiH, oder verschiedene andere geeignete Vorläufer.
  • Der hierin beschriebene kapillarunterstützte ALD-Prozess kann beispielsweise zum Abscheiden von Aluminiumoxid (Al2O3) verwendet werden, das unter Verwendung von TMA und Wasser (z. B. Wasserdampf) als Vorläufer gebildet werden kann. Das Material 240 kann beispielsweise aus Aluminiumoxid gebildet werden, das unter Verwendung von TMA als das erste Vorläufermaterial 220 und Wasser als das zweite Vorläufermaterial 230 abgeschieden wird. Bei einigen Ausführungsformen, bei denen das abgeschiedene Material Aluminiumoxid ist, kann TMA bei einer Strömungsgeschwindigkeit zwischen ungefähr 50 sccm und ungefähr 300 sccm mit einer Trägergasströmungsgeschwindigkeit zwischen ungefähr 50 sccm und ungefähr 1000 sccm in die Abscheidungskammer eingeströmt werden. Das TMA kann für eine Zeit zwischen ungefähr 0,1 Sekunden und ungefähr 10 Sekunden eingeströmt werden. Zusätzlich kann die Abscheidekammer während des Einströmens des TMA bei einem Druck zwischen ungefähr 0,5 Torr und ungefähr 50 Torr und bei einer Temperatur zwischen ungefähr 30 °C und ungefähr 300 °C gehalten werden. Bei einigen Ausführungsformen kann Wasser bei einer Strömungsgeschwindigkeit zwischen ungefähr 50 sccm und ungefähr 600 sccm mit einer Trägergasströmungsgeschwindigkeit zwischen ungefähr 50 sccm und ungefähr 1000 sccm in die Abscheidungskammer eingeströmt werden. Das Wasser kann für eine Zeit zwischen ungefähr 0,1 Sekunden und ungefähr 10 Sekunden eingeströmt werden. Zusätzlich kann die Abscheidekammer während des Einströmens des Wassers bei einem Druck zwischen ungefähr 0,5 Torr und ungefähr 50 Torr und bei einer Temperatur zwischen ungefähr 30 °C und ungefähr 300 °C gehalten werden. Die Verwendung dieser Temperaturen und/oder Drücke kann es ermöglichen, dass das in die Abscheidungskammer eingebrachte Wasser auf Flächen kondensiert, wie es für 4 beschrieben ist.
  • Bei anderen Ausführungsformen kann ein unter Verwendung des kapillarunterstützten ALD-Prozesses abgeschiedenes Material ein anderes Material sein, für das Wasser als Vorläufer verwendet wird. Der kapillarunterstützte ALD-Prozess kann beispielsweise ein Material wie Lanthanoxid (La2O3) abscheiden, das unter Verwendung von La(iPrCp)2(iPramd) und Wasser als Vorläufer gebildet wird, Hafniumoxid (HfO2), das unter Verwendung vonHfCl4 und/oder CpHf(NMe2)3 und Wasser als Vorläufer gebildet wird, oder Zirkoniumoxid (Zr02), das unter Verwendung von ZrCl4 und/oder CpZr(NMe2)3 und Wasser als Vorläufer gebildet wird. Bei einigen Ausführungsformen können diese oder andere Vorläufer bei einem Prozessdruck zwischen ungefähr 0,5 Torr und ungefähr 6 Torr oder bei einer Prozesstemperatur zwischen ungefähr 250 °C und ungefähr 350 °C verwendet werden, obwohl auch andere Prozessbedingungen verwendet werden können. Andere Materialien, Vorläufer oder Prozessbedingungen sind möglich.
  • Es können beispielsweise andere Materialien durch den kapillarunterstützten ALD-Prozess aus anderen Vorläufern gebildet werden, wie beispielsweise SiN, das unter Verwendung von SiH2Cl2 und/oder SiH2I2 und NH3 als Vorläufer gebildet wird. In einigen Fällen weist das NH3 in einer Flüssigphase Kapillarität auf. Bei einigen Ausführungsformen können diese oder andere Vorläufer bei einem Prozessdruck zwischen ungefähr 0,5 Torr und ungefähr 6 Torr, bei einer Prozesstemperatur zwischen ungefähr 150 °C und ungefähr 600 °C oder bei einer Vorläuferströmung zwischen ungefähr 10 sccm und ungefähr 2000 sccm verwendet werden, obwohl andere Prozessbedingungen verwendet werden können. Der kapillarunterstützte ALD-Prozess kann einen thermischen ALD-Prozess und/oder einen Plasma-ALD-Prozess umfassen. Ein Durchschnittsfachmann wird erkennen, dass diese Materialien, Vorläufer und Prozessbedingungen nur zur Veranschaulichung gedacht sind, da alle geeigneten Vorläufer oder Prozessbedingungen zum Abscheiden eines Materials unter Verwendung eines kapillarunterstützten ALD-Prozesses verwendet werden können, während sie im Umfang der Ausführungsformen verbleiben.
  • Die 12 bis 28 veranschaulichen Zwischenschritte im Bildungsprozess einer FinFET-Vorrichtung 310 unter Verwendung eines kapillarunterstützten ALD-Prozesses, wie er hierin beschrieben wird, gemäß einigen Ausführungsformen. Insbesondere beschreiben die 12 bis 28 eine Ausführungsform, in der ein dielektrisches Hartmaskenmaterial 380' unter Verwendung eines kapillarunterstützten ALD-Prozesses als Teil des Bildens der FinFET-Vorrichtung 310 in einer Aussparung 378 abgeschieden wird.
  • In 12 wird das Substrat 320 vorgesehen. Das Substrat 320 kann ein Halbleitersubstrat, wie beispielsweise ein Volumenhalbleiter, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen, sein, das (z. B. mit einem p- oder einem n-Dotierstoff) dotiert oder undotiert sein kann. Das Halbleitersubstrat 320 kann ein Teil des Wafers 310 sein, wie beispielsweise ein Siliziumwafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet wird. Die Isolatorschicht kann beispielsweise eine vergrabene Oxid-Schicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat und typischerweise auf einem Silizium- oder Glassubstrat vorgesehen. Andere Substrate wie ein Mehrschicht- oder Gradientensubstrat können auch verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats 320 Silizium; Germanium; einen Verbindungshalbleiter einschließlich Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon aufweisen.
  • Unter weiterer Bezugnahme auf 12 wird die Wannenregion 322 im Substrat 320 gebildet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Wannenregion 322 eine n-Wannenregion, die durch Implantieren eines n-Dotierstoffs in das Substrat 320 gebildet wird, der Phosphor, Arsen, Antimon oder dergleichen sein kann. Gemäß anderen Ausführungsformen der vorliegenden Offenbarung ist die Wannenregion 322 eine p-Wannenregion, die durch Implantieren eines p-Dotierstoffs in das Substrat 320 gebildet wird, der Bor, Indium oder dergleichen sein kann. Die resultierende Region 322 kann sich bis zu der Oberseitenfläche von Substrat 320 erstrecken. Die n- oder p-Dotierkonzentration kann gleich oder kleiner als 1018cm-3 sein, wie beispielsweise im Bereich von zwischen ungefähr 1017cm-3 und ungefähr 1018cm-3.
  • Unter Bezugnahme auf 13 werden die Isolationsregionen 324 derart gebildet, dass sie sich von einer Oberseitenfläche des Substrats 320 in das Substrat 320 erstrecken. Die Isolationsregionen 324 werden im Folgenden alternativ als Flache Grabenisolations-Regionen (STI-Regionen) bezeichnet. Die Abschnitte des Substrats 320 zwischen benachbarten STI-Regionen 324 werden als Halbleiterstreifen 326 bezeichnet. Zum Bilden von STI-Regionen 324 werden die Padoxidschicht 328 und die Hartmaskenschicht 330 auf dem Halbleitersubstrat 320 gebildet und dann strukturiert. Die Padoxidschicht 328 kann eine dünne Schicht aus Siliziumoxid sein. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Padoxidschicht 328 in einem thermischen Oxidationsprozess gebildet, wobei eine Oberseitenflächenschicht des Halbleitersubstrats 320 oxidiert wird. Die Padoxidschicht 328 agiert als eine Haftschicht zwischen dem Halbleitersubstrat 320 und der Hartmaskenschicht 330. Die Padoxidschicht 328 kann auch als eine Ätzstoppschicht zum Ätzen der Hartmaskenschicht 330 agieren. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Hartmaskenschicht 330 aus Siliziumnitrid beispielsweise unter Verwendung chemischer Niederdruck-Gasphasenabscheidung (LPCVD) gebildet. Gemäß anderen Ausführungsformen der vorliegenden Offenbarung wird die Hartmaskenschicht 330 durch thermische Nitrierung von Silizium oder durch plasmaunterstützte chemische Gasphasenabscheidung (PECVD) gebildet. Ein Fotoresist (nicht gezeigt) wird auf der Hartmaskenschicht 330 gebildet und dann strukturiert. Die Hartmaskenschicht 330 wird dann unter Verwendung des strukturierten Fotoresist als eine Ätzmaske strukturiert, um Hartmasken 330 zu bilden, wie es in 13 gezeigt ist.
  • Als Nächstes wird die strukturierte Hartmaskenschicht 330 als eine Ätzmaske zum Ätzen der Padoxidschicht 328 und des Substrats 320 verwendet gefolgt von dem Füllen der resultierenden Gräben im Substrat 320 mit einem Dielektrikum bzw. mit Dielektrika. Ein Planarisierungsprozess, wie beispielsweise ein chemisch-mechanischer Polierprozess (CMP-Prozess) oder ein mechanischer Schleifprozess wird ausgeführt, um überschüssige Teile der Dielektrika zu entfernen, und die verbleibenden Abschnitte des bzw. der Dielektrika sind die STI-Regionen 324. Die STI-Regionen 324 können ein Auskleidungsdielektrikum (nicht gezeigt) aufweisen, das ein thermisches Oxid sein kann, welches durch eine thermische Oxidation einer Flächenschicht des Substrats 320 gebildet ist. Das Auskleidungsdielektrikum kann auch eine abgeschiedene Siliziumoxidschicht, Siliziumnitridschicht oder dergleichen sein, die unter Verwendung von beispielsweise Atomlagenabscheidung (ALD), chemischer Hochdichteplasma-Gasphasenabscheidung (HDPCVD) oder chemischer Gasphasenabscheidung (CVD) gebildet ist. Die STI-Regionen 324 können auch ein Dielektrikum über dem Auskleidungsoxid aufweisen wobei das Dielektrikum unter Verwendung von fließfähiger chemischer Gasphasenabscheidung (FCVD), Aufschleudern oder dergleichen gebildet sein kann. Das Dielektrikum über dem Auskleidungsdielektrikum kann gemäß einigen Ausführungsformen Siliziumoxid aufweisen.
  • Die Oberseitenflächen der Hartmasken 330 und die Oberseitenflächen der STI-Regionen 324 können sich im Wesentlichen auf gleicher Höhe zueinander befinden. Die Halbleiterstreifen 326 befinden sich zwischen benachbarten STI-Regionen 324. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 326 Teile des ursprünglichen Substrats 320 und daher ist das Material der Halbleiterstreifen 326 das gleiche wie das des Substrats 320. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 326 Austauschstreifen, die durch Ätzen der Teile des Substrats 320 zwischen den STI-Regionen 324 zum Bilden von Vertiefungen und Ausführen einer Epitaxie zum Neuwachsen eines anderen Halbleitermaterials in den Aussparungen gebildet werden. Dementsprechend werden die Halbleiterstreifen 326 aus einem Halbleitermaterial gebildet, das sich von dem des Substrats 320 unterscheidet. Bei einigen Ausführungsformen werden die Halbleiterstreifen 326 aus Silizium-Germanium, Silizium-Kohlenstoff oder einem III-V-Verbindungshalbleitermaterial gebildet.
  • Unter Bezugnahme auf 14 werden die STI-Regionen 324 ausgespart, sodass die oberen Teile der Halbleiterstreifen 326 höher vorstehen als die Oberseitenflächen 324A der übrigen Teile der STI-Regionen 324, um die vorstehenden Finnen 336 zu bilden. Das Ätzen kann mit einem Trockenätzprozess ausgeführt werden, wobei beispielsweise HF3 und NH3 als die Ätzgase verwendet werden. Während des Ätzprozesses kann Plasma erzeugt werden. Argon kann auch beinhaltet sein. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird das Aussparen der STI-Regionen 324 unter Verwendung eines Nassätzprozesses ausgeführt. Die Ätzchemikalie kann beispielsweise HF aufweisen.
  • In den vorstehend veranschaulichten Ausführungsformen können die Finnen durch jedes geeignete Verfahren strukturiert sein. Beispielsweise können die Finnen unter Verwendung eines oder mehrerer Fotolithographieprozesse einschließlich Doppelstrukturierungs- oder Mehrstrukturierungsprozessen strukturiert werden. Generell kombinieren Doppelstrukturierungs- oder Mehrstrukturierungsprozesse Fotolithografie- und Selbstausrichtungsprozesse, was ermöglicht, Strukturen herzustellen, die beispielsweise Abstände aufweisen, die kleiner sind als das, was anderweitig unter Verwendung eines einzelnen direkten Fotolithographieprozesses erreichbar ist. Bei einer Ausführungsform wird beispielsweise eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Fotolithographieprozesses strukturiert. Es werden Abstandselemente entlang der strukturierten Opferschicht unter Verwendung eines Selbstausrichtungsprozesses gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandselemente oder Dorne können dann verwendet werden, um die Finnen zu strukturieren.
  • Unter Bezugnahme auf 15 werden Dummygatestapel 338 gebildet, sodass sie sich auf den Oberseitenflächen und den Seitenwänden der (vorstehenden) Finnen 336 erstrecken. Die Dummygatestapel 338 können Dummygatedielektrika 340 und Dummygateelektroden 342 über den Dummygatedielektrika 340 aufweisen. Die Dummygateelektroden 342 können beispielsweise unter Verwendung von Polysilizium gebildet sein und andere Materialien können auch verwendet werden. Jeder der Dummygatestapel 338 kann auch eine (oder mehrere) Hartmaskenschicht 344 über Dummygateelektroden 342 aufweisen. Die Hartmaskenschichten 344 können aus Siliziumnitrid, Siliziumoxid, Siliziumkarbonitrid oder mehreren Schichten davon gebildet sein. Die Dummygatestapel 338 können über eine einzelne oder mehrere vorstehende Finnen 336 und/oder STI-Regionen 324 wechseln. Die Dummygatestapel 338 weisen auch Längsrichtungen senkrecht zu den Längsrichtungen der vorstehenden Finnen 336 auf.
  • Als Nächstes werden die Gateabstabstandselemente 346 an den Seitenwänden der Dummygatestapel 338 gebildet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die Gateabstandselemente 346 aus einem oder mehreren Dielektrika wie Siliziumnitrid, Siliziumcarbonitrid oder dergleichen gebildet und können eine Einzelschichtstruktur oder eine Mehrschichtstruktur mit mehreren Dielektrikumschichten aufweisen.
  • Dann wird ein Ätzprozess ausgeführt, um die Abschnitte der vorstehenden Finnen 336 zu ätzen, die nicht durch die Dummygatestapel 338 und die Gateabstandselemente 346 abgedeckt sind, was in der in 16 gezeigten Struktur resultiert. Das Aussparen kann anisotrop sein und daher sind die Abschnitte der Finnen 336, die direkt unter den Dummygatestapeln 338 und den Gateabstandselementen 346 liegen, geschützt und werden nicht geätzt. Die Oberseitenflächen der ausgesparten Halbleiterstreifen 326 können gemäß einigen Ausführungsformen niedriger sein als die Oberseitenflächen 324A der STI-Regionen 324. Die Aussparungen 350 sind entsprechend gebildet. Die Aussparungen 350 weisen Abschnitte auf, die sich auf den gegenüberliegenden Seiten der Dummygatestapel 338 befinden, und Abschnitte zwischen den verbleibenden Abschnitten der vorstehenden Finnen 336.
  • Als Nächstes werden Epitaxieregionen (Source/Drain-Regionen) 354 durch selektives Aufwachsen (durch Epitaxie) eines Halbleitermaterials in Aussparungen 350 gebildet, was in der Struktur in 17 resultiert. Abhängig davon, ob der resultierende FinFET ein p-FinFET oder ein n-FinFET ist, kann ein p- oder n-Dotierstoff mit dem Fortschreiten der Epitaxie in situ dotiert werden. Wenn der resultierende FinFET beispielsweise ein p-FinFET ist, kann Silizium-Germanium-Bor (SiGeB) oder Silizium-Bor (SiB) gewachsen werden. Wenn der resultierende FinFET ein n-FinFET ist, kann Silizium-Phosphor (SiP) oder Silizium-Kohlenstoff-Phosphor (SiCP) gewachsen werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung weisen die Epitaxieregionen 354 III-V-Verbindungshalbleiter, wie beispielsweise GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, Kombinationen davon, Mehrfachschichten davon oder dergleichen auf. Nachdem die Aussparungen 350 mit Epitaxieregionen 354 gefüllt sind, bewirkt das weitere epitaktische Wachsen der Epitaxieregionen 354, dass sich die Epitaxieregionen 354 horizontal ausdehnen, und es können Facetten gebildet werden. Das weitere Wachsen der Epitaxieregionen 354 kann auch bewirken, dass benachbarte Epitaxieregionen 354 miteinander verschmelzen. Es können Hohlräume (Luftspalte) 356 erzeugt werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann die Bildung von Epitaxieregionen 354 beendet sein, wenn die Oberseitenfläche der Epitaxieregionen 354 noch wellig ist oder wenn die Oberseitenfläche der verschmolzenen Epitaxieregionen 354 im Wesentlichen planar geworden ist, was durch weiteres Wachsen auf den Epitaxieregionen 354 erreicht wird, wie es in 17 gezeigt ist.
  • Nach dem Epitaxieprozess können die Epitaxieregionen 354 ferner mit einem p- oder n-Dotierstoff implantiert werden, um Source- und Drainregionen zu bilden, die ebenfalls mit der Bezugsnummer 354 bezeichnet sind. Gemäß einer alternativen Ausführungsform der vorliegenden Offenbarung wird der Implantationsschritt übersprungen, wenn die Epitaxieregionen 354 während der Epitaxie in situ mit dem p- oder n-Dotierstoff dotiert werden.
  • 18 veranschaulicht eine perspektivische Ansicht der Struktur nach der Bildung der Kontaktätzstoppschicht (CESL) 358 und des Zwischenschichtdielektrikums (ILD) 360. Die CESL 358 kann aus Siliziumoxid, Siliziumnitrid, Siliziumcarbonitrid oder dergleichen gebildet werden und kann unter Verwendung von CVD, ALD oder dergleichen hergestellt werden. Das ILD 360 kann ein Dielektrikum aufweisen, das unter Verwendung von beispielsweise FCVD, Aufschleudern, CVD oder einem anderen Abscheidungsverfahren gebildet ist. Das ILD 360 kann aus einem sauerstoffhaltigen dielektrischen Material gebildet werden, das ein Material auf Siliziumoxidbasis sein kann, wie beispielsweise Tetraethylorthosilikatoxid (TEOS), Phosphosilikatglas (PSG), Borosilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG) oder dergleichen. Ein Planarisierungsprozess, wie beispielsweise ein CMP-Prozess oder ein mechanischer Schleifprozess, kann ausgeführt werden, um die Oberseitenflächen des ILD 360, des Dummygatestapels 338 und der Gateabstandselemente 346 aneinander anzugleichen.
  • 19 veranschaulicht den Bezugsquerschnitt A-A in 18, in dem die Dummygatestapel 338 veranschaulicht sind. Als Nächstes werden die Dummygatestapel 338 einschließlich der Hartmaskenschichten 344, der Dummygateelektroden 342 und der Dummygatedielektrika 340 geätzt, wobei Gräben 362 zwischen den Gateabstandselementen 346 gebildet werden, wie es in 20 gezeigt ist. Die Oberseitenflächen und die Seitenwände der vorstehenden Finnen 336 werden gegenüber den Gräben 362 freigelegt.
  • Als Nächstes werden, wie in den 21 und 22 gezeigt, die Austauschgatestapel 372 in den Gräben 362 gebildet (20). 22 veranschaulicht den Bezugsquerschnitt A-A in 21. Die Austauschgatestapel 372 weisen die Gatedielektrika 368 und die entsprechenden Gateelektroden 370 auf.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist ein Gatedielektrikum 368 die Grenzflächenschicht (IL) 364 als sein unterer Teil auf. Die IL 364 wird auf den freiliegenden Flächen der vorstehenden Finnen 336 gebildet. Die IL 364 kann eine Oxidschicht wie eine Siliziumoxidschicht aufweisen, die durch die thermische Oxidation der vorstehenden Lamellen 336, einen chemischen Oxidationsprozess oder einen Abscheidungsprozess gebildet ist. Das Gatedielektrikum 368 kann zudem die High-k-Dielektrikumschicht 366 aufweisen, die über der IL 364 gebildet ist. Die High-k-Dielektrikumschicht 366 weist ein High-k-Dielektrikum, wie beispielsweise Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirkoniumoxid oder dergleichen, auf. Die Dielektrizitätskonstante (k-Wert) des High-k-Dielektrikums ist höher als 3,9 und kann höher als ungefähr 7,0 und manchmal bis zu 21,0 oder höher sein. Die High-k-Dielektrikumschicht 366 liegt über der IL 364 und kann diese kontaktieren. Die High-k-Dielektrikumschicht 366 ist als eine konforme Schicht gebildet und erstreckt sich auf die Seitenwände der vorstehenden Finnen 336 und die Oberseitenfläche und die Seitenwände der Gateabstandselemente 346. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die High-k-Dielektrikumschicht 366 unter Verwendung von ALD, CVD, PECVD, Molekularstrahlabscheidung (MBD) oder dergleichen gebildet.
  • Unter weiterer Bezugnahme auf 22 wird die Gateelektrode 370 auf dem Gatedielektrikum 368 gebildet. Die Gateelektrode 370 kann mehrere metallhaltige Schichten 374, die als konforme Schichten gebildet sein können, und die Füllmetallregionen 376 aufweisen, die den Rest der Gräben füllen, die nicht durch die mehreren metallhaltigen Schichten 374 gefüllt sind. Metallhaltige Schichten 374 können eine Sperrschicht, eine Austrittsarbeitsschicht über der Sperrschicht und ein oder mehrere Metallverkappungsschichten über der Austrittsarbeitsschicht aufweisen.
  • 23 veranschaulicht das Aussparen von Gatestapeln 372 und Gateabstandselementen 346, um gemäß einigen Ausführungsformen die Aussparungen 378 zu bilden. Die Aussparungen 378 können beispielsweise mit einem oder mehreren anisotropen Ätzprozessen gebildet werden. Die Ätzprozesse können beispielsweise mit einem oder mehreren Ätzgasen ausgeführt werden, die eine hohe Ätzselektivität der Materialien der Gatestapel 372 über dem Material von CESL 358 oder ILD 360 aufweisen, sodass CESL 358 oder ILD 360 nicht signifikant geätzt oder beschädigt werden. 23 zeigt die Aussparungen 378 mit Oberseitenflächen der Gateabstandselemente 346 über Oberseitenflächen der Gatestapel 372, aber bei anderen Ausführungsformen sind Oberseitenflächen der Gateabstandselemente 346 auf gleicher Höhe mit Oberseitenflächen der Gatestapel 372. Bei anderen Ausführungsformen sind die Gateabstandselemente 346 nicht ausgespart.
  • In 24 wird ein Hartmaskenmaterial 380' über der Struktur und innerhalb der Aussparungen 378 mit einem kapillarunterstützten ALD-Prozess gemäß einigen Ausführungsformen abgeschieden. Der kapillarunterstützte ALD-Prozess kann dem vorstehend beschriebenen kapillarunterstützten ALD-Prozess ähnlich sein. Das Hartmaskenmaterial 380' wird zum Bilden von Hartmasken 380 verwendet, welche die Gatestapel 372 abdecken, die in 24 gezeigt sind. Bei einigen Ausführungsformen kann das Hartmaskenmaterial 380' ein Metalloxid (z. B. Aluminiumoxid) sein, das durch den kapillarunterstützten ALD-Prozess unter Verwendung der vorstehend beschriebenen Prozessparameter und Vorläufer abgeschieden wird. In einigen Fällen kann Aluminiumoxid relativ zu den Materialien von anderen Merkmalen, wie beispielsweise CESL 358 oder ILD 360, eine hohe Ätzselektivität aufweisen. Eine höhere Ätzselektivität eines Hartmaskenmaterials 380' aus Aluminiumoxid über einer CESL 358 oder einem ILD 360 aus Siliziumoxid, Siliziumnitrid oder dergleichen kann es beispielsweise ermöglichen, dass die anschließend gebildeten Gatekontaktöffnungen 386 (siehe 27) durch die Hartmasken 380 (siehe 25) hindurch gebildet werden, wobei das Risiko von Ätzschäden oder Ätzverlusten oder das Risiko einer Brückenbildung während der Bildung der Gatekontakte 388 (siehe 28) reduziert wird. Auf diese Weise kann die höhere Ätzselektivität der Hartmasken 380, die aus einem Metalloxid gebildet sind, es ermöglichen, dass die Gatekontakte 388 als selbstausrichtende Kontakte gebildet werden. Außerdem kann die Verwendung des kapillarunterstützten ALD-Prozesses zum Abscheiden von Hartmaskenmaterial 380' das Bilden von Hartmasken 380 mit verringertem Risiko von Fugen oder Hohlräumen ermöglichen und auch eine verbesserte Spaltfüllung der Aussparungen 378 aufgrund der zuvor beschriebenen Kapillarkräfte ermöglichen. Andere Materialien, Prozessparameter oder Vorläufer können bei anderen Ausführungsformen zum Bilden des Hartmaskenmaterials 380' verwendet werden.
  • 25 veranschaulicht eine perspektivische Ansicht der Bildung von Hartmasken 380 gemäß einigen Ausführungsformen. Nachdem das Hartmaskenmaterial 380' aufgetragen wurde, kann das Hartmaskenmaterial 380' planarisiert werden, um überschüssiges Material zu entfernen und die Hartmasken 380 zu bilden. Das Hartmaskenmaterial 380' kann beispielsweise unter Verwendung eines CMP- oder Schleifprozesses planarisiert werden, um überschüssiges Material zu entfernen. Das ILD 360 kann durch den Planarisierungsprozess freigelegt werden und das ILD 360 kann auch planarisiert werden.
  • 24 veranschaulicht eine Querschnittsansicht (entlang A-A) der Bildung der Ätzstoppschicht 382 und der Hartmaske 384 gemäß einigen Ausführungsformen. Die Ätzstoppschicht 382 und die Hartmaske 384 können zum Bilden und Erhalten der Strukturen der Gatekontaktöffnungen 386 verwendet werden (siehe 27). Die Ätzstoppschicht 382 kann aus einem Oxid, einem Nitrid, einem Carbid, einem Oxycarbid oder dergleichen gebildet werden. Die Hartmaske 384 kann aus Titannitrid, Bornitrid, Oxid, Nitrid oder dergleichen gebildet werden. Bei anderen Ausführungsformen wird die Ätzstoppschicht 382 nicht gebildet. Bei anderen Ausführungsformen werden weder die Ätzstoppschicht 382 noch die Hartmaske 384 gebildet und daher werden die Gatekontaktöffnungen 386 ohne die Verwendung der Ätzstoppschicht 382 oder der Hartmaske 384 gebildet.
  • 27 veranschaulicht die Bildung von Gatekontaktöffnungen 386 gemäß einigen Ausführungsformen. Die Gatekontaktöffnungen 386 werden gebildet, um die Gatestapel 372 freilegen. Bei einigen Ausführungsformen wird ein Fotoresist (nicht gezeigt) über der Hartmaske 384 gebildet und strukturiert und dann werden die Hartmaske 384 und die Ätzstoppschicht 382 unter Verwendung des strukturierten Fotoresists als eine Ätzmaske geätzt. Abschnitte der Hartmaske 384 und der Ätzstoppschicht 382 können auf diese Weise geätzt werden, sodass die Öffnungen 386 in der Hartmaske 384 und der Ätzstoppschicht 382 gebildet werden, welche die Hartmasken 380 freilegen. Als Nächstes können die Hartmasken 380 geätzt werden, um die Gatekontaktöffnungen 386 durch die Hartmasken 380 zu erweitern und die Gatestapel 372 freizulegen. Die Gatekontaktöffnungen 386 können beispielsweise mit einem oder mehreren anisotropen Ätzprozessen geätzt werden. Die anisotropen Ätzprozesse können einen Ätzprozess, der eine hohe Selektivität gegenüber dem Material der Hartmasken 380 aufweist, über dem Material der Gateabstabstandselemente 346 umfassen.
  • Als Nächstes werden in 28, die Gatekontakte 388 in den Gatekontaktöffnungen 386 gemäß einigen Ausführungsformen gebildet. Die Gatekontakte 388 können durch Füllen der Gatekontaktöffnungen 386 mit leitendem Material gebildet werden. Das leitende Material kann eine Diffusionssperrschicht aufweisen, die aus Titannitrid, Tantalnitrid, Titan, Tantal oder dergleichen und einem leitenden Füllmaterial wie Kupfer, Wolfram, Kobalt, Aluminium, Ruthenium oder dergleichen oder Kombinationen davon gebildet sein kann. Es sind andere leitende Materialien möglich. Das leitende Material kann über oberen Flächen der Hartmaske 384 gebildet werden. Nach dem Bilden des leitenden Materials kann ein Planarisierungsprozess ausgeführt werden, um überschüssiges leitendes Material zu entfernen. Bei einigen Ausführungsformen kann der Planarisierungsprozess auch die Hartmaske 384 und die Ätzstoppschicht 382 entfernen, wie es in 28 gezeigt ist.
  • Obwohl nicht explizit gezeigt, wird ein Durchschnittsfachmann ohne Weiteres verstehen, dass weitere Verarbeitungsschritte an den Strukturen in 28 erfolgen können. Es können beispielsweise Source/Drain-Kontakte gebildet werden, um die Source/DrainRegionen 54 zu kontaktieren, oder es können verschiedene Zwischenmetalldielektrika (IMD) und ihre entsprechenden Metallisierungen über dem ILD 360 gebildet werden.
  • Während das Hartmaskenmaterial 380' als unter Verwendung des hierin beschriebenen kapillarunterstützten ALD-Prozesses gebildet beschrieben ist, ist zu beachten, dass der hierin beschriebene kapillarunterstützte ALD-Prozess zum Abscheiden von Materialien für andere Prozessschritte bei der Bildung von FinFETs, anderen Strukturen oder anderen Vorrichtungen verwendet werden kann. Dementsprechend kann der hierin beschriebene kapillarunterstützte ALD-Prozess in verschiedenen Verarbeitungsschritten zum Abscheiden eines Lückenfüllungsmaterials verwendet werden und die beschriebenen Techniken sind nicht auf die hierin beschriebenen Beispiele und Ausführungsformen beschränkt.
  • Die hierin beschriebenen Ausführungsformen ermöglichen Vorteile. Unter Verwendung der hierin beschriebenen kapillarunterstützten ALD-Techniken kann ein Material in engen oder begrenzten Regionen ohne die Bildung von Defekten wie Fugen oder Hohlräumen abgeschieden werden. Die kapillarunterstützte ALD-Technik ermöglicht eine gleichzeitige Bottom-up-Abscheidung (z. B. in engen oder begrenzten Regionen) und eine konforme Abscheidung (z. B. auf Oberseitenflächen oder Ecken), was eine effizientere Lückenfüllung mit verbesserter Abscheidungsqualität ermöglichen kann. Die hierin beschriebenen Techniken sind sowohl mit thermischer ALD als auch Plasma-ALD kompatibel. Die hierin beschriebenen Techniken ermöglichen zudem die Bottom-up-Abscheidung von Materialien ohne die Verwendung von Inhibitoren oder anderen Zusätzen und können daher Defekte aufgrund des Vorhandenseins von Inhibitoren oder anderen Zusätzen vermeiden. Der hierin beschriebene kapillarunterstützte ALD-Prozess ermöglicht auch die Abscheidung einer großen Vielfalt von Materialien für eine große Vielfalt von Anwendungen einschließlich Materialien, die mit anderen Abscheidungsprozessen inkompatibel sind, wie beispielsweise Metalloxide oder Materialien, die Wasser als Vorläufer verwenden. Die hierin beschriebenen Techniken können beispielsweise für Prozesse einschließlich, aber nicht beschränkt auf, FinFET-Bildung, GAA- oder Nanostruktur-FET-Bildung, Front-End-of-Line-Prozesse (FEOL-Prozesse) oder Back-End-of-Line-Prozesse (BEOL-Prozesse) verwendet werden.
  • Die offenbarten FinFET-Ausführungsformen könnten auch auf Nanostrukturvorrichtungen wie Nanostruktur- (z. B. Nanoblech, Nanodraht, Gate-Rundum oder dergleichen) -Feldeffekttransistoren (NSFETs) angewandt werden. Bei einer NSFET-Ausführungsform werden die Finnen durch Strukturieren eines Stapels aus abwechselnden Schichten von Kanalschichten und Opferschichten gebildet. Die Dummygatestapel und epitaktischen Source/Drain-Regionen werden auf ähnliche Weise wie vorstehend beschrieben gebildet. Nachdem die Dummygatestapel entfernt wurden, können die Opferschichten in den Kanalregionen teilweise oder vollständig entfernt werden. Die Austauschgatestrukturen werden auf ähnliche Weise wie vorstehend beschrieben gebildet und umgeben teilweise oder vollständig die Kanalschichten in der Kanalregion der NSFET-Vorrichtungen. Die ILDs und Kontakte zu den Gatestrukturen und Source/Drains werden auf ähnliche Weise wie vorstehend beschrieben gebildet. Es kann eine Nanostrukturvorrichtung gebildet werden, wie es in der US-Patentanmeldungsveröffentlichung 2016/0365414 offenbart ist, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • Gemäß einer Ausführungsform umfasst ein Verfahren das Platzieren eines Halbleitersubstrats in einer Abscheidungskammer, wobei das Halbleitersubstrat einen Graben aufweist; und Ausführen eines Atomschichtabscheidungsprozesses (ALD-Prozesses), um ein Dielektrikum innerhalb des Grabens abzuscheiden, umfassend das Einströmen eines ersten Vorläufers des Dielektrikums in die Abscheidungskammer als eine Gasphase; Einströmen eines zweiten Vorläufers des Dielektrikums in die Abscheidungskammer als eine Gasphase; und Steuern des Drucks und der Temperatur innerhalb der Abscheidungskammer, sodass der zweite Vorläufer auf Flächen innerhalb des Grabens als eine Flüssigphase des zweiten Vorläufers kondensiert, wobei die Flüssigphase des zweiten Vorläufers Kapillarität aufweist. Bei einer Ausführungsform bildet sich die Flüssigphase des zweiten Vorläufers in einer dickeren Schicht auf Flächen innerhalb des Grabens, die sich nahe der Unterseite des Grabens befinden, als auf Flächen innerhalb des Grabens, die sich nahe der Oberseite des Grabens befinden. Bei einer Ausführungsform kondensiert der erste Vorläufer des Dielektrikums auf Flächen innerhalb des Grabens als eine Flüssigphase des ersten Vorläufers und die Flüssigphase des ersten Vorläufers weist Kapillarität auf. Bei einer Ausführungsform füllt das Dielektrikum den Graben und das Dielektrikum, das den Graben füllt, ist frei von Fugen. Bei einer Ausführungsform ist das Dielektrikum Aluminiumoxid. Bei einer Ausführungsform ist der erste Vorläufer Trimethylaluminium (TMA). Bei einer Ausführungsform ist der zweite Vorläufer Wasser. Bei einer Ausführungsform wird während des Einströmens des zweiten Vorläufers in die Abscheidungskammer der Druck innerhalb der Abscheidungskammer derart gesteuert, dass er zwischen 0,5 Torr und 50 Torr liegt, und die Temperatur innerhalb der Abscheidungskammer derart gesteuert, dass sie zwischen 30 °C und 300 °C liegt.
  • Gemäß einer Ausführungsform umfasst ein Verfahren das Bilden einer Halbleiterstruktur einschließlich eines Grabens in einem Substrat; und Abscheiden eines Dielektrikums innerhalb des Grabens unter Verwendung eines Atomlagenabscheidungsprozesses (ALD), der unter Verwendung einer Prozesskammer ausgeführt wird, wobei der ALD-Prozess einen ALD-Zyklus umfasst, der das Aussetzen der Halbleiterstruktur gegenüber einem ersten Vorläufer umfasst; und Aussetzen der Halbleiterstruktur gegenüber einem zweiten Vorläufer, wobei der zweite Vorläufer auf Flächen der Halbleiterstruktur als eine Flüssigkeit mit Kapillarität kondensiert; wobei eine vertikale Abscheidungsrate des Dielektrikums von einer Unterseitenfläche des Grabens größer ist als eine seitliche Abscheidungsrate des Dielektrikums von einer Seitenwand des Grabens. Bei einer Ausführungsform weist das Dielektrikum Siliziumnitrid, der erste Vorläufer SiH2Cl2 oder SiH2I2 auf und der zweite Vorläufer ist Ammoniak (NH3). Bei einer Ausführungsform umfasst der ALD-Prozess das Einströmen des ersten Vorläufers oder des zweiten Vorläufers in die Prozesskammer bei einer Strömungsgeschwindigkeit zwischen 10 sccm und 2000 sccm unter Verwendung eines Prozessdrucks zwischen 0,5 Torr und 6 Torr und unter Verwendung einer Prozesstemperatur zwischen 150 °C und 600 °C. Bei einer Ausführungsform weist das Dielektrikum Zirkoniumoxid, der erste Vorläufer ZrCl4 oder CpZr(NMe2)3 auf und der zweite Vorläufer ist Wasser. Bei einer Ausführungsform umfasst der ALD-Prozess das Verwenden eines Prozessdrucks zwischen 0,5 Torr und 6 Torr und das Verwenden einer Prozesstemperatur zwischen 250 °C und 350 °C. Bei einer Ausführungsform weist das Dielektrikum Aluminiumoxid auf, die erste Vorstufe ist Trimethylaluminium (TMA) und die zweite Vorstufe ist Wasser. Bei einer Ausführungsform ist der ALD-Prozess frei von Inhibitoren. Bei einer Ausführungsform scheidet der ALD-Prozess das Dielektrikum ab, um den Graben zu füllen, und scheidet das Dielektrikum konform auf einer Oberseitenfläche des Substrats ab, wobei das den Graben füllende Dielektrikum fugenlos ist.
  • Gemäß einer Ausführungsform umfasst eine Halbleitervorrichtung eine Finne, die von einem Substrat vorsteht; eine Isolierungsregion, welche die Finne umgibt; einen Gatestapel über der Finne; eine Source/Drain-Region in der Finne neben dem Gatestapel; ein Zwischenschichtdielektrikum (ILD) über der Source/Drain-Region, wobei eine Oberseitenfläche des Gatestapels gegenüber einer Oberseitenfläche des ILD ausgespart ist; eine Hartmaske, die den Gatestapel abdeckt, wobei sich eine Oberseitenfläche der Hartmaske auf gleicher Höhe mit der Oberseitenfläche des ILD befindet, wobei die Hartmaske frei von Fugen ist, wobei die Hartmaske ein Höhen:Breiten-Seitenverhältnis in einem Bereich zwischen 1:3 und 1:25 aufweist; und wobei die Hartmaske ein Metalloxid aufweist; und ein leitendes Merkmal, das sich durch die Hartmaske erstreckt, um den Gatestapel zu kontaktieren. Bei einer Ausführungsform ist das Metalloxid Aluminiumoxid, Zirkoniumoxid oder Hafniumoxid. Bei einer Ausführungsform weist die Halbleitervorrichtung Gateabstandselemente entlang den Seitenwänden des Gatestapels auf, wobei die Hartmaske die Gateabstandselemente abdeckt. Bei einer Ausführungsform weist die Hartmaske eine kleinste Breite in einem Bereich zwischen 3 nm und 10 nm auf.
  • Das Vorhergehende beschreibt Merkmale von mehreren Ausführungsformen, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann sollte offensichtlich sein, dass er ohne Weiteres die vorliegende Offenbarung als eine Basis verwenden kann, um andere Prozesse und Strukturen zu konzipieren oder zu modifizieren, um die gleichen Zwecke auszuführen und/oder die gleichen Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte auch realisieren, dass solche äquivalente Aufbauten nicht vom Sinn und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hierin vornehmen kann, ohne vom Sinn und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/953335 [0001]

Claims (20)

  1. Verfahren, umfassend: Anordnen eines Halbleitersubstrats in einer Abscheidungskammer, wobei das Halbleitersubstrat einen Graben aufweist; und Ausführen eines Atomschichtabscheidungsprozesses (ALD), um ein Dielektrikum innerhalb des Grabens abzuscheiden, umfassend: Einströmen eines ersten Vorläufers des Dielektrikums in die Abscheidungskammer als eine Gasphase; Einströmen eines zweiten Vorläufers des Dielektrikums in die Abscheidungskammer als eine Gasphase; und Steuern des Drucks und der Temperatur innerhalb der Abscheidungskammer, sodass der zweite Vorläufer auf Flächen innerhalb des Grabens als eine Flüssigphase des zweiten Vorläufers kondensiert, wobei die Flüssigphase des zweiten Vorläufers Kapillarität aufweist.
  2. Verfahren nach Anspruch 1, wobei sich die Flüssigphase des zweiten Vorläufers in einer dickeren Schicht auf Flächen innerhalb des Grabens bildet, die sich nahe der Unterseite des Grabens befinden, als auf Flächen innerhalb des Grabens, die sich nahe der Oberseite des Grabens befinden.
  3. Verfahren nach Anspruch 1 oder 2, wobei der erste Vorläufer des Dielektrikums auf Flächen innerhalb des Grabens als eine Flüssigphase des ersten Vorläufers kondensiert und wobei die Flüssigphase des ersten Vorläufers Kapillarität aufweist.
  4. Verfahren nach einem der vorstehenden Ansprüche, wobei das Dielektrikum den Graben füllt und wobei das den Graben füllende Dielektrikum frei von Fugen ist.
  5. Verfahren nach einem der vorstehenden Ansprüche, wobei das Dielektrikum Aluminiumoxid ist.
  6. Verfahren nach einem der vorstehenden Ansprüche, wobei der erste Vorläufer Trimethylaluminium (TMA) ist.
  7. Verfahren nach einem der vorstehenden Ansprüche, wobei der zweite Vorläufer Wasser ist.
  8. Verfahren nach Anspruch 7, wobei während des Einströmens des zweiten Vorläufers in die Abscheidungskammer der Druck innerhalb der Abscheidungskammer derart gesteuert wird, dass er zwischen 0,5 Torr und 50 Torr liegt, und wobei die Temperatur innerhalb der Abscheidungskammer derart gesteuert wird, dass sie zwischen 30 °C und 300 °C liegt.
  9. Verfahren, umfassend: Bilden einer Halbleiterstruktur, die einen Graben in einem Substrat aufweist; und Abscheiden eines Dielektrikums innerhalb des Grabens unter Verwendung eines Atomlagenabscheidungsprozesses (ALD), der unter Verwendung einer Prozesskammer ausgeführt wird, wobei der ALD-Prozess einen ALD-Zyklus umfasst, der umfasst Aussetzen der Halbleiterstruktur gegenüber einem ersten Vorläufer; und Aussetzen der Halbleiterstruktur gegenüber einem zweiten Vorläufer, wobei der zweite Vorläufer auf Flächen der Halbleiterstruktur als eine Flüssigkeit mit Kapillarität kondensiert; wobei eine vertikale Abscheidungsrate des Dielektrikums von einer Unterseitenfläche des Grabens größer ist als eine seitliche Abscheidungsrate des Dielektrikums von einer Seitenwand des Grabens.
  10. Verfahren nach Anspruch 9, wobei das Dielektrikum Siliziumnitrid aufweist, wobei der erste Vorläufer SiH2Cl2 oder SiH2I2 aufweist, und wobei der zweite Vorläufer Ammoniak (NH3) ist.
  11. Verfahren nach Anspruch 10, wobei der ALD-Prozess das Einströmen des ersten Vorläufers oder des zweiten Vorläufers in die Prozesskammer bei einer Strömungsgeschwindigkeit zwischen 10 sccm und 2000 sccm unter Verwendung eines Prozessdrucks zwischen 0,5 Torr und 6 Torr und unter Verwendung einer Prozesstemperatur zwischen 150 °C und 600 °C umfasst.
  12. Verfahren nach einem der vorstehenden Ansprüche 9 bis 11, wobei das Dielektrikum Zirkoniumoxid aufweist, wobei der erste Vorläufer ZrCl4 oder CpZr(NMe2)3 aufweist, und wobei der zweite Vorläufer Wasser ist.
  13. Verfahren nach Anspruch 12, wobei der ALD-Prozess das Verwenden eines Prozessdrucks zwischen 0,5 Torr und 6 Torr und das Verwenden einer Prozesstemperatur zwischen 250 °C und 350 °C umfasst.
  14. Verfahren nach einem der vorstehenden Ansprüche 9 bis 13, wobei das Dielektrikum Aluminiumoxid aufweist, wobei der erste Vorläufer Trimethylaluminium (TMA) ist, und wobei der zweite Vorläufer Wasser ist.
  15. Verfahren nach einem der vorstehenden Ansprüche 9 bis 14, wobei der ALD-Prozess frei von Inhibitoren ist.
  16. Verfahren nach einem der vorstehenden Ansprüche 9 bis 15, wobei der ALD-Prozess das Dielektrikum abscheidet, um den Graben zu füllen, und das Dielektrikum konform auf einer Oberseitenfläche des Substrats abscheidet, wobei das den Graben füllende Dielektrikum fugenlos ist.
  17. Halbleitervorrichtung aufweisend: eine Finne, die von einem Substrat vorsteht; eine Isolierungsregion, welche die Finne umgibt; einen Gatestapel über der Finne; eine Source/Drain-Region in der Finne neben dem Gatestapel; ein Zwischenschichtdielektrikum (ILD) über der Source/Drain-Region, wobei eine Oberseitenfläche des Gatestapels gegenüber einer Oberseitenfläche des ILD ausgespart ist; eine Hartmaske, die den Gatestapel abdeckt, wobei sich eine Oberseitenfläche der Hartmaske auf gleicher Höhe mit der Oberseitenfläche des ILD befindet, wobei die Hartmaske frei von Fugen ist, wobei die Hartmaske ein Höhen:Breiten-Seitenverhältnis in einem Bereich zwischen 1:3 und 1:25 aufweist; und wobei die Hartmaske ein Metalloxid aufweist; und ein leitendes Merkmal, das sich durch die Hartmaske erstreckt, um den Gatestapel zu kontaktieren.
  18. Halbleitervorrichtung nach Anspruch 17, wobei das Metalloxid Aluminiumoxid, Zirkoniumoxid oder Hafniumoxid ist.
  19. Halbleitervorrichtung nach Anspruch 17 oder 18, ferner aufweisend Gateabstandselemente entlang den Seitenwänden des Gatestapels, wobei die Hartmaske die Gateabstandselemente abdeckt.
  20. Halbleitervorrichtung nach einem der vorstehenden Ansprüche 17 bis 19, wobei die Hartmaske eine kleinste Breite in einem Bereich zwischen 3 nm und 10 nm aufweist.
DE102020120899.5A 2019-12-24 2020-08-07 Abscheidungsprozess zum bilden von halbleitervorrichtungen und system Pending DE102020120899A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962953335P 2019-12-24 2019-12-24
US62/953,335 2019-12-24
US16/943,020 US11532475B2 (en) 2019-12-24 2020-07-30 Deposition process for forming semiconductor device and system
US16/943,020 2020-07-30

Publications (1)

Publication Number Publication Date
DE102020120899A1 true DE102020120899A1 (de) 2021-06-24

Family

ID=76206305

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020120899.5A Pending DE102020120899A1 (de) 2019-12-24 2020-08-07 Abscheidungsprozess zum bilden von halbleitervorrichtungen und system

Country Status (3)

Country Link
US (1) US20220384179A1 (de)
KR (1) KR20230098512A (de)
DE (1) DE102020120899A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7380310B2 (ja) * 2019-02-28 2023-11-15 住友電工デバイス・イノベーション株式会社 電界効果トランジスタ及び半導体装置

Also Published As

Publication number Publication date
US20220384179A1 (en) 2022-12-01
KR20230098512A (ko) 2023-07-04

Similar Documents

Publication Publication Date Title
DE102018107997B4 (de) Selektive abdeckprozesse und dadurch ausgebildete strukturen
DE102012204516B4 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE112018004626T5 (de) Nanoblatttransistoren mit verschiedenen gatedielektrika undaustrittsarbeitsmetallen
CN110838526A (zh) 制造半导体装置的方法
DE102017124635A1 (de) Halbleiterbauelement und Herstellungsverfahren
DE102019115481A1 (de) Halbleiterbauelement und verfahren
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102021101880A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung
DE102017118465A1 (de) Halbleitervorrichtung und Verfahren
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102018113168B4 (de) Halbleitervorrichtung mit einer nicht konformen oxidauskleidung und herstellungsverfahren dafür
DE102019102135A1 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102017117798A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102019118375A1 (de) FinFET-Vorrichtung und Verfahren zum Bilden derselbigen
DE102019123627A1 (de) Finnen-feldeffekttransistorvorrichtung und verfahren zu deren herstellung
DE102018122654A1 (de) Finnenfeldeffekttransistorvorrichtung und verfahren zum bilden derselben
DE102021109770A1 (de) Hybrid-halbleitervorrichtung
DE102020120899A1 (de) Abscheidungsprozess zum bilden von halbleitervorrichtungen und system
DE102019209318B4 (de) Halbleitervorrichtung mit integrierter Einzeldiffusionsunterbrechung und Herstellungsverfahren hierfür
KR102547551B1 (ko) 반도체 디바이스를 형성하기 위한 성막 프로세스 및 시스템
DE102021102218A1 (de) Halbleitervorrichtung und Herstellungsverfahren
DE102021107968A1 (de) Integrierte schaltungsstruktur und deren herstellungsverfahren
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102021108624A1 (de) Integrierte schaltungsstruktur und deren herstellungsverfahren
DE102021115949A1 (de) Leitfähige abdeckung für austrittsarbeitsschicht und verfahren zu deren bildung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication