DE112018004626T5 - Nanoblatttransistoren mit verschiedenen gatedielektrika undaustrittsarbeitsmetallen - Google Patents
Nanoblatttransistoren mit verschiedenen gatedielektrika undaustrittsarbeitsmetallen Download PDFInfo
- Publication number
- DE112018004626T5 DE112018004626T5 DE112018004626.5T DE112018004626T DE112018004626T5 DE 112018004626 T5 DE112018004626 T5 DE 112018004626T5 DE 112018004626 T DE112018004626 T DE 112018004626T DE 112018004626 T5 DE112018004626 T5 DE 112018004626T5
- Authority
- DE
- Germany
- Prior art keywords
- layers
- sacrificial
- channel
- sacrificial layers
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 229910052751 metal Inorganic materials 0.000 title claims description 49
- 239000002184 metal Substances 0.000 title claims description 49
- 150000002739 metals Chemical class 0.000 title claims description 14
- 239000002135 nanosheet Substances 0.000 title description 16
- 239000000463 material Substances 0.000 claims abstract description 78
- 239000004065 semiconductor Substances 0.000 claims abstract description 31
- 238000000034 method Methods 0.000 claims abstract description 21
- 238000000059 patterning Methods 0.000 claims abstract description 7
- 229910052710 silicon Inorganic materials 0.000 claims description 33
- 239000010703 silicon Substances 0.000 claims description 33
- 238000004519 manufacturing process Methods 0.000 claims description 32
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 25
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 23
- 238000005530 etching Methods 0.000 claims description 18
- 238000000151 deposition Methods 0.000 claims description 16
- 239000004020 conductor Substances 0.000 claims description 14
- 229910021419 crystalline silicon Inorganic materials 0.000 claims description 2
- 230000003252 repetitive effect Effects 0.000 claims 1
- 239000010410 layer Substances 0.000 description 162
- 230000006870 function Effects 0.000 description 39
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 32
- 239000003989 dielectric material Substances 0.000 description 24
- 125000006850 spacer group Chemical group 0.000 description 18
- 239000002019 doping agent Substances 0.000 description 14
- 239000000758 substrate Substances 0.000 description 12
- 230000008021 deposition Effects 0.000 description 10
- 238000001020 plasma etching Methods 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 230000008569 process Effects 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- 239000011229 interlayer Substances 0.000 description 6
- 239000002070 nanowire Substances 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 239000013078 crystal Substances 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- CFOAUMXQOCBWNJ-UHFFFAOYSA-N [B].[Si] Chemical compound [B].[Si] CFOAUMXQOCBWNJ-UHFFFAOYSA-N 0.000 description 2
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052787 antimony Inorganic materials 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- -1 for example Chemical compound 0.000 description 2
- 239000003574 free electron Substances 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 238000004806 packaging method and process Methods 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000002210 silicon-based material Substances 0.000 description 2
- 239000012265 solid product Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- PFNQVRZLDWYSCW-UHFFFAOYSA-N (fluoren-9-ylideneamino) n-naphthalen-1-ylcarbamate Chemical compound C12=CC=CC=C2C2=CC=CC=C2C1=NOC(=O)NC1=CC=CC2=CC=CC=C12 PFNQVRZLDWYSCW-UHFFFAOYSA-N 0.000 description 1
- MARUHZGHZWCEQU-UHFFFAOYSA-N 5-phenyl-2h-tetrazole Chemical compound C1=CC=CC=C1C1=NNN=N1 MARUHZGHZWCEQU-UHFFFAOYSA-N 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 1
- XWCMFHPRATWWFO-UHFFFAOYSA-N [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] Chemical compound [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] XWCMFHPRATWWFO-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000012707 chemical precursor Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 238000000608 laser ablation Methods 0.000 description 1
- 238000002386 leaching Methods 0.000 description 1
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical compound O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- UVGLBOPDEUYYCS-UHFFFAOYSA-N silicon zirconium Chemical compound [Si].[Zr] UVGLBOPDEUYYCS-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- CZXRMHUWVGPWRM-UHFFFAOYSA-N strontium;barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Sr+2].[Ba+2] CZXRMHUWVGPWRM-UHFFFAOYSA-N 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02603—Nanowires
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8221—Three dimensional integrated circuits stacked in different levels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/82385—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823857—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/06—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
- H01L27/0688—Integrated circuits having a three-dimensional layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0922—Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42372—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
- H01L29/42376—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4908—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/775—Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78651—Silicon transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L2029/42388—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor characterised by the shape of the insulating material
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Crystallography & Structural Chemistry (AREA)
- Materials Engineering (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Plasma & Fusion (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
Halbleitereinheiten und Verfahren zur Herstellung davon weisen ein Strukturieren eines Schichtstapels auf, der Kanalschichten, erste Opferschichten zwischen den Kanalschichten und zweite Opferschichten zwischen den Kanalschichten und den ersten Opferschichten aufweist, um einen oder mehrere Einheiten-Bereiche zu bilden. Die ersten Opferschichten werden aus einem Material gebildet, das eine gleiche Gitterkonstante wie ein Material der ersten Opferschichten aufweist, und die zweiten Opferschichten werden aus einem Material gebildet, das eine Gitterfehlpassung mit dem Material der ersten Opferschichten aufweist. Source- und Drainbereiche werden an Seitenwänden der Kanalschichten in dem einen oder den mehreren Einheiten-Bereichen gebildet. Die ersten und die zweiten Opferschichten werden weggeätzt, um die Kanalschichten an den Source- und Drainbereichen aufgehängt zurückzulassen. Ein Gatestapel wird auf den Kanalschichten abgeschieden.
Description
- HINTERGRUND
- Technisches Gebiet
- Die vorliegende Erfindung betrifft allgemein die Herstellung von Halbleitereinheiten, insbesondere Feld-Nanodraht- und Nanoblatt-Feldeffekttransistoren mit einem Abstand zwischen Kanalschichten, der größer als eine kritische Dicke eines Opfermaterials ist.
- Stand der Technik
- Nanodraht- und Nanoblatttransistoreinheiten können unter Verwendung von Silicium-Germanium als Opferschicht, die zwischen Siliciumschichten angeordnet ist, hergestellt werden. Aufgrund der Gitterfehlpassung zwischen Silicium-Germanium-Kristallen und Siliciumkristallen entsteht jedoch Spannung in den Silicium-Germanium-Opferschichten. Wenn die Silicium-Germanium-Schichten mit einer Dicke gebildet werden, die größer als eine kritische Dicke ist, entstehen in dem Stapel Defekte, wie z.B. Versetzungen.
- Beispielsweise beträgt in Silicium-Germanium mit einer Germaniumkonzentration von etwa 35 % die kritische Dicke etwa 8 nm. Da der Abstand zwischen benachbarten Silicium-Kanalschichten durch die Silicium-Germanium-Dicke bestimmt ist, beschränkt die kleine kritische Dicke den Silicium-Kanalabstand. Dies kann das Strukturieren von Gate-Austrittsarbeitsmetallen und das Herstellen von Transistoren mit dickem Gateoxid erschweren. Das dicke Oxid-Gatedielektrikum klemmt die schmale Lücke zwischen Siliciumkanälen ab und lässt keinen Raum für ein Gate-Austrittsarbeitsmetall. Sogar bei dünneren Gatedielektrika ist es oft von Vorteil, über verschiedene Austrittsarbeitsmetalle für verschiedene Arten von Transistor zu verfügen. Das Entfernen des Austrittsarbeitsmetalls aus der schmalen Lücke zwischen Kanälen kann eine aggressivere Ätzung erforderlich machen, die eine unerwünschte Hinterschneidung zwischen benachbarten Transistoren erzeugen kann.
- KURZDARSTELLUNG
- Ein Verfahren zur Herstellung davon weist Strukturierung eines Schichtstapels auf, der Kanalschichten, erste Opferschichten zwischen den Kanalschichten und zweite Opferschichten zwischen den Kanalschichten und den ersten Opferschichten aufweist, um einen oder mehrere Einheiten-Bereiche zu bilden. Die ersten Opferschichten werden aus einem Material gebildet, das eine gleiche Gitterkonstante wie ein Material der ersten Opferschichten aufweist, und die zweiten Opferschichten werden aus einem Material gebildet, das eine Gitterfehlpassung mit dem Material der ersten Opferschichten aufweist. An Seitenwänden der Kanalschichten in einem oder mehreren Einheiten-Bereichen werden Source- und Drain-Bereiche gebildet. Die ersten und zweiten Opferschichten werden weggeätzt, um die Kanalschichten an den Source- und Drain-Bereichen aufgehängt zurückzulassen. Auf den Kanalschichten wird ein Gatestapel aufgebracht.
- Ein Verfahren zur Herstellung einer Halbleitereinheit weist Strukturieren eines Schichtstapels auf, der Silicium-Kanalschichten, dotiertes-Silicium-Opferschichten zwischen den Kanalschichten und Silicium-Germanium-Opferschichten zwischen den Silicium-Kanalschichten und den dotiertes-Silicium-Opferschichten aufweist, um einen oder mehrere Einheiten-Bereiche zu bilden. Die Kanalschichten weisen einen Vertikalabstand von größer als etwa 8 nm auf. An Seitenwänden der Kanalschichten in einem oder mehreren Einheiten-Bereichen werden Source- und Drain-Bereiche gebildet. Die ersten und zweiten Opferschichten werden weggeätzt, um die Kanalschichten an den Source- und Drain-Bereichen aufgehängt zurückzulassen. Auf den Kanalschichten wird ein Oxid-Gatedielektrikum gebildet, wobei das Oxid-Gatedielektrikum eine Dicke von zwischen etwa 2 nm und etwa 5 nm aufweist. Auf dem Oxid-Gatedielektrikum wird ein Gateleiter aufgebracht.
- Diese und andere Merkmale und Vorteile werden aus der nachstehenden ausführlichen Beschreibung veranschaulichender Ausführungsformen davon, die in Verbindung mit den begleitenden Zeichnungen zu lesen ist, deutlich werden.
- Figurenliste
- In der nachstehenden Beschreibung werden Einzelheiten von bevorzugten Ausführungsformen mit Bezug auf die folgenden Figuren gegeben, wobei:
-
1 eine Querschnittsdarstellung eines Schritts der Herstellung von Feldeffekttransistoren (FETs) mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten bei einer Ausführungsform der vorliegenden Erfindung ist; -
2 eine Querschnittsdarstellung eines Schritts der Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten ist, die die Strukturierung von Einheiten-Bereichen bei einer Ausführungsform der vorliegenden Erfindung zeigt; -
3 eine Querschnittsdarstellung eines Schritts der Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten ist, die die Herstellung von inneren Abstandshaltern bei einer Ausführungsform der vorliegenden Erfindung zeigt; -
4 eine Querschnittsdarstellung eines Schritts der Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten ist, die die Herstellung von Source- und Drain-Bereichen bei einer Ausführungsform der vorliegenden Erfindung zeigt; -
5 eine Querschnittsdarstellung eines Schritts der Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten ist, die die Herstellung eines Zwischenschichtdielektrikums bei einer Ausführungsform der vorliegenden Erfindung zeigt; -
6 eine Querschnittsdarstellung eines Schritts der Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten ist, die das Entfernen einer Pseudogatestruktur bei einer Ausführungsform der vorliegenden Erfindung zeigt; -
7 eine Querschnittsdarstellung eines Schritts der Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten ist, die das Entfernen von Opferschichten bei einer Ausführungsform der vorliegenden Erfindung zeigt; -
8 eine Querschnittsdarstellung eines Schritts der Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten ist, die die Herstellung eines Gatestapels bei einer Ausführungsform der vorliegenden Erfindung zeigt; -
9 eine Querschnittsdarstellung eines Schritts der Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten ist, die die Herstellung eines Gatestapels bei einer Ausführungsform der vorliegenden Erfindung zeigt; und -
10 ein Block-/Flussdiagramm eines Verfahrens zur Herstellung von FETs mit einem großen Abstand zwischen vertikal gestapelten Kanalschichten bei einer Ausführungsform der vorliegenden Erfindung ist. - AUSFÜHRLICHE BESCHREIBUNG
- Ausführungsformen der vorliegenden Erfindung verwendet mehrere Opferschichten, die jeweils Ätzselektivität gegenüber dem Kanalmaterial aufweisen, um Opferschichten mit einer beliebigen geeigneten Dicke zu bilden. Dies verleiht den vorliegenden Ausführungsformen die Eignung, dicke Oxid-Gatedielektrika zu bilden und Austrittsarbeitsmetalle wie benötigt zu strukturieren.
- Bei einer beispielhaften Ausführungsform wird eine Opferschicht aus einer Mehrfachschicht aus z.B. einer ersten Schicht aus Silicium-Germanium, einer mittleren Schicht aus dotiertem Silicium und einer zweiten Schicht aus Silicium-Germanium gebildet. Die Silicium-Germanium-Schichten verhindern, dass Dotierstoffe aus der dotierten Siliciumschicht in die benachbarten Kanalschichten auslaugen. Jede konstituierende Schicht der Opfer-Mehrfachschicht kann selektiv gegenüber dem Kanalmaterial geätzt werden.
-
1 zeigt eine Querschnittsdarstellung eines Schritts der Herstellung von Nanoblatt-Feldeffekttransistoren (FETs). Ein Stapel von Halbleiterschichten wird auf einem Halbleitersubstrat102 gebildet. Das Halbleitersubstrat102 kann ein massives Halbleitersubstrat sein. Bei einem Beispiel kann das massive Halbleitersubstrat ein siliciumhaltiges Material sein. Zu veranschaulichenden Beispielen von siliciumhaltigen Materialien, die für das massive Halbleitersubstrat geeignet sind, gehören, ohne darauf beschränkt zu sein, Silicium, Silicium-Germanium, Silicium-Germanium-Carbid, Siliciumcarbid, epitaktisches Silicium und Mehrfachschichten davon. Obwohl Silicium das bei der Waferherstellung vorwiegend verwendete Halbleitermaterial ist, können auch andere Halbleitermaterialien verwendet werden, wie z.B., aber nicht darauf beschränkt, Germanium, Galliumarsenid, Indiumgalliumarsenid, Indiumphosphid, Galliumnitrid, Cadmiumtellurid und Zinkselenid. Obwohl in den vorliegenden Figuren nicht dargestellt, kann das Halbleitersubstrat102 auch ein Halbleiter-auf-Isolator(SOI)-Substrat sein. - Der Schichtstapel wird aus drei verschiedenen Zusammensetzungen gebildet. Es wird spezifisch in Betracht gezogen, dass ein erster Satz von Schichten
104 aus einem Halbleiter-Kanalmaterial gebildet werden kann. Es wird spezifisch in Betracht gezogen, dass der erste Satz von Schichten104 aus Silicium gebildet werden kann, es ist aber zu beachten, dass stattdessen auch andere geeignete Halbleitermaterialien mit geeigneten Ätzselektivitäten verwendet werden können. - Ein zweiter Satz von Schichten
106 kann aus einem ersten Opfermaterial zwischen dem ersten Satz von Schichten104 gebildet werden. Es wird spezifisch in Betracht gezogen, dass der zweite Satz von Schichten106 aus Silicium-Germanium oder kohlenstoffdotiertem Silicium-Germanium mit einer Germaniumkonzentration von etwa 35 % und einer Kohlenstoffkonzentration von etwa 1 % gebildet werden kann, es ist aber zu beachten, dass stattdessen auch andere Konzentrationen und andere geeignete Opfermaterialien verwendet werden können. Es ist zu beachten, dass der Unterschied der Gitterkonstanten zwischen Silicium und Silicium-Germanium mit einer Germaniumkonzentration von 35 % größer als 1 % ist. - Ein dritter Satz von Schichten
108 kann aus einem zweiten Opfermaterial zwischen dem zweiten Satz von Schichten106 gebildet werden. Es wird spezifisch in Betracht gezogen, dass der dritte Satz von Schichten108 aus einem phosphordotierten oder arsendotierten Silicium gebildet wird, es ist aber zu beachten, dass stattdessen auch andere geeignete Opfermaterialien verwendet werden können und dass insbesondere n-Typ-Dotierstoffe verwendet werden können. Die Schichten des Stapels werden der Reihe nach von unten nach oben in einer Struktur ABACABAC... aufgebracht, wobei A für den zweiten Satz von Schichten106 steht, B für den dritten Satz von Schichten108 steht und C für den ersten Satz von Schichten104 steht. - Die Zusammensetzungen des zweiten Satzes von Schichten
106 und des dritten Satzes von Schichten108 werden ausgewählt, um Ätzselektivität gegenüber dem ersten Satz von Schichten104 zu verleihen. Wie hierin verwendet, bezeichnet der Begriff „selektiv“ im Zusammenhang mit einem Materialentfernungsverfahren, dass die Materialentfernungsrate für ein erstes Material höher als die Materialentfernungsrate für wenigstens ein anderes Material der Struktur ist, an die das Materialentfernungsverfahren angewendet wird. Somit können die Opfermaterialien des zweiten Satzes von Schichten106 und des dritten Satzes von Schichten108 aus zwischen den Kanalmaterialschichten des ersten Satzes von Schichten104 entfernt werden, ohne den ersten Satz von Schichten104 wesentlich zu beschädigen. Die Zusammensetzung des zweiten Satzes von Schichten106 wird spezifisch ausgewählt, um Diffusion von Dotierstoffen aus dem dritten Satz von Schichten108 in den ersten Satz von Schichten104 zu verhindern. Oft ist Silicium-Germanium für diesen Zweck ausreichend, kohlenstoffdotiertes Silicium-Germanium ist aber zum Verringern von Dotierstoffdiffusion überlegen und verhindert, dass Dotierstoffe aus der Opferschicht108 in die Kanalschichten104 diffundieren. - Durch die Verwendung des dritten Satzes von Schichten
108 zum Vergrößern der Opfermaterialdicke zwischen benachbarten Kanalschichten des ersten Satzes von Schichten104 kann der Abstand zwischen Kanalschichten auf einen beliebigen Wert vergrößert werden, ohne die kritische Dicke in dem zweiten Satz von Schichten106 zu überschreiten. Die Dicke des dritten Satzes von Schichten108 kann zu jeder geeigneten Dicke gemacht werden, ohne wesentliche Spannung einzuführen, da die Gitterkonstanten zwischen 108 und 104 im Wesentlichen zusammenpassen, während die Dicke des zweiten Satzes von Schichten106 unter der kritischen Dicke des Opfermaterials gehalten werden kann, um den zweiten Satz von Schichten106 zu bilden. Bei einer Ausführungsform, bei der in dem zweiten Satz von Schichten106 kohlenstoffdotiertes Silicium-Germanium verwendet wird, kann die Dicke des zweiten Satzes von Schichten106 etwa drei Nanometer betragen, während die Dicken des ersten Satzes von Schichten104 und des dritten Satzes von Schichten108 eine beliebige geeignete Dicke sein kann. - Jede der Schichten kann durch epitaktisches Wachstum oder ein beliebiges anderes geeignetes Abscheidungsverfahren auf der vorangehenden Schicht gebildet werden. Bei dieser Stufe kann epitaktisches Wachstum mit vergleichsweise hoher Qualität durchgeführt werden, da jede vorangehende Schicht eine gute Keimschicht für das Wachstum der nächsten Schicht bereitstellt. Die Begriffe „epitaktisches Wachstum“ und „epitaktische Abscheidung“ bezeichnen das Wachstum eines Halbleitermaterials auf einer Abscheidungsoberfläche eines Halbleitermaterials, wobei das Halbleitermaterial, das aufgebracht wird, im Wesentlichen die gleichen kristallinen Merkmale wie das Halbleitermaterial der Abscheidungsoberfläche aufweist. Der Begriff „epitaktisches Material“ bezeichnet ein Material, das unter Verwendung von epitaktischem Wachstum gebildet ist. Bei manchen Ausführungsformen, wenn die chemischen Reaktanten beherrscht und die Systemparameter richtig eingestellt sind, treffen die Abscheidungsatome mit einer ausreichenden Energie auf die Abscheidungsoberfläche auf, um sich auf der Oberfläche zu bewegen und sich an der Kristallanordnung der Atome der Abscheidungsoberfläche auszurichten. Daher wird bei manchen Beispielen eine auf einer {100}-Kristallfläche abgeschiedene epitaktische Dünnschicht eine {100}-Orientierung annehmen.
- Der dritte Satz von Schichten
108 kann durch ein derartiges epitaktisches Verfahren mit in-situ-Dotierung unter Verwendung eines n-Typ-Dotierstoffs gebildet werden. Es wird spezifisch in Betracht gezogen, dass n-Typ-Dotierstoffatome wenig Veränderung der Gitterkonstante von Silicium verursachen, aber jeder geeignete Dotierstoff verwendet werden kann, um die Wirkung der Bewahrung der Gitterkonstante des Halbleitermaterials in dem dritten Satz von Schichten108 zu erzielen. Bei manchen Ausführungsformen beträgt der Unterschied der Gitterkonstanten zwischen dem dritten Satz von Schichten108 und den Kanalschichten104 weniger als etwa 0,3 %. Wie hierin verwendet, bezeichnet „n-Typ“ die Zugabe von Verunreinigungen, die freie Elektronen an einen intrinsischen Halbleiter liefern. Zu Beispielen von n-Typ-Dotierstoffen in einer siliciumhaltigen Schicht gehören, sind aber nicht darauf beschränkt, Antimon, Arsen und Phosphor. -
2 zeigt eine Querschnittsdarstellung einer Stufe der Herstellung von Nanoblatt-FETs. Auf dem Schichtstapel wird ein Pseudogate-Stapel gebildet. Der Pseudogate-Stapel enthält ein Pseudogate204 und einen Abstandshalter206 an Pseudogate-Seitenwänden. Das Pseudogate204 kann ein Pseudogate-Dielektrikum (z.B. Siliciumoxid) um den Nanoblattstapel, einen Pseudogate-Platzhalter (z.B. amorphes Silicium) um das Pseudogate-Dielektrikum und eine Pseudogate-Hartmaske (z.B. Siliciumnitrid) auf dem Pseudogate-Platzhalter aufweisen. Die Abstandshalter206 können ein beliebiges dielektrisches Material aufweisen, einschließlich, aber nicht darauf beschränkt, Siliciumnitrid, Siliciumoxynitrid, Siliciumoxid, Silicoborcarbonitrid, Siliciumoxycarbonitrid, Siliciumoxycarbid, Siliciumcarbonitrid und jede geeignete Kombination dieser Materialien. Das Pseudogate204 kann durch jedes geeignete Strukturierungsverfahren strukturiert werden, einschließlich, aber nicht darauf beschränkt, Lithographie mit nachfolgender richtungsabhängiger Ätzung (z.B. reaktives lonenätzen (RIE)), Seitenwand-Bildübertragung, selbstausgerichtete Doppel- oder Vierfachstrukturierung. Die Abstandshalter206 können durch Abscheidung, gefolgt von RIE-Bearbeitung, gebildet werden. - Der Pseudogate-Stapel wird als Maske für die anisotrope Ätzung des Schichtstapels verwendet, um die Blattstapel
202 zu bilden. Die Blattstapel202 werden in wenigstens zwei Bereichen gebildet, einschließlich eines ersten Bereichs208 und eines zweiten Bereichs210 . Bei manchen Ausführungsformen wird der erste Bereich208 verwendet, um einen ersten FET (z.B. einen n-Typ-FET) mit einem Typ von Austrittsarbeitsmetall zu bilden, während der zweite Bereich210 verwendet wird, um einen zweiten FET (z.B. einen p-Typ-FET) mit einem zweiten Typ von Austrittsarbeitsmetall zu bilden. Bei manchen Ausführungsformen können der erste Bereich208 und der zweite Bereich210 den gleichen Typ von FET (z.B. beide sind n-Typ-FETs oder p-Typ-FETs) mit verschiedenen Austrittsarbeitsmetallen aufweisen. Bei anderen Ausführungsformen können der erste Bereich208 und der zweite Bereich210 verschiedene Typen von Gate-Dielektrika aufweisen und bei weiteren Ausführungsformen können sich der erste Bereich208 und der zweite Bereich210 sowohl in dem Austrittsarbeitsmetall als auch dem Gate-Dielektrikum unterscheiden. Der Blattstapel202 kann jede geeignete Geometrie aufweisen, wobei die Breite der Blattstapel202 die Endbreite der FET-Kanalbereiche bestimmt. - Bei einer Ausführungsform kann der Blattstapel
202 durch eine selektive RIE unter Verwendung des Pseudogate-Stapels als Maske gebildet werden. RIE ist eine Form des Plasmaätzens, bei der während des Ätzens die zu ätzende Oberfläche auf einer hochfrequenzgespeisten Elektrode angeordnet wird. Ferner wird während des RIE die zu ätzende Oberfläche an ein Potential gelegt, das die aus einem Plasma extrahierten Ätzspezies in Richtung zu der Oberfläche beschleunigt, in der die chemische Ätzreaktion in der Richtung normal zu der Oberfläche erfolgt. Zu anderen Beispielen von anisotropem Ätzen, die an diesem Punkt der vorliegenden Erfindung verwendet werden können, gehören Ionenstrahlätzen, Plasmaätzen und Laserablation. - Es ist zu beachten, dass die vorliegenden Ausführungsformen zwar spezifisch mit Bezug auf Nanoblätter beschrieben werden, die gleichen Grundgedanken aber auch auf die Herstellung von Nanodrahteinheiten zutreffen. Wie hierin verwendet, bezeichnet der Begriff „Nanoblatt“ eine Struktur mit einem Verhältnis ihrer Querschnittsbreite zu ihrer Querschnittshöhe von größer als etwa 2:1, während der Begriff „Nanodraht“ eine Struktur mit einem Verhältnis ihrer Querschnittsbreite zu ihrer Querschnittshöhe von kleiner als etwa 2:1 bezeichnet. Die Form des Blattstapels
202 bestimmt, ob eine „Nanoblattstruktur“ oder eine „Nanodrahtstruktur“ gebildet wird. -
3 zeigt eine Querschnittsdarstellung einer Stufe der Herstellung eines Nanoblatt-FET. Der zweite und der dritte Satz von Schichten106 und108 werden unter Verwendung einer oder mehrerer selektiver isotroper Ätzungen relativ zu den ersten Schichten104 zurückgesetzt. Bei einer spezifischen Ausführungsform entfernen die selektiven isotropen Ätzungen vorzugsweise Silicium-Germanium-Material und dotiertes Siliciummaterial und lassen Schichten aus undotiertem Silicium vergleichsweise unberührt. - Es wird spezifisch in Betracht gezogen, dass die Ätzungen des zweiten und des dritten Satzes von Schichten
106 und108 chemische Nass- oder Trockenätzungen sein können. Die Ätzung des dritten Satzes von Schichten108 kann z.B. eine Ätzung in einem Chlorgas bei einem Partialdruck von etwa 0,17 Torr in einem Temperaturbereich zwischen etwa 25 °C und etwa 90 °C einschließen. Wenn unter diesen Bedingungen ein n-Typ-dotiertes Siliciummaterial in dem dritten Satz von Schichten108 verwendet wird und intrinsisches Silicium in dem ersten Satz von Schichten104 verwendet wird, wird der dritte Satz von Schichten108 mit einer Rate geätzt, die etwa 90-mal höher als die Ätzrate für den ersten Satz von Schichten104 ist. Das Ätzen des zweiten Satzes von Schichten106 kann unter Verwendung einer geeigneten Nassätzung durchgeführt werden, z.B. mit Wasserstoffperoxid mit Fluorwasserstoffsäure, Salpetersäure mit Fluorwasserstoffsäure oder Ammoniumhydroxid mit Wasserstoffperoxid. Es ist zu beachten, dass für das selektive Entfernen des Opfermaterials eine andere Ätzchemie erforderlich sein kann, wenn die Opfermaterialien anders ausgewählt sind als die oben beschriebenen. - Anschließend wird ein zusätzliches Abstandshaltermaterial
302 an den zurückgesetzten Enden des Satzes und der dritten Sätze der Schichten106 und108 in den Blattstapeln202 gebildet. Dieses zusätzliche Abstandshaltermaterial302 kann z.B. unter Verwendung eines konformen Abscheidungsverfahrens abgeschieden und anschließend isotrop oder anisotrop zurückgeätzt werden, um überschüssiges Abstandshaltermaterial an den vertikalen Seitenwänden der Nanoblattstapelstruktur und auf der Oberfläche des Halbleitersubstrats102 zu entfernen, wobei das zusätzliche Abstandshaltermaterial302 von dem darüber angeordneten Pseudogate-Stapel geschützt wird. - Das zusätzliche Abstandshaltermaterial
302 kann aus dem gleichen oder aus einem anderen Material als die Abstandshalterschicht206 des Pseudogate-Stapels gebildet werden (z.B. Siliciumnitrid). Beispielsweise kann das eingebettete Abstandshaltermaterial302 aus Siliciumnitrid, Silicoborcarbonitrid, Siliciumcarbonitrid, Siliciumcarbooxynitrid oder einem beliebigen anderen Typ von dielektrischem Material (z.B. einem dielektrischen Material mit einer Dielektrizitätskonstante k von kleiner als 5) gebildet werden, das für die Aufgabe, einen isolierenden Gate-Seitenwand-Abstandshalter von FET-Einheiten zu bilden, geeignet ist. - Bei einer Ausführungsform wird das dielektrische Material unter Verwendung eines hochgradig konformen Abscheidungsverfahrens, wie z.B. Atomschichtabscheidung (ALD), konform abgeschieden, um zu gewährleisten, dass der zurückgesetzte Bereich ausreichend mit dielektrischem Material gefüllt wird. Es können auch andere Abscheidungsverfahren, wie z.B. chemische Gasphasenabscheidung (CVD), verwendet werden, um eine hochgradig konforme Schicht von dielektrischem Material zum Füllen des zurückgesetzten Bereichs abzuscheiden. CVD ist ein Abscheidungsverfahren, bei dem eine abgeschiedene Spezies als Ergebnis einer chemischen Reaktion zwischen gasförmigen Reaktanden bei höher als Raumtemperatur (z.B. von etwa 25 °C, etwa 900 °C) gebildet wird. Das feste Produkt der Reaktion wird auf der Oberfläche abgeschieden, auf der ein Film, eine Beschichtung oder eine Schicht des festen Produkts gebildet werden soll. Zu Varianten des CVD-Verfahrens gehören, sind aber nicht darauf beschränkt, Atmosphärendruck-CVD (APCVD), Niederdruck-CVD (LPCVD), plasmaverstärkte CVD (PECVD) und metallorganische CVD (MOCVD), und auch Kombinationen davon können eingesetzt werden. Bei alternativen Ausführungsformen, die ALD einsetzen, reagieren chemische Vorläuferverbindungen einzeln mit der Oberfläche eines Materials, um eine dünne Schicht auf der Oberfläche abzuscheiden.
-
4 zeigt eine Querschnittsdarstellung einer Stufe der Herstellung eines Nanoblatt-FET. Source- und Drainbereiche402 werden in Kontakt mit den Enden der Schichten des ersten Materials104 gebildet. Bei einer Ausführungsform können die Source- und Drainbereiche402 epitaktisch auf diesen Oberflächen aufgewachsen und in situ mit einem Leitfähigkeitstyp, der für den Typ der hergestellten Einheit geeignet ist, dotiert werden. - Wie hierin verwendet, bezeichnet der Begriff „Leitfähigkeitstyp“ einen Bereich von Dotierstoffen, der vom p-Typ oder n-Typ ist. Wie hierin verwendet, bezeichnet „p-Typ“ die Zugabe von Verunreinigungen zu einem intrinsischen Halbleiter, die einen Mangel an Valenzelektronen erzeugen. Für ein siliciumhaltiges Substrat gehören zu Beispielen von p-Typ-Dotierstoffen, d.h. Verunreinigungen, sind aber nicht darauf beschränkt: Bor, Aluminium, Gallium und Indium. Wie hierin verwendet, bezeichnet „n-Typ“ die Zugabe von Verunreinigungen, die freie Elektronen zu einem intrinsischen Halbleiter beitragen. Für ein siliciumhaltiges Substrat gehören zu Beispielen von n-Typ-Dotierstoffen, d.h. Verunreinigungen, sind aber nicht darauf beschränkt, Antimon, Arsen und Phosphor.
-
5 zeigt eine Querschnittsdarstellung einer Stufe der Herstellung eines Nanoblatt-FET. Ein dielektrisches Material oder eine Kombination von dielektrischen Materialien wird über und um die Bereiche208 und210 gebildet und anschließend unter Verwendung z.B. eines chemischmechanischen Planarisierungsverfahrens (CMP) auf die Ebene des Pseudogates204 hinunterplanarisiert, um das Zwischenschichtdielektrikum502 zu bilden. Bei manchen Ausführungsformen enthält das Zwischenschichtdielektrikum502 Siliciumnitrid und Siliciumoxid. -
6 zeigt eine Querschnittsdarstellung einer Stufe der Herstellung eines Nanoblatt-FET. Das Pseudogate210 und die Pseudogate-Hartmaske212 werden durch ein beliebiges geeignetes Ätzverfahren entfernt, um den darunterliegenden Blattstapel202 freizulegen und eine Öffnung602 zu bilden. -
7 zeigt eine Querschnittsdarstellung einer Stufe der Herstellung eines Nanoblatt-FET. Das Material des dritten Satzes von Schichten108 und des zweiten Satzes von Schichten106 wird sowohl in dem ersten als auch dem zweiten Bereich208 und210 selektiv weggeätzt. Dies lässt Öffnungen702 zwischen dem ersten Satz von Schichten104 zurück. Es können die gleichen Ätzungen verwendet werden, die verwendet wurden, um den zweiten und den dritten Satz von Schichten106 und108 selektiv zurückzusetzen, um das Opfermaterial vollständig zu entfernen. - Ab diesem Punkt können verschiedene Arten von Einheiten gebildet werden. Insbesondere können Einheiten mit verschiedenen Gatedielektrika, verschiedenen Austrittsarbeitsmetallen oder beidem in dem ersten Bereich
208 und dem zweiten Bereich210 gebildet werden. Nachstehend werden einige Beispiele dieser Ausführungsformen beschrieben. -
8 zeigt eine Querschnittsdarstellung einer Stufe der Herstellung von FETs mit verschiedenen Gatedielektrika. Durch Maskieren eines Bereichs und dann des anderen wird eine Schicht von dickem dielektrischem Oxidmaterial802 (mit einer Dicke von zwischen etwa 2 nm und etwa 5 nm) konform in dem ersten Bereich208 abgeschieden, und ein dünnerer Bereich eines dielektrischen Materials mit hohem k-Wert 804 wird konform in dem zweiten Bereich210 über den und um die Kanalschichten abgeschieden. Da die Kanalschichten104 einen großen Abstand aufweisen, können wesentlich dickere dielektrische Schichten erhalten werden, wie z.B. die dicke dielektrische Oxidschicht802 , als sie auf andere Weise möglich wären. - Das dicke dielektrische Oxidmaterial wird nach dem Abscheiden strukturiert, um es aus dem zweiten Bereich
210 zu entfernen. Es ist zu beachten, dass das dielektrische Material mit hohem k-Wert 804, das viel dünner als die dicke dielektrische Oxidschicht802 abgeschieden werden kann, strukturiert werden kann, um sie nur auf den zweiten Bereich210 zu beschränken, bei manchen Ausführungsformen aber auch über beiden Bereichen208 und210 abgeschieden werden kann, ohne das Material mit hohem k-Wert aus dem Bereich, der das dicke Oxidmaterial802 aufweist, zu entfernen. In beiden Bereichen wird ein Metallgate806 abgeschieden. Bei manchen Ausführungsformen weist das Metallgate ein Austrittsarbeitsmetall auf. Bei manchen Ausführungsformen weist das Metallgate ein Austrittsarbeitsmetall, das über dem Gatedielektrikum mit hohem k-Wert liegt, und einen Gateleiter, der den Rest der Gateöffnung füllt, auf. - Es wird spezifisch in Betracht gezogen, dass die Schicht aus dickem dielektrischem Oxidmaterial
802 z.B. aus Siliciumdioxid gebildet werden kann, während die Schicht aus dielektrischem Material mit hohem k-Wert 804 aus einem beliebigen geeigneten dielektrischen Material mit einer Dielektrizitätskonstante, die größer ist als jene von Siliciumdioxid, gebildet werden kann, einschließlich z.B. Hafniumoxid, Hafniumsiliciumoxid, Hafniumsiliciumoxynitrid, Lanthanoxid, Lanthanaluminiumoxid, Zirkoniumoxid, Zirkoniumsiliciumoxid, Zirkoniumsiliciumoxynitrid, Tantaloxid, Titanoxid, Bariumstrontiumtitanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid und Bleizinkniobat. Das dielektrische Material mit hohem k-Wert kann ferner Dotierstoffe, wie z.B. Lanthan und Aluminium, enthalten. Als Alternative zum vollständigen Füllen der verbleibenden Lücke702 mit Austrittsarbeitsmetall806 kann bei manchen Ausführungsformen nur eine Schicht aus Austrittsarbeitsmetall abgeschieden und der Rest der Lücke702 mit Wolfram oder einem anderen geeigneten Gateleiter gefüllt werden. - Es wird spezifisch in Betracht gezogen, dass ein geeignetes Austrittsarbeitsmaterial dem Typ der hergestellten Einheit entsprechend ausgewählt wird. Beispielsweise kann ein p-Typ-Austrittsarbeitsmetall verwendet werden, um eine p-Typ-Schwellenspannungsverschiebung in einem p-Typ-FET zu erzeugen, während ein n-Typ-Austrittsarbeitsmetall verwendet werden kann, um eine n-Typ-Schwellenspannungsverschiebung in einer n-Typ-Einheit zu erzeugen. Zu Beispielen von p-Typ-Austrittsarbeitsmetallen gehören Titannitrid, Titanaluminiumnitrid, Ruthenium, Platin, Molybdän, Cobalt und Kombinationen davon. Zu Beispielen von n-Typ-Austrittsarbeitsmetallen gehören Titan-Aluminium-Legierungen, Tantalnitrid, Titannitrid (abhängig von den Abscheidungsparametern), Hafniumnitrid und Hafniumsilicium.
-
9 zeigt eine Querschnittsdarstellung einer Stufe der Herstellung von FETs mit verschiedenen Austrittsarbeitsmetallen. Bei dieser Ausführungsform wird der erste Bereich208 verwendet, um einen n-Typ-FET herzustellen, während der zweite Bereich210 verwendet wird, um einen p-Typ-FET herzustellen, jeweils mit anderen Austrittsarbeitsmetallen. In beiden Bereichen wird ein Gatedielektrikum902 konform abgeschieden. - In dem ersten Bereich
208 wird ein erstes Austrittsarbeitsmetall904 abgeschieden (z.B. bei diesem Beispiel ein n-Typ-Austrittsarbeitsmetall, obwohl bei anderen Ausführungsformen zuerst ein p-Typ-Austrittsarbeitsmetall abgeschieden werden könnte), während der zweite Bereich210 maskiert ist. Anschließend wird ein zweites Austrittsarbeitsmetall906 (bei diesem Beispiel ein p-Typ-Austrittsarbeitsmetall) über sowohl dem ersten Bereich208 als auch dem zweiten Bereich210 abgeschieden. Ein p-Typ-Austrittsarbeitsmetall, das auf diese Weise auf einem n-Typ-Austrittsarbeitsmetall abgeschieden wird, wird die Schwellenspannung des fertigen Transistors nicht beeinflussen. Wie oben beschrieben, kann das zweite Austrittsarbeitsmetall906 die Lücke702 abklemmen oder eine Füllung mit einem geeigneten Gateleiter kann wie gezeigt verwendet werden. Der vergleichsweise große Abstand zwischen benachbarten Kanalschichten104 macht die Strukturierung des ersten Austrittsarbeitsmaterials einfacher und ermöglicht das Entfernen dieses Materials von z.B. dem zweiten Bereich210 . - Wie oben angemerkt, können diese beiden Ausführungsformen mit verschiedenen Austrittsarbeitsmetallen auf dicken Oxid-Gatedielektrika kombiniert werden. Der größere Abstand zwischen vertikal benachbarten Kanälen lässt eine wesentlich größere Freiheit für Ausführungsvariationen der Einheit als herkömmliche Einheiten.
- Es ist zu beachten, dass Erscheinungsformen der vorliegenden Erfindung für eine gegebene veranschaulichende Architektur beschrieben werden; aber andere Architekturen, Strukturen, Substratmaterialien und Verfahrenselemente und -schritte innerhalb des Umfangs von Erscheinungsformen der vorliegenden Erfindung variiert werden können.
- Ferner ist zu beachten, dass ein Element, wie z.B. eine Schicht, ein Bereich oder ein Substrat, das als „auf“ oder „über“ einem anderen Element angeordnet bezeichnet wird, direkt auf dem anderen Element angeordnet sein kann, aber auch dazwischenliegende Elemente vorhanden sein können. Wenn dagegen ein Element als „direkt auf“ oder „direkt über“ einem anderen Element angeordnet bezeichnet wird, sind keine dazwischenliegenden Elemente vorhanden. Ferner ist zu beachten, dass ein Element, das als mit einem anderen Element „verbunden“ oder „gekoppelt“ bezeichnet wird, direkt mit dem anderen Element verbunden oder gekoppelt sein kann oder dazwischenliegende Elemente vorhanden sein können. Wenn ein Element dagegen als mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ bezeichnet wird, sind keine dazwischenliegenden Elemente vorhanden.
- Die vorliegenden Ausführungsformen können einen Bauplan für einen Chip einer integrierten Schaltung aufweisen, der in einer graphischen Computerprogrammiersprache erzeugt und in einem Computerspeichermedium (wie z.B. einer Platte, einem Band, einer physischen Festplatte oder einer virtuellen Festplatte, wie z.B. einem Speicherzugriffsnetzwerk) gespeichert werden kann. Wenn der Planer keine Chips oder photolithographische Masken, die zur Herstellung von Chips verwendet werden, herstellt, kann der Planer den erhaltenen Bauplan durch physische Mittel (z.B. durch Bereitstellen einer Kopie des Speichermediums, das den Bauplan speichert) oder elektronisch (z.B. durch das Internet) direkt oder indirekt an entsprechende Einheiten übertragen. Der gespeicherte Bauplan wird dann in das geeignete Format (z.B. GDSII) für die Herstellung photolithographischer Masken umgewandelt, das gewöhnlich mehrere Kopien des entsprechenden Chipbauplans enthält, die auf einem Wafer gestaltet werden sollen. Die photolithographischen Masken werden verwendet, um Bereiche des Wafers (und/oder der Schichten darauf) zu definieren, die geätzt oder auf andere Weise bearbeitet werden sollen.
- Hierin beschriebene Verfahren können bei der Herstellung von Chips mit integrierten Schaltkreisen verwendet werden. Die erhaltenen Chips mit integrierten Schaltkreisen können von dem Hersteller in Rohwaferform (d.h. als einzelner Wafer, der mehrere unverpackte Chips aufweist), als unverpackte Halbleiterscheibe oder in einer verpackten Form ausgegeben werden. Im letztgenannten Fall ist der Chip in einer Einzelchipverpackung (wie z.B. einem Kunststoffträger mit Leitungen, die an einer Hauptplatine oder einem anderen übergeordneten Träger befestigt sind) oder in einer Mehrchipverpackung (wie z.B. einem keramischen Träger, der entweder Oberflächenverbindungen oder vergrabene Verbindungen oder beides aufweist) angebracht. In jedem Fall wird der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungseinheiten als Teil (a) eines Zwischenprodukts, wie z.B. einer Hauptplatine, oder (b) eines Endprodukts integriert. Das Endprodukt kann jedes Produkt sein, das Chips mit integrierten Schaltkreisen enthält, von Spielzeugen und anderen Low-End-Anwendungen bis hin zu fortgeschrittenen Computerprodukten mit einer Anzeige, einer Tastatur oder einer anderen Eingabeeinheit und einem Zentralprozessor.
- Ferner ist zu beachten, dass Materialverbindungen in der Form von aufgelisteten Elementen, wie z.B. SiGe, beschrieben werden. Diese Verbindungen schließen verschiedene Anteile der Elemente innerhalb der Verbindung ein, z.B. schließt SiGe SixGe1-x ein, wobei x kleiner oder gleich 1 ist, und so weiter. Ferner können andere Elemente in der Verbindung enthalten sein und immer noch gemäß den beschriebenen Grundgedanken arbeiten. Die Verbindungen mit zusätzlichen Elementen werden hierin als Legierungen bezeichnet.
- Ein Verweis in der Beschreibung auf eine „Ausführungsform“ oder „eine Ausführungsform“ sowie andere Variationen davon bedeutet, dass ein(e) bestimmte(s) Element, Struktur, Merkmal und so weiter, das/die in Verbindung mit der Ausführungsform beschrieben wird, in wenigstens einer Ausführungsform enthalten ist. Somit bezieht sich das Auftreten des Begriffs „bei einer Ausführungsform“ oder „in einer Ausführungsform“ sowie anderer Varianten davon, die an verschiedenen Stellen in der Beschreibung erscheinen, nicht notwendigerweise immer auf die gleiche Ausführungsform.
- Es ist zu beachten, dass die Verwendung der folgenden „/“, „und/oder“ und „wenigstens eines von“, beispielsweise in den Fällen von „A/B“, „A und/oder B“ und „wenigstens eines von A und B“, die Auswahl nur der ersten aufgelisteten Option (A) oder die Auswahl nur der zweiten aufgelisteten Option (B) oder die Auswahl beider Optionen (A und B) einschließen soll. Als weiteres Beispiel soll in den Fällen von „A, B und/oder C“ und „wenigstens eines von A, B und C“ diese Bezeichnung die Auswahl nur der ersten aufgelisteten Option (A) oder die Auswahl nur der zweiten aufgelisteten Option (B) oder die Auswahl nur der dritten aufgelisteten Option (C) oder die Auswahl nur der ersten und der zweiten aufgelisteten Option (A und B) oder die Auswahl nur der ersten und der dritten aufgelisteten Option (A und C) oder die Auswahl nur der zweiten und der dritten aufgelisteten Option (B und C) oder die Auswahl aller drei aufgelisteten Optionen (A und B und C) einschließen. Wie dem Fachmann klar ist, kann dies auf so viele Elemente erweitert werden, wie aufgelistet.
- Die hierin verwendete Terminologie dient nur dem Zweck der Beschreibung bestimmter Ausführungsformen und ist nicht zur Beschränkung auf Ausführungsbeispiele gedacht. Wie hierin verwendet, sollen die Singularformen „ein“, „eine“ und „der/die/das“ auch die Pluralformen einschließen, sofern es der Zusammenhang nicht eindeutig anders bedingt. Ferner ist zu beachten, dass die Begriffe „weist auf“, „aufweisend“, „schließt ein“ und/oder „einschließlich“, wenn hierin verwendet, das Vorhandensein angegebener Merkmale, ganzer Zahlen, Schritte, Vorgänge, Elemente und/oder Komponenten bezeichnet, nicht aber das Vorhandensein oder Hinzufügen eines oder mehrerer anderer Merkmale, ganzer Zahlen, Schritte, Vorgänge, Elemente, Komponenten und/oder Gruppen davon ausschließt.
- Räumliche Relativbegriffe, wie z.B. „unterhalb“, „unter“, „untere(r,s)“, „über“, „obere(r,s)“ und dergleichen können hierin zur einfachen Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den FIG. dargestellt, zu beschreiben. Es ist zu beachten, dass die räumlichen Relativbegriffe zusätzlich zu den in den FIG. dargestellten Orientierungen verschiedene Orientierungen der Einheit im Betrieb einschließen soll. Wenn beispielsweise die Einheit in den FIG. umgedreht wird, werden Elemente, die als „unter“ oder „unterhalb“ anderen Elementen oder Merkmalen liegend beschrieben werden, dann „über“ den anderen Elementen oder Merkmalen angeordnet sein. Somit kann der Begriff „unter“ eine Orientierung sowohl von über als auch von unter einschließen. Die Einheit kann auch anders orientiert sein (um 90 Grad gedreht oder in anderen Orientierungen) und die hierin verwendeten räumlichen relativen Beschreibungsbegriffe können entsprechend interpretiert werden. Ferner ist zu beachten, dass eine Schicht, die als „zwischen“ zwei Schichten angeordnet bezeichnet wird, die einzige Schicht zwischen den beiden Schichten sein kann oder eine oder mehrere weitere dazwischenliegende Schichten vorhanden sein können.
- Es ist zu beachten, dass, obwohl die Begriffe erstes, zweites usw. hierin zum Beschreiben verschiedener Elemente verwendet werden können, diese Elemente nicht durch diese Begriffe beschränkt werden sollen. Diese Begriffe werden nur zum Unterscheiden eines Elements von einem anderen Element verwendet. Somit könnte ein nachstehend als erstes Element bezeichnetes Element als zweites Element bezeichnet werden, ohne von dem Umfang des vorliegenden Konzepts abzuweichen.
-
10 zeigt ein Verfahren zur Herstellung eines FET. Block1102 bildet den Schichtstapel, einschließlich eines ersten Satzes von Schichten104 , eines zweiten Satzes von Schichten106 und eines dritten Satzes von Schichten108 , wobei der erste Satz von Schichten104 aus einem Kanalmaterial gebildet ist, wie z.B. kristallinem Silicium, und wobei der zweite und der dritte Satz von Schichten106 und108 aus Opfermaterialien gebildet sind, wie z.B. kohlenstoffdotiertem Silicium-Germanium bzw. n-Typ-dotiertem Silicium. Es wird spezifisch in Betracht gezogen, dass der Block1102 diese Schichten durch epitaktisches Wachstum abscheiden kann, es ist aber zu beachten, dass stattdessen jedes geeignete Abscheidungsverfahren verwendet werden kann. - Der Block
1103 bildet Pseudogates202 auf dem Schichtstapel. Die Pseudogates204 werden aus einem Material, wie z.B. Polysilicium, gebildet, und Abstandshalter206 werden an den Seitenwänden der Pseudogates202 gebildet. Anschließend strukturiert der Block1104 die Schichtstapel unter Verwendung der Pseudogates204 und der Abstandshalter206 als Maske, um Säulen202 zu erzeugen. Es ist zu beachten, dass daher die Abmessungen des Pseudogates204 und der Abstandshalter206 die Abmessungen der hergestellten Kanäle bestimmen werden, wobei verschiedene Abmessungen Nanodrähte oder Nanoblätter erzeugen. - Der Block
1106 setzt die Opferschichten unter Verwendung geeigneter chemischer Nass- oder Trockenätzungen zurück. Es wird spezifisch in Betracht gezogen, dass diese Ätzungen gegenüber dem Kanalmaterial des ersten Satz von Schichten104 selektiv sein sollten, so dass die Kanalstrukturen durch das Zurücksetzen der Opferschichten nicht beschädigt werden. Dies kann in einer Ätzung oder in zwei getrennten Ätzungen durchgeführt werden. Anschließend bildet der Block1108 innere Abstandshalter302 an den Seitenwänden der Opferschichten, beispielsweise durch konformes Abscheiden einer Schicht von Abstandshaltermaterial (z.B. Siliciumnitrid) und anschließendes Verwenden einer anisotropen Ätzung zum Entfernen des abgeschiedenen Abstandshaltermaterials von horizontalen Oberflächen. - Der Block
1110 bildet Source- und Drainbereiche402 an den Seitenwänden der Kanalstrukturen durch z.B. ein epitaktisches Wachstumsverfahren mit in-situ-Dotierung. Der in den Source- und Drainbereichen402 verwendete Dotierstoff wird helfen, zu bestimmen, ob die Einheit eine n-Typ-Einheit oder eine p-Typ-Einheit ist. Wie vorstehend angemerkt, können verschiedene Typen von Einheiten in verschiedenen Bereichen gebildet werden, wobei beispielsweise ein erster Typ von Einheit in einem ersten Bereich208 gebildet wird und ein zweiter Typ von Einheit in einem zweiten Bereich210 gebildet wird. Um dies zu erzielen, kann der Block1110 bestimmte Bereiche maskieren, während die Sources und Drains von Einheiten in anderen Bereichen gebildet werden. - Der Block
1112 bildet ein Zwischenschichtdielektrikum um die Strukturen. Dies kann beispielsweise durch Abscheiden eines fließfähigen Oxids auf eine Höhe über den Pseudogates204 und anschließendes Herunterpolieren des Oxidmaterials zum Freilegen der Pseudogates204 unter Verwendung eines CMP-Verfahrens, das bei dem Material der Pseudogates204 stoppt, durchgeführt werden. Die Pseudogates204 können dann durch eine geeignete Ätzung in Block1114 entfernt werden, um den Schichtstapel in Säulen202 freigelegt zurückzulassen. - Der Block
1115 ätzt die Opferschichten in den Säulen202 in einem oder mehreren Schritten einer chemischen Nass- oder Trockenätzung weg, um die Opferschichten von zwischen den Kanalschichten zu entfernen. Der Block1116 scheidet ein Gatedielektrikum unter Verwendung eines konformen Abscheidungsverfahrens ab, das eine Schicht von Gate-Dielektrikummaterial mit etwa gleicher Dicke auf allen freiliegenden Oberflächen abscheidet. Es ist zu beachten, dass der Block1116 mehrere derartige Abscheidungen aufweisen kann, um verschiedene Gate-Dielektrikummaterialien in verschiedenen Bereichen abzuscheiden, z.B. durch Maskieren von Bereichen vor dem Abscheiden eines Gate-Dielektrikums in anderen Bereichen. Der erste Bereich208 und der zweite Bereich210 können daher verschiedene Gate-Dielektrikummaterialien aufweisen. Es wird spezifisch in Betracht gezogen, dass wenigstens ein Bereich ein dickes dielektrisches Oxidmaterial als sein Gatedielektrikum aufweisen kann, was durch einen großen Abstand zwischen vertikal benachbarten Kanalstrukturen möglich gemacht wird. - Der Block
1118 scheidet dann ein Austrittsarbeitsmetall auf das/die Gatedielektrikumschicht(en) ab. Wie bei den Gatedielektrika kann das Austrittsarbeitsmetall zwischen Bereichen verschieden sein, insbesondere hinsichtlich darauf, ob die Einheit in diesem Bereich ein n-Typ- oder ein p-Typ-FET sein soll. Für die Herstellung verschiedener Typen von Einheiten in verschiedenen Bereichen können dann Masken verwendet werden, um Bereiche zu bedecken, während das Austrittsarbeitsmetall an anderer Stelle abgeschieden wird. Alternativ dazu kann der Block1118 Austrittsarbeitsmetall auf allen Oberflächen abscheiden und es anschließend in Bereichen wegätzen, in denen ein anderes Austrittsarbeitsmetall benötigt wird. Bei derartigen Ausführungsformen kann das zweite Austrittsarbeitsmetall in Bereichen, in denen das erste Austrittsarbeitsmetall zurückbleibt, über dem ersten Austrittsarbeitsmetall abgeschieden werden. - Der Block
1120 stellt dann die Einheit fertig. Wenn das Austrittsarbeitsmetall die Lücke über den Kanälen nicht abklemmt, kann ein Gatekontaktmetall, wie z.B. Wolfram, verwendet werden, um den Kontaktwiderstand zu verringern. Zusätzliche Kontakte werden zu den Source- und Drainbereichen gebildet, indem ein Kontaktloch anisotrop durch das Zwischenschichtdielektrikum502 geätzt wird und ein Kontaktleiter abgeschieden wird, um eine elektrische Verbindung zwischen den Source/Drain-Bereichen402 und der Oberfläche des Zwischenschichtdielektrikums bereitzustellen. - Während spezifisch in Betracht gezogen wird, dass Wolfram für das Gatekontaktmetall und die Source/Drain-Kontaktmetalle verwendet werden kann, ist zu beachten, dass stattdessen jeder geeignete Leiter verwendet werden kann. Zu anderen Beispielen gehören z.B. Nickel, Titan, Molybdän, Tantal, Kupfer, Platin, Silber, Gold, Ruthenium, Iridium, Rhenium, Rhodium und Legierungen davon. Der Gateleiter kann alternativ dazu ein dotiertes Halbleitermaterial enthalten, wie z.B. dotiertes Polysilicium.
- Nachdem bevorzugte Ausführungsformen von Nanoblatt-Transistoren mit verschiedenen Gatedielektrika und Austrittsarbeitsmetallen beschrieben worden sind (die veranschaulichend und nicht beschränkend sein sollen), wird angemerkt, dass der Fachmann mit Blick auf die vorstehenden Lehren Modifikationen und Variationen ausführen kann. Daher ist zu beachten, dass an den bestimmten, offenbarten Ausführungsformen Veränderungen durchgeführt werden können, die innerhalb des in den anhängenden Ansprüchen dargelegten Umfangs der Erfindung liegen. Nachdem also Erscheinungsformen der Erfindung mit den Einzelheiten und der Genauigkeit, die vom Patentrecht vorgeschrieben werden, beschrieben worden sind, wird der beanspruchte und von der Patenturkunde geschützte Gegenstand in den anhängenden Ansprüchen dargelegt.
Claims (14)
- Ein Verfahren zur Herstellung einer Halbleitereinheit, aufweisend: Strukturieren eines Schichtstapels, der Kanalschichten, erste Opferschichten zwischen den Kanalschichten und zweite Opferschichten zwischen den Kanalschichten und den ersten Opferschichten aufweist, um einen oder mehrere Einheiten-Bereiche zu bilden, wobei die ersten Opferschichten aus einem Material gebildet werden, das eine ähnliche Gitterkonstante wie ein Material der Kanalschichten aufweist, und wobei die zweiten Opferschichten aus einem Material gebildet werden, das eine Gitterfehlpassung mit dem Material der Kanalschichten aufweist; Bilden von Source- und Drainbereichen an Seitenwänden der Kanalschichten in dem einen oder den mehreren Einheiten-Bereichen; Wegätzen der ersten und zweiten Opferschichten, um die Kanalschichten von den Source- und Drainbereichen aufgehängt zurückzulassen; und Abscheiden eines Gatestapels auf den Kanalschichten.
- Das Verfahren nach
Anspruch 1 , wobei die Kanalschichten aus kristallinem Silicium gebildet werden, die ersten Opferschichten aus dotiertem Silicium gebildet werden und die zweiten Opferschichten Silicium-Germanium aufweisen. - Das Verfahren nach
Anspruch 2 , wobei die zweiten Opferschichten aus kohlenstoffdotiertem Silicium-Germanium gebildet werden. - Das Verfahren nach
Anspruch 1 , wobei die ersten und die zweiten Opferschichten jeweils in einer entsprechenden Ätzung weggeätzt werden, die beide selektiv ein entsprechendes Opfermaterial entfernen, ohne die Kanalschichten zu beschädigen. - Das Verfahren nach
Anspruch 1 , ferner aufweisend Bilden des Schichtstapels durch epitaktisches Wachstum der Kanalschichten, der ersten Opferschichten und der zweiten Opferschichten in einer ABAC-Wiederholungsstruktur, wobei A-Schichten für die zweiten Opferschichten stehen, B-Schichten für die ersten Opferschichten stehen und C-Schichten für die Kanalschichten stehen. - Das Verfahren nach
Anspruch 1 , wobei eine Dicke der zweiten Opferschichten kleiner ist als eine Dicke, die Versetzungen zwischen den zweiten Opferschichten und den Kanalschichten verursachen würde. - Das Verfahren nach
Anspruch 1 , wobei die zweiten Opferschichten Silicium-Germanium aufweisen und wobei die Dicke der zweiten Opferschichten kleiner als 8 nm ist. - Das Verfahren nach
Anspruch 1 , wobei die Einheiten-Bereiche einen n-Typ-Einheiten-Bereich und einen p-Typ-Einheiten-Bereich aufweisen und wobei das Bilden des Gatestapels in wenigstens einem von dem n-Typ-Bereich und dem p-Typ-Bereich Bilden einer Doppelschicht von Austrittsarbeitsmetallen aufweist. - Das Verfahren nach
Anspruch 1 , wobei das Abscheiden des Gatestapels Bilden einer Oxid-Dielektrikumschicht auf den aufgehängten Kanalschichten wenigstens eines Einheiten-Bereichs aufweist. - Verfahren nach
Anspruch 9 , wobei die Oxid-Dielektrikumschicht eine Dicke von zwischen 2 nm und 5 nm aufweist. - Eine Halbleitereinheit, aufweisend: eine Mehrzahl von vertikal gestapelten Kanalschichten; eine erste Dielektrikumschicht, die auf der Mehrzahl von vertikal gestapelten Kanalschichten gebildet ist; eine zweite Dielektrikumschicht, die auf der ersten Dielektrikumschicht gebildet ist; eine erste Leiterschicht, die auf der zweiten Dielektrikumschicht gebildet ist; und eine zweite Leiterschicht, die auf der ersten Leiterschicht gebildet ist, wobei die erste Dielektrikumschicht, die zweite Dielektrikumschicht, die erste Leiterschicht und die zweite Leiterschicht alle zwischen vertikal benachbarten Kanalschichten angeordnet sind.
- Die Halbleitereinheit nach
Anspruch 11 , wobei die erste Dielektrikumschicht eine Oxidschicht ist und die zweite Dielektrikumschicht eine Dielektrikumschicht mit hohem k-Wert ist. - Die Halbleitereinheit nach
Anspruch 12 , wobei die Oxidschicht eine Dicke von zwischen 2 nm und 5 nm aufweist. - Die Halbleitereinheit nach
Anspruch 11 , wobei die erste Leiterschicht aus einem ersten Austrittsarbeitsmetall gebildet ist und die zweite Leiterschicht aus einem zweiten Austrittsarbeitsmetall gebildet ist.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/787,818 US10553495B2 (en) | 2017-10-19 | 2017-10-19 | Nanosheet transistors with different gate dielectrics and workfunction metals |
US15/787,818 | 2017-10-19 | ||
PCT/IB2018/058004 WO2019077485A1 (en) | 2017-10-19 | 2018-10-16 | NANOFILE TRANSISTORS WITH DIFFERENT DIELECTRIC GRID AND EXTRACTION WORKING METALS |
Publications (2)
Publication Number | Publication Date |
---|---|
DE112018004626T5 true DE112018004626T5 (de) | 2020-07-16 |
DE112018004626B4 DE112018004626B4 (de) | 2023-11-02 |
Family
ID=66170109
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE112018004626.5T Active DE112018004626B4 (de) | 2017-10-19 | 2018-10-16 | Verfahren zur herstellung von nanoblatttransistoren |
Country Status (6)
Country | Link |
---|---|
US (3) | US10553495B2 (de) |
JP (1) | JP7184885B2 (de) |
CN (1) | CN111183518B (de) |
DE (1) | DE112018004626B4 (de) |
GB (1) | GB2579533B (de) |
WO (1) | WO2019077485A1 (de) |
Families Citing this family (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10553495B2 (en) * | 2017-10-19 | 2020-02-04 | International Business Machines Corporation | Nanosheet transistors with different gate dielectrics and workfunction metals |
US10734238B2 (en) * | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10685887B2 (en) * | 2017-12-04 | 2020-06-16 | Tokyo Electron Limited | Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device |
US11062959B2 (en) * | 2018-03-19 | 2021-07-13 | International Business Machines Corporation | Inner spacer and junction formation for integrating extended-gate and standard-gate nanosheet transistors |
US11043556B2 (en) * | 2018-06-26 | 2021-06-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Local epitaxy nanofilms for nanowire stack GAA device |
US10748935B2 (en) * | 2018-06-29 | 2020-08-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked vertically isolated MOSFET structure and method of forming the same |
US10483375B1 (en) * | 2018-07-17 | 2019-11-19 | International Business Machines Coporation | Fin cut etch process for vertical transistor devices |
US11769836B2 (en) * | 2019-05-07 | 2023-09-26 | Intel Corporation | Gate-all-around integrated circuit structures having nanowires with tight vertical spacing |
US11037832B2 (en) * | 2019-05-29 | 2021-06-15 | International Business Machines Corporation | Threshold voltage adjustment by inner spacer material selection |
US10840146B1 (en) * | 2019-06-17 | 2020-11-17 | Globalfoundries Inc. | Structures and SRAM bit cells with a buried cross-couple interconnect |
CN112420831B (zh) * | 2019-08-23 | 2024-05-14 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US11387319B2 (en) * | 2019-09-11 | 2022-07-12 | International Business Machines Corporation | Nanosheet transistor device with bottom isolation |
US11152358B2 (en) * | 2019-10-01 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Vertical structure for semiconductor device |
KR20210059471A (ko) | 2019-11-15 | 2021-05-25 | 삼성전자주식회사 | 집적회로 장치 및 그 제조 방법 |
US20220285484A1 (en) * | 2019-11-19 | 2022-09-08 | Unist(Ulsan National Institute Of Science And Technology) | Transistor, method for manufacturing same, and ternary inverter comprising same |
US11152477B2 (en) * | 2020-02-26 | 2021-10-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistors with different threshold voltages |
US20210296306A1 (en) | 2020-03-18 | 2021-09-23 | Mavagail Technology, LLC | Esd protection for integrated circuit devices |
US11495661B2 (en) * | 2020-04-07 | 2022-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including gate barrier layer |
KR20210145334A (ko) | 2020-05-25 | 2021-12-02 | 삼성전자주식회사 | 반도체 소자 및 그의 제조 방법 |
US11295989B2 (en) * | 2020-05-26 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures for semiconductor devices |
CN113838806B (zh) * | 2020-06-24 | 2023-09-12 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US20210408235A1 (en) * | 2020-06-25 | 2021-12-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with silicide gate fill structure |
US11791401B2 (en) * | 2020-07-30 | 2023-10-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-gate device and related methods |
US11728391B2 (en) * | 2020-08-07 | 2023-08-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | 2d-channel transistor structure with source-drain engineering |
KR20220034574A (ko) * | 2020-09-11 | 2022-03-18 | 삼성전자주식회사 | 반도체 소자 |
US11791402B2 (en) * | 2021-05-14 | 2023-10-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having strained channels |
US11955526B2 (en) | 2021-06-15 | 2024-04-09 | International Business Machines Corporation | Thick gate oxide device option for nanosheet device |
US12068155B2 (en) | 2021-08-06 | 2024-08-20 | Applied Materials, Inc. | Anisotropic sige:b epitaxial film growth for gate all around transistor |
US20230099254A1 (en) * | 2021-09-24 | 2023-03-30 | International Business Machines Corporation | Using different work-functions to reduce gate-induced drain leakage current in stacked nanosheet transistors |
EP4191669B1 (de) * | 2021-12-03 | 2024-07-24 | Imec VZW | Verfahren zur herstellung einer fet-vorrichtung |
EP4191679A1 (de) * | 2021-12-03 | 2023-06-07 | Imec VZW | Verfahren zur herstellung einer fet-vorrichtung |
EP4191670A1 (de) * | 2021-12-03 | 2023-06-07 | IMEC vzw | Verfahren zur herstellung einer fet-vorrichtung |
WO2024151916A1 (en) * | 2023-01-12 | 2024-07-18 | Atlas Magentics | Method and apparatus for increasing skin depth and reducing eddy currents in non-magnetic hybrid materials using electroless plating techniques |
WO2024151915A1 (en) * | 2023-01-12 | 2024-07-18 | Atlas Magentics | Method for increasing skin depth and reducing eddy currents in hybrid magnetic cores and composite metals using electroless plating techniques and resulting apparatus |
CN116779450B (zh) * | 2023-08-18 | 2024-03-26 | 北京北方华创微电子装备有限公司 | 半导体器件、光器件及半导体结构的制造方法 |
Family Cites Families (39)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6909186B2 (en) * | 2003-05-01 | 2005-06-21 | International Business Machines Corporation | High performance FET devices and methods therefor |
JP4747840B2 (ja) * | 2003-06-20 | 2011-08-17 | 日本電気株式会社 | 半導体装置の製造方法 |
US8178902B2 (en) | 2004-06-17 | 2012-05-15 | Infineon Technologies Ag | CMOS transistor with dual high-k gate dielectric and method of manufacture thereof |
US20060022264A1 (en) | 2004-07-30 | 2006-02-02 | Leo Mathew | Method of making a double gate semiconductor device with self-aligned gates and structure thereof |
KR100699839B1 (ko) * | 2005-04-21 | 2007-03-27 | 삼성전자주식회사 | 다중채널을 갖는 반도체 장치 및 그의 제조방법. |
FR2895835B1 (fr) * | 2005-12-30 | 2008-05-09 | Commissariat Energie Atomique | Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain |
US7413961B2 (en) | 2006-05-17 | 2008-08-19 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating a transistor structure |
US20080206965A1 (en) * | 2007-02-27 | 2008-08-28 | International Business Machines Corporation | STRAINED SILICON MADE BY PRECIPITATING CARBON FROM Si(1-x-y)GexCy ALLOY |
US7851325B1 (en) * | 2008-09-12 | 2010-12-14 | Acorn Technologies, Inc. | Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer |
US8422273B2 (en) | 2009-05-21 | 2013-04-16 | International Business Machines Corporation | Nanowire mesh FET with multiple threshold voltages |
US9040394B2 (en) | 2013-03-12 | 2015-05-26 | Samsung Electronics Co., Ltd. | Method for fabricating a semiconductor device |
US8778768B1 (en) | 2013-03-12 | 2014-07-15 | International Business Machines Corporation | Non-replacement gate nanomesh field effect transistor with epitixially grown source and drain |
KR102069275B1 (ko) | 2013-06-07 | 2020-01-22 | 삼성전자주식회사 | 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법 |
US9508796B2 (en) * | 2013-10-03 | 2016-11-29 | Intel Corporation | Internal spacers for nanowire transistors and method of fabrication thereof |
US9570609B2 (en) | 2013-11-01 | 2017-02-14 | Samsung Electronics Co., Ltd. | Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same |
US9287357B2 (en) | 2014-06-16 | 2016-03-15 | Samsung Electronics Co., Ltd. | Integrated circuits with Si and non-Si nanosheet FET co-integration with low band-to-band tunneling and methods of fabricating the same |
US9508831B2 (en) * | 2014-06-19 | 2016-11-29 | Applied Materials, Inc. | Method for fabricating vertically stacked nanowires for semiconductor applications |
US20160071729A1 (en) | 2014-09-04 | 2016-03-10 | Samsung Electronics Co., Ltd. | Rectangular nanosheet fabrication |
US9461149B2 (en) | 2014-09-12 | 2016-10-04 | Globalfoundries Inc. | Nanowire structure with selected stack removed for reduced gate resistance and method of fabricating same |
US9536794B2 (en) | 2015-03-27 | 2017-01-03 | International Business Machines Corporation | Techniques for dual dielectric thickness for a nanowire CMOS technology using oxygen growth |
US9647071B2 (en) * | 2015-06-15 | 2017-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFET structures and methods of forming the same |
EP3112316B1 (de) | 2015-07-02 | 2018-05-02 | IMEC vzw | Verfahren zur herstellung von transistorvorrichtungen mit mehreren nanodrahtkanälen |
US9837416B2 (en) | 2015-07-31 | 2017-12-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Multi-threshold voltage field effect transistor and manufacturing method thereof |
CN108401468A (zh) * | 2015-09-21 | 2018-08-14 | 莫诺利特斯3D有限公司 | 3d半导体器件和结构 |
US10038053B2 (en) | 2015-10-12 | 2018-07-31 | International Business Machines Corporation | Methods for removal of selected nanowires in stacked gate all around architecture |
US9583486B1 (en) | 2015-11-19 | 2017-02-28 | International Business Machines Corporation | Stable work function for narrow-pitch devices |
US9748335B1 (en) * | 2016-02-29 | 2017-08-29 | Globalfoundries Inc. | Method, apparatus and system for improved nanowire/nanosheet spacers |
KR20170103067A (ko) * | 2016-03-02 | 2017-09-13 | 삼성전자주식회사 | 모스-트랜지스터를 갖는 반도체 소자 |
US9831323B2 (en) | 2016-03-11 | 2017-11-28 | Samsung Electronics Co., Ltd. | Structure and method to achieve compressively strained Si NS |
FR3051970B1 (fr) | 2016-05-25 | 2020-06-12 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Realisation d'une structure de canal formee d'une pluralite de barreaux semi-conducteurs contraints |
KR20170135115A (ko) * | 2016-05-30 | 2017-12-08 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
KR102527382B1 (ko) | 2016-06-21 | 2023-04-28 | 삼성전자주식회사 | 반도체 소자 |
US9653289B1 (en) * | 2016-09-19 | 2017-05-16 | International Business Machines Corporation | Fabrication of nano-sheet transistors with different threshold voltages |
US9653537B1 (en) | 2016-09-26 | 2017-05-16 | International Business Machines Corporation | Controlling threshold voltage in nanosheet transistors |
US9660028B1 (en) | 2016-10-31 | 2017-05-23 | International Business Machines Corporation | Stacked transistors with different channel widths |
KR102400558B1 (ko) * | 2017-04-05 | 2022-05-20 | 삼성전자주식회사 | 반도체 소자 |
US10008583B1 (en) * | 2017-05-08 | 2018-06-26 | Samsung Electronics Co., Ltd. | Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same |
US10014390B1 (en) * | 2017-10-10 | 2018-07-03 | Globalfoundries Inc. | Inner spacer formation for nanosheet field-effect transistors with tall suspensions |
US10553495B2 (en) * | 2017-10-19 | 2020-02-04 | International Business Machines Corporation | Nanosheet transistors with different gate dielectrics and workfunction metals |
-
2017
- 2017-10-19 US US15/787,818 patent/US10553495B2/en active Active
-
2018
- 2018-10-16 DE DE112018004626.5T patent/DE112018004626B4/de active Active
- 2018-10-16 CN CN201880065420.4A patent/CN111183518B/zh active Active
- 2018-10-16 GB GB2005675.0A patent/GB2579533B/en active Active
- 2018-10-16 JP JP2020519741A patent/JP7184885B2/ja active Active
- 2018-10-16 WO PCT/IB2018/058004 patent/WO2019077485A1/en active Application Filing
-
2019
- 2019-11-21 US US16/690,609 patent/US11069577B2/en active Active
- 2019-11-27 US US16/698,052 patent/US11101182B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
US11101182B2 (en) | 2021-08-24 |
US11069577B2 (en) | 2021-07-20 |
GB202005675D0 (en) | 2020-06-03 |
US10553495B2 (en) | 2020-02-04 |
CN111183518B (zh) | 2023-09-08 |
JP2021500738A (ja) | 2021-01-07 |
DE112018004626B4 (de) | 2023-11-02 |
JP7184885B2 (ja) | 2022-12-06 |
WO2019077485A1 (en) | 2019-04-25 |
US20200098643A1 (en) | 2020-03-26 |
US20200091009A1 (en) | 2020-03-19 |
CN111183518A (zh) | 2020-05-19 |
US20190122937A1 (en) | 2019-04-25 |
GB2579533A (en) | 2020-06-24 |
GB2579533B (en) | 2020-11-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE112018004626B4 (de) | Verfahren zur herstellung von nanoblatttransistoren | |
DE102017114427B4 (de) | Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen | |
DE102020118388B4 (de) | Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren | |
DE102017123950B4 (de) | Finfet-bauelement und verfahren zur herstellung desselben | |
DE102020107101B3 (de) | Verfahren zur Herstellung einer Halbleitervorrichtung | |
DE102019118385B4 (de) | Herstellungsverfahren für eine halbleitervorrichtung | |
DE102016119017B4 (de) | Verfahren zur Halbleitervorrichtungsherstellung mit verbesserter Source-Drain-Epitaxie | |
DE112018002294B4 (de) | Transistoren mit vertikalem transport mit gleichen gate-stapeldicken und zugehöriges herstellungsverfahren | |
DE102019125922B3 (de) | Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen | |
DE102019117011B4 (de) | Halbleitervorrichtung und herstellungsverfahren | |
DE102017118465A1 (de) | Halbleitervorrichtung und Verfahren | |
DE102019111297B4 (de) | Halbleiter-Bauelement und Verfahren | |
DE102021106184A1 (de) | Gatestruktur und verfahren | |
DE102018113168B4 (de) | Halbleitervorrichtung mit einer nicht konformen oxidauskleidung und herstellungsverfahren dafür | |
DE102020120658A1 (de) | Transistorgates und Verfahren zu deren Herstellung | |
DE102019121278A1 (de) | Halbleitervorrichtung und Verfahren zur Herstellung | |
DE102021105733A1 (de) | Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben | |
DE102022105643A1 (de) | Transistor-gatestrukturen und verfahren zu deren herstellung | |
DE112019006336T5 (de) | Halbleitervorrichtung, herstellungsverfahren davon und elektronisches gerät | |
DE102017123359B4 (de) | Finnen-feldeffekttransistor-bauelement und verfahren | |
DE102020114655B4 (de) | Finnen-feldeffekttransistorvorrichtung mit kontaktstopfen mit einspringendem profil und verfahren zu dessen herstellung | |
DE102021113257A1 (de) | Halbleiterbauelement und Verfahren | |
DE102019119716B4 (de) | Isolation von source/drain-regionen zweier multi-gate-transistoren in dichter anordnung | |
DE102020112763A1 (de) | Gateprofilsteuerung durch seitenwandschutz während der ätzung | |
DE102021114139B4 (de) | Verfahren zum bilden von mehrschichtigen photoätzmasken mit organischen undanorganischen materialien |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R012 | Request for examination validly filed | ||
R016 | Response to examination communication | ||
R079 | Amendment of ipc main class |
Free format text: PREVIOUS MAIN CLASS: H01L0027092000 Ipc: H01L0021823800 |
|
R018 | Grant decision by examination section/examining division | ||
R084 | Declaration of willingness to licence | ||
R020 | Patent grant now final |