DE102021113257A1 - Halbleiterbauelement und Verfahren - Google Patents

Halbleiterbauelement und Verfahren Download PDF

Info

Publication number
DE102021113257A1
DE102021113257A1 DE102021113257.6A DE102021113257A DE102021113257A1 DE 102021113257 A1 DE102021113257 A1 DE 102021113257A1 DE 102021113257 A DE102021113257 A DE 102021113257A DE 102021113257 A1 DE102021113257 A1 DE 102021113257A1
Authority
DE
Germany
Prior art keywords
layer
work function
nanostructures
gate dielectric
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021113257.6A
Other languages
English (en)
Inventor
Hsin-Yi Lee
Cheng-Lung Hung
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021113257A1 publication Critical patent/DE102021113257A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Eine Ausführungsform schließt ein Bauelement mit Nanostrukturen auf einem Substrat ein, wobei die Nanostrukturen einen Kanalbereich einschließt. Das Bauelement schließt auch eine Gate-Dielektrikum-Schicht ein, die jede der Nanostrukturen umgibt. Das Bauelement schließt auch eine erste Austrittsarbeit einstellende Schicht auf der Gate-Dielektrikum-Schicht ein, wobei die erste Austrittsarbeit einstellende Schicht ein erstes n-Austrittsarbeitsmetall, Aluminium und Kohlenstoff einschließt, wobei das erste n-Austrittsarbeitsmetall einen Wert der Austrittsarbeit aufweist, der kleiner ist als Titan. Das Bauelement schließt auch eine Haftschicht auf der ersten Austrittsarbeit einstellenden Schicht ein. Das Bauelement schließt auch eine Füllschicht auf der Haftschicht ein.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/158,987 , eingereicht am 10. März 2021, die durch Bezugnahme hierin aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen (-bauelemente) werden in einer Vielzahl von elektronischen Anwendungen verwendet, wie zum Beispiel PCs, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleiterbauelemente werden typischerweise durch aufeinanderfolgendes Abscheiden von Schichten aus isolierendem bzw. dielektrischem Material, Schichten aus leitfähigem Material und Halbleiterschichten über einem Halbleitersubstrat und Strukturieren der verschiedenen Schichten unter Verwendung von Lithographie zur Bildung von Schaltungskomponenten und Elementen darauf gefertigt.
  • Die Halbleiterindustrie verbessert kontinuierlich die Integrationsdichte von verschiedenen elektronischen Komponenten (z. B. Transistoren, Dioden, Widerständen, Kondensatoren usw.) durch kontinuierliche Verringerung der minimalen Merkmalgröße, wodurch ermöglicht wird, dass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die minimalen Merkmalgrößen jedoch verringert werden, treten zusätzliche Probleme auf, die gelöst werden sollten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verständlich, wenn diese in Verbindung mit den beigefügten Figuren gelesen wird. Es sei noch angemerkt, dass entsprechend der üblichen Branchenpraxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Diskussion beliebig vergrößert oder verkleinert sein.
    • 1 veranschaulicht in einer dreidimensionalen Ansicht ein Beispiel eines nanostrukturierten Feldeffekttransistors (Nano-FET) gemäß einigen Ausführungsformen.
    • 2 bis 24B sind Ansichten von Zwischenstufen beim Herstellen von Nano-FETs gemäß einigen Ausführungsformen.
    • 25 ist ein Flussdiagramm eines beispielhaften Verfahrens zum Bilden von Ersatz-Gates für Nano-FETs gemäß einigen Ausführungsformen.
    • 26 ist eine Querschnittsansicht einer Zwischenstufe beim Herstellen von Nano-FETs gemäß einigen Ausführungsformen.
    • 27 sind Querschnittsansichten einer Zwischenstufe beim Herstellen von Nano-FETs gemäß einigen Ausführungsformen.
    • 28 sind Querschnittsansichten einer Zwischenstufe beim Herstellen von Nano-FETs gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. Ausführungsbeispiele zum Implementieren unterschiedlicher Merkmale der Erfindung bereit. Um die vorliegende Offenbarung zu vereinfachen, werden nachstehend konkrete Beispiele für Komponenten und Anordnungen beschrieben. Diese sind natürlich lediglich Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen einschließen, in denen das erste und zweite Merkmal in direktem Kontakt gebildet werden, und auch Ausführungsformen einschließen, in denen zusätzliche Merkmale derart zwischen dem ersten und dem zweiten Merkmal gebildet werden können, dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung in den verschiedenen Beispielen Bezugszeichen und/oder Buchstaben wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner können räumlich relative Begriffe wie „unter“, „unterhalb“, „untere/r/s“, „oberhalb“, „obere/r/s“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elementes oder Merkmals zu einem anderen Element (anderen Elementen) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren gezeigten Ausrichtung unterschiedliche Ausrichtungen des Bauelements im Gebrauch oder Betrieb umfassen. Der Gegenstand kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die vorliegend verwendeten räumlich relativen Beschreibungen können ebenso entsprechend interpretiert werden.
  • Gemäß verschiedenen Ausführungsformen werden Gate-Elektroden mit mehreren Austrittsarbeit einstellenden Schichten gebildet. In einigen Ausführungsformen weisen die n-Bauelemente Austrittsarbeit einstellende Schichten auf, die Zr, Hf, Nb, Ta oder eine Kombination davon umfassen. Diese Austrittsarbeit einstellenden Schichten ermöglichen, dass der Wert der Austrittsarbeit niedriger ist, und verschieben außerdem den Wert der effektiven Austrittsarbeit hin zum Wert der n-leitenden Austrittsarbeit. Diese Änderungen können die Schwellenspannung der resultierenden n-Bauelemente erhöhen.
  • Die Ausführungsformen sind in einem spezifischen Kontext beschrieben, wobei ein Die Nano-FETs einschließt. Verschiedene Ausführungsformen können jedoch auf Dies angewendet werden, die anstelle der oder in Kombination mit den Nano-FETs andere Typen von Transistoren einschließen (z. B. Fin-Feldeffekttransistoren (FinFETs), planare Transistoren oder dergleichen).
  • 1 veranschaulicht ein Beispiel von Nano-FETs (z. B. Nanodraht-FETs, Nanoblatt-FETs oder dergleichen) gemäß einigen Ausführungsformen. 1 ist eine dreidimensionale Ansicht, in der einige Merkmale der Nano-FETs zur Klarheit der Darstellung weggelassen sind. Die Nano-FETs können Nanoblatt-Feldeffekttransistoren (NSFETs), Nanodraht-Feldeffekttransistoren (NWFETs), Gate-all-around-Feldeffekttransistoren (GAA-FETs) oder dergleichen sein.
  • Die Nano-FETs schließen Nanostrukturen 66 (z. B. Nanoblätter, Nanodrähte oder dergleichen) über Finnen 62 auf einem Substrat 50 (z. B. einem Halbleitersubstrat) ein, wobei die Nanostrukturen 66 als Kanalbereiche für die Nano-FETs dienen. Die Nanostrukturen 66 können p-Nanostrukturen, n-Nanostrukturen oder eine Kombination davon einschließen. Isolationsbereiche 70, wie flache Grabenisolationsbereiche (STI-Bereiche - Shallow Trench Isolation regions), sind zwischen benachbarten Finnen 62 angeordnet, die überstehen und zwischen benachbarten Isolationsbereichen 70 hervorstehen können. Obwohl die Isolationsbereiche 70 als von dem Substrat 50 getrennt beschrieben/veranschaulicht sind, kann sich der Begriff „Substrat“, wie er hierin verwendet wird, auf das Halbleitersubstrat alleine oder eine Kombination aus dem Halbleitersubstrat und den Isolationsbereichen beziehen. Zusätzlich können/kann, obwohl der Bodenabschnitt der Finnen 62 als ein einzelnes zusammenhängendes Material mit dem Substrat 50 veranschaulicht ist, der Bodenabschnitt der Finnen 62 und/oder das Substrat 50 ein einzelnes Material oder eine Vielzahl von Materialien einschließen. In diesem Zusammenhang beziehen sich die Finnen 62 auf den Abschnitt, der sich oberhalb und zwischen den benachbarten Isolationsbereichen 70 erstreckt.
  • Gate-Dielektrika 122 befinden sich über oberen Flächen der Finnen 62 und entlang von oberen Flächen, Seitenwänden und unteren Flächen der Nanostrukturen 66. Gate-Elektroden 124 befinden sich über den Gate-Dielektrika 122. Auf den Finnen 62 sind epitaktische Source-/Drain-Bereiche 98 angeordnet, die sich auf gegenüberliegenden Seiten der Gate-Dielektrika 122 und der Gate-Elektroden 124 befinden. Die epitaktischen Source-/Drain-Bereiche 98 können unter den verschiedenen Finnen 62 gemeinsam benutzt werden. Zum Beispiel können die benachbarten epitaktischen Source-/Drain-Bereiche 98 elektrisch verbunden sein, wie durch Koaleszieren der epitaktischen Source-/Drain-Bereiche 98 durch epitaktisches Aufwachsen, oder durch Koppeln der epitaktischen Source-/Drain-Bereiche 98 mit demselben Source-/Drain-Kontakt.
  • 1 veranschaulicht ferner Referenzquerschnitten, die in nachfolgenden Figuren verwendet werden. Der Querschnitt A-A' verläuft entlang einer Längsachse einer Gate-Elektrode 124 und in einer Richtung, die beispielsweise senkrecht zu einer Richtung des Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 98 eines Nano-FET ist. Der Querschnitt B-B' verläuft entlang einer Längsachse einer Finne 62 und in einer Richtung beispielsweise eines Stromflusses zwischen den epitaktischen Source-/Drain-Bereichen 98 des Nano-FET. Der Querschnitt C-C' ist parallel zu dem Querschnitt A-A' und erstreckt sich durch die epitaktischen Source-/Drain-Bereiche 98 der Nano-FETs. Der Klarheit halber nehmen nachfolgende Figuren auf diese Referenzquerschnitten Bezug.
  • Einige hierin erörterte Ausführungsformen werden im Zusammenhang mit Nano-FETs erörtert, die unter Verwendung eines Gate-Last-Prozesses (Gate-zuletzt-Prozesses) gebildet werden. In anderen Ausführungsformen kann ein Gate-First-Prozess (Gate-zuerst-Prozess) verwendet werden. Darüber hinaus berücksichtigen einige Ausführungsformen Aspekte, die in planaren Bauelementen wie planaren FETs verwendet werden, oder Aspekte, die in Fin-Feldeffekttransistoren (FinFETs) verwendet werden. Zum Beispiel können FinFETs Finnen auf einem Substrat einschließen, wobei die Finnen als Kanalbereiche für die FinFETs dienen. Ähnlich können die planaren FETs ein Substrat einschließen, wobei Abschnitte des Substrats als Kanalbereiche für die planaren FETs dienen.
  • 2 bis 25B sind Ansichten von Zwischenstufen beim Herstellen von Nano-FETs gemäß einigen Ausführungsformen. 2, 3, 4, 5 und 6 sind dreidimensionale Ansichten, die eine ähnliche dreidimensionale Ansicht wie 1 zeigen. 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 20A, 20B, 21A, 21B, 22A, 23A, 24A, 26 und 27 veranschaulichen den in 1 veranschaulichten Referenzquerschnitt A-A', mit der Ausnahme, dass zwei Finnen gezeigt sind. 7B, 8B, 9B, 10B, 11B, 12B, 13B, 22B, 23B und 24B veranschaulichen den Referenzquerschnitt B-B', wie er in 1 veranschaulicht ist. 9C und 9D veranschaulichen den Referenzquerschnitt C-C', wie er in 1 veranschaulicht ist, mit der Ausnahme, dass zwei Finnen gezeigt sind.
  • In 2 wird ein Substrat 50 bereitgestellt, um Nano-FETs zu bilden. Das Substrat 50 kann ein Halbleitersubstrat sein, wie ein Volumenhalbleiter, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat- Semiconductor-on-Insulator substrate) oder dergleichen, welches dotiert (z. B. mit einem p- oder einem n-Fremdstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie ein Siliziumwafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolationsschicht ausgebildet ist. Die Isolationsschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht - buried oxide layer), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht wird auf einem Substrat, typischerweise einem Silizium- oder Glassubstrat bereitgestellt. Andere Substrate, wie ein mehrschichtiges Substrat oder ein Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbindungshalbleiter, einschließlich Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich Silizium-Germanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid; Kombinationen davon oder dergleichen einschließen.
  • Das Substrat 50 weist einen n-Bereich 50N und einen p-Bereich 50P auf. Der n-Bereich 50N kann verwendet werden, um n-Bauelemente, wie NMOS-Transistoren, z. B. n-Nano-FETs, zu bilden, und der p-Bereich 50P kann verwendet werden, um p-Bauelemente, wie PMOS-Transistoren, z. B. p-Nano-FETs, zu bilden. Der n-Bereich 50N kann physisch von dem p-Bereich 50P getrennt sein (nicht getrennt veranschaulicht) und eine beliebige Anzahl von Bauelementmerkmalen (z. B. andere aktive Bauelemente, dotierte Bereiche, isolierte Strukturen usw.) kann zwischen dem n-Bereich 50N und dem p-Bereich 50P angeordnet sein. Obwohl ein n-Bereich 50N und ein p-Bereich 50P veranschaulicht sind, kann eine beliebige Anzahl von n-Bereichen 50N und p-Bereichen 50P bereitgestellt werden.
  • Das Substrat 50 kann mit einem p- oder einem n-Fremdstoff schwach dotiert werden. Eine Implantation gegen Punch-Through-out (APT) kann in einem oberen Abschnitt des Substrats 50 durchgeführt werden, um einen APT-Bereich zu bilden. Während der APT-Implantation können Fremdstoffe in dem Substrat 50 implantiert werden. Die Fremdstoffe können einen Leitfähigkeitstyp aufweisen, der entgegengesetzt zu dem Leitfähigkeitstyp der Source-/Drain-Bereiche ist, die anschließend in jedem des n-Bereichs 50N und des p-Bereichs 50P gebildet werden. Der APT-Bereich kann sich unter den Source-/Drain-Bereichen in den Nano-FETs erstrecken. Der APT-Bereich kann dazu verwendet werden, um die Leckage aus den Source-/Drain-Bereichen in das Substrat 50 zu reduzieren. In einigen Ausführungsformen kann die Dotierungskonzentration in dem APT-Bereich im Bereich von 1018 cm-3 bis 1019 cm-3 liegen.
  • Über dem Substrat 50 wird ein mehrschichtiger Stapel 52 gebildet. Der mehrschichtige Stapel 52 schließt abwechselnde erste Halbleiterschichten 54 und zweite Halbleiterschichten 56 ein. Die ersten Halbleiterschichten 54 werden aus einem ersten Halbleitermaterial gebildet, und die zweiten Halbleiterschichten 56 werden aus einem zweiten Halbleitermaterial gebildet. Die Halbleitermaterialien können jeweils aus den Kandidaten der Halbleitermaterialien des Substrats 50 ausgewählt sein. In der veranschaulichten Ausführungsform schließt der mehrschichtige Stapel 52 drei Schichten jede der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56 ein. Es versteht sich, dass der mehrschichtige Stapel 52 eine beliebige Anzahl der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56 einschließen kann.
  • In der veranschaulichten Ausführungsform und wie anschließend in größerem Detail beschrieben, werden die ersten Halbleiterschichten 54 entfernt und werden die zweiten Halbleiterschichten 56 strukturiert, um Kanalbereiche für die Nano-FETs in dem n-Bereich 50N und dem p-Bereich 50P zu bilden. Die ersten Halbleiterschichten 54 sind Opferschichten (oder Dummy-Schichten), die in einer nachfolgenden Verarbeitung entfernt werden, um die oberen Flächen und die unteren Flächen der zweiten Halbleiterschichten 56 freizulegen. Das erste Halbleitermaterial der ersten Halbleiterschichten 54 ist ein Material mit einer hohen Ätzselektivität gegenüber dem Ätzen der zweiten Halbleiterschichten 56, wie Silizium-Germanium. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 56 ist ein Material, das für n-Bauelementen und p-Bauelementen geeignet ist, wie Silizium.
  • In einer anderen Ausführungsform (nicht gesondert veranschaulicht) werden die ersten Halbleiterschichten 54 strukturiert, um Kanalbereiche für Nano-FETs in einem Bereich zu bilden (z. B. dem p-Bereich 50P), und die zweiten Halbleiterschichten 56 werden strukturiert, um Kanalbereiche für Nano-FETs in einem anderen Bereich zu bilden (z. B. dem n-Bereich 50N). Das erste Halbleitermaterial der ersten Halbleiterschichten 54 kann ein Material sein, das für p-Bauelementen geeignet ist, wie Silizium-Germanium (z. B. SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), reines Germanium, ein III-V-Verbundhalbleiter, ein II-VI-Verbundhalbleiter oder dergleichen. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 56 kann ein Material sein, das für n-Bauelementen geeignet ist, wie Silizium, Silizumcarbid, ein III-V-Verbundhalbleiter, ein II-VI-Verbundhalbleiter oder dergleichen. Das erste Halbleitermaterial und das zweite Halbleitermaterial können eine hohe Ätzselektivität gegenüber dem Ätzen in Bezug aufeinander aufweisen, sodass die ersten Halbleiterschichten 54 entfernt werden können, ohne die zweiten Halbleiterschichten 56 in dem n-Bereich 50N zu entfernen, und die zweiten Halbleiterschichten 56 entfernt werden können, ohne die ersten Halbleiterschichten 54 in dem p-Bereich 50P zu entfernen.
  • Jede der Schichten des mehrschichtigen Stapels 52 kann durch einen Prozess, wie eine Gasphasenepitaxie (VPE) oder eine Molekularstrahl-Epitaxie (MBE), aufgewachsen werden und durch einen Prozess, wie eine chemische Gasphasenabscheidung (CVD) oder eine Atomlagenabscheidung (ALD) oder dergleichen, abgeschieden werden. Jede der Schichten kann eine geringe Dicke, beispielsweise eine Dicke in einem Bereich von 5 nm bis 30 nm, aufweisen. In einigen Ausführungsformen werden einige Schichten (z. B. die zweiten Halbleiterschichten 56) dünner als andere Schichten (z. B. die ersten Halbleiterschichten 54) gebildet. Zum Beispiel können in Ausführungsformen, in denen die ersten Halbleiterschichten 54 Opferschichten (oder Dummy-Schichten) sind und die zweiten Halbleiterschichten 56 strukturiert werden, um Kanalbereiche für die Nano-FETs in dem n-Bereich 50N und dem p-Bereich 50P zu bilden, die ersten Halbleiterschichten 54 eine erste Dicke T1 aufweisen und die zweiten Halbleiterschichten 56 können eine zweite Dicke T2 aufweisen, wobei die zweite Dicke T2 30 % bis 60 % geringer als die erste Dicke T1 ist. Das Bilden der zweiten Halbleiterschichten 56 mit einem geringeren Dicke ermöglicht das Bilden der Kanalbereiche mit einer größeren Dichte.
  • In 3 werden Gräben in dem Substrat 50 und dem mehrschichtigen Stapel 52 strukturiert, um Finnen 62, erste Nanostrukturen 64 und zweite Nanostrukturen 66 zu bilden. Die Finnen 62 sind in dem Substrat 50 strukturierte Halbleiterstreifen. Die ersten Nanostrukturen 64 und die zweiten Nanostrukturen 66 schließen jeweils die verbleibenden Abschnitte der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56 ein. Die Gräben können durch einen beliebigen annehmbaren Ätzprozess strukturiert werden, wie eine reaktive Ionenätzung (RIE), neutrale Strahlätzung (NBE), dergleichen oder eine Kombinationen davon. Das Ätzen kann anisotrop sein.
  • Die Finnen 62 und die Nanostrukturen 64, 66 können durch jedes geeignete Verfahren strukturiert werden. Beispielsweise können die Finnen 62 und die Nanostrukturen 64, 66 unter Verwendung eines oder mehrerer Fotolithographieprozesse strukturiert werden, einschließlich Doppel- oder Mehrfachstrukturierungsprozessen. Im Allgemeinen sind bei Doppel- oder Mehrfachstrukturierungsprozessen Fotolithographie- und selbstausrichtende Prozesse kombiniert, was die Herstellung von Strukturen mit kleineren Abmessungen ermöglicht als beispielsweise unter Verwendung eines einzigen direkten Fotolithographieprozesses erreichbar ist. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Fotolithographieprozesses strukturiert. Abstandshalter werden unter Verwendung eines selbstausrichtenden Prozesses neben der strukturierten Opferschicht gebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandshalter können als Masken zum Strukturieren der Finnen 62 und der Nanostrukturen 64, 66 verwendet werden. In einigen Ausführungsformen kann die Maske (oder eine andere Schicht) auf den Nanostrukturen 64, 66 verbleiben.
  • Die Finnen 62 und die Nanostrukturen 64, 66 können jeweils Breiten in einem Bereich von 8 nm bis 40 nm aufweisen. In der veranschaulichten Ausführungsform weisen die Finnen 62 und die Nanostrukturen 64, 66 im Wesentlichen gleiche Breiten in dem n-Bereich 50N und dem p-Bereich 50P auf. In einer anderen Ausführungsform sind die Finnen 62 und die Nanostrukturen 64, 66 in einem Bereich (z. B. dem n-Bereich 50N) breiter oder schmaler als die Finnen 62 und die Nanostrukturen 64, 66 in einem anderen Bereich (z. B. dem p-Bereich 50P).
  • In 4 werden STI-Bereiche 70 über dem Substrat 50 und zwischen benachbarten Finnen 62 gebildet. Die STI-Bereiche 70 sind um mindestens einen Abschnitt der Finnen 62 angeordnet, so dass mindestens ein Abschnitt der Nanostrukturen 64, 66 von einem Bereich zwischen benachbarten STI-Bereichen 70 hervorsteht. In der veranschaulichten Ausführungsform sind die oberen Flächen der STI-Bereiche 70 koplanar (innerhalb von Prozessschwankungen) mit den oberen Flächen der Finnen 62. In einigen Ausführungsformen liegen die oberen Flächen der STI-Bereiche 70 oberhalb oder unterhalb der oberen Flächen der Finnen 62. Die STI-Bereiche 70 trennen die Merkmale benachbarter Bauelemente.
  • Die STI-Bereiche 70 können durch jedes geeignete Verfahren gebildet werden. Beispielsweise kann ein Isolationsmaterial über dem Substrat 50 und den Nanostrukturen 64, 66 sowie zwischen benachbarten Finnen 62 gebildet werden. Das Isolationsmaterial kann ein Oxid sein, wie Siliziumoxid, ein Nitrid, wie Siliziumnitrid, dergleichen oder eine Kombination davon, die durch einen chemischen Gasphasenabscheidungsprozess (CVD-Prozess), wie einen CVD-Prozess mit Plasma mit hoher Dichte (HDP-CVD), ein fließfähiges CVD (FCVD), dergleichen oder eine Kombination davon gebildet werden kann. Andere Isolationsmaterialien, die durch einen beliebigen annehmbaren Prozess ausgebildet sind, können verwendet werden. In einigen Ausführungsformen ist das Isolationsmaterial Siliziumoxid, das durch FCVD ausgebildet ist. Sobald das Isolationsmaterial ausgebildet ist, kann ein Temperprozess durchgeführt werden. In einer Ausführungsform wird das Isolationsmaterial derart gebildet, dass der überschüssige Isolationsmaterial die Nanostrukturen 64, 66 bedeckt. Obwohl die STI-Bereiche 70 jeweils als eine einzelne Schicht veranschaulicht sind, können in einige Ausführungsformen mehrere Schichten verwendet werden. Zum Beispiel kann in einigen Ausführungsformen zuerst eine Auskleidung (nicht separat veranschaulicht) entlang Flächen des Substrats 50, der Finnen 62 und der Nanostrukturen 64, 66 gebildet werden. Danach kann ein Füllmaterial, wie die zuvor beschriebenen, über der Auskleidung gebildet werden.
  • Dann wird ein Entfernungsprozess auf das Isolationsmaterial angewendet, um überschüssiges Isolationsmaterial über den Nanostrukturen 64, 66 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess legt die Nanostrukturen 64, 66 frei, so dass die oberen Flächen der Nanostrukturen 64, 66 und das Isolationsmaterial koplanar sind (innerhalb von Prozessschwankungen), nachdem der Planarisierungsprozess beendet war. In Ausführungsformen, in denen eine Maske auf den Nanostrukturen 64, 66 verbleibt, kann der Planarisierungsprozess die Maske derart freilegen oder entfernen, dass die oberen Flächen der Maske oder der Nanostrukturen 64, 66 und das Isolationsmaterial jeweils koplanar (innerhalb von Prozessschwankungen) sind, nachdem der Planarisierungsprozess beendet war. Das Isolationsmaterial wird dann vertieft, um die STI-Bereiche 70 zu bilden. Das Isolationsmaterial wird derart vertieft, dass mindestens ein Teil der Nanostrukturen 64, 66 zwischen benachbarten Abschnitten des Isolationsmaterials hervorsteht. Ferner können die oberen Flächen der STI-Bereiche 70 eine flache Fläche wie veranschaulicht, eine konvexe Fläche, eine konkave Fläche (wie durch Muldenbildung (Dishing)) oder eine Kombination davon aufweisen. Die oberen Flächen der STI-Bereiche 70 können durch eine angemessene Ätzung flach, konvex und/oder konkav gebildet werden. Das Isolationsmaterial kann unter Verwendung jedes annehmbaren Ätzprozesses vertieft werden, wie eines, der gegenüber dem Material des Isolationsmaterials selektiv ist (der z. B. das Isolationsmaterial der STI-Bereiche 70 mit einer schnelleren Rate selektiv ätzt als die Materialien der Finnen 62 und der Nanostrukturen 64, 66). Zum Beispiel kann eine Oxidentfernung unter Verwendung von verdünnter Flusssäure (dHF) durchgeführt werden.
  • Der zuvor beschriebene Prozess ist nur ein Beispiel dafür, wie die Finnen 62 und die Nanostrukturen 64, 66 gebildet werden können. In einigen Ausführungsformen können die Finnen 62 und/oder die Nanostrukturen 64, 66 unter Verwendung einer Maske und eines epitaktischen Aufwachsprozesses gebildet werden. Zum Beispiel kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden und Gräben können durch die dielektrische Schicht geätzt werden, um das darunterliegende Substrat 50 freizulegen. Epitaktische Strukturen können epitaktisch in den Gräben aufgewachsen werden, und die dielektrische Schicht kann derart vertieft werden, dass die epitaktischen Strukturen aus der dielektrischen Schicht hervorstehen, um die Finnen 62 und/oder die Nanostrukturen 64, 66 zu bilden. Die epitaktischen Strukturen können die zuvor beschriebenen abwechselnden Halbleitermaterialien einschließen, wie das erste Halbleitermaterial und das zweite Halbleitermaterial. In einigen Ausführungsformen, in denen epitaktische Strukturen epitaktisch aufgewachsen werden, können die epitaktisch aufgewachsenen Materialien während dem Aufwachsen in situ dotiert werden, wodurch vorherige und/oder nachfolgende Implantationen vermieden werden können, In-situ- und Implantationsdotierung können jedoch auch zusammen verwendet werden.
  • Ferner können angemessene Wannen (nicht separat veranschaulicht) in dem Substrat 50, den Finnen 62 und/oder den Nanostrukturen 64, 66 gebildet werden, Die Wannen können einen Leitfähigkeitstyp aufweisen, der entgegengesetzt zu dem Leitfähigkeitstyp der Source-/Drain-Bereiche ist, die anschließend in jedem des n-Bereichs 50N und des p-Bereichs 50P gebildet werden. In einigen Ausführungsformen wird eine p-Wanne in dem n-Bereich 50N gebildet und wird eine n-Wanne in dem p-Bereich 50P gebildet. In einigen Ausführungsformen wird eine p-Wanne oder eine n-Wanne in dem n-Bereich 50N und dem p-Bereich 50P gebildet.
  • In Ausführungsformen mit unterschiedlichen Wannentypen können unterschiedliche Implantationsschritte für den n-Bereich 50N und den p-Bereich 50P unter Verwendung einer Maske (nicht separat veranschaulicht), wie eines Fotolacks, implementiert werden. Zum Beispiel kann ein Fotolack über den Finnen 62, den Nanostrukturen 64, 66 und den STI-Bereichen 70 in dem n-Bereich 50N gebildet werden. Der Fotolack wird strukturiert, um den p-Bereich 50P freizulegen. Der Fotolack kann unter Verwendung einer Aufschleudertechnik gebildet und unter Verwendung von annehmbaren Fotolithographietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, wird eine n-Fremdstoffimplantation in dem p-Bereich 50P durchgeführt und der Fotolack kann als Maske dienen, die im Wesentlichen verhindert, dass n-Fremdstoffe in den n-Bereich 50N implantiert werden. Die n-Fremdstoffe können Phosphor, Arsen, Antimon oder dergleichen sein, die in dem Bereich mit einer Konzentration in dem Bereich von 1013 cm-3 bis 1014 cm-3 implantiert sind. Nach der Implantation kann der Fotolack entfernt werden, wie durch einen beliebigen annehmbaren Veraschungsprozess.
  • Nach oder vor der Implantation des p-Bereichs 50P wird eine Maske (nicht separat veranschaulicht), wie ein Fotolack, über den Finnen 62, den Nanostrukturen 64, 66 und den STI-Bereichen 70 in dem p-Bereich 50P gebildet. Der Fotolack wird strukturiert, um den n-Bereich 50N freizulegen. Der Fotolack kann unter Verwendung einer Aufschleudertechnik gebildet und unter Verwendung von annehmbaren Fotolithographietechniken strukturiert werden. Sobald der Fotolack strukturiert ist, kann eine p-Fremdstoffimplantation in dem n-Bereich 50N durchgeführt werden und der Fotolack kann als Maske dienen, die im Wesentlichen verhindert, dass p-Fremdstoffe in den p-Bereich 50P implantiert werden. Die p-Fremdstoffe können Bor, Borfluorid, Indium oder dergleichen sein, die in dem Bereich mit einer Konzentration in dem Bereich von 1013 cm-3 bis 1014 cm-3 implantiert sind. Nach der Implantation kann der Fotolack entfernt werden, wie durch einen beliebigen annehmbaren Veraschungsprozess.
  • Nach den Implantationen des n-Bereichs 50N und des p-Bereichs 50P kann ein Temperschritt durchgeführt werden, um Implantationsschäden zu reparieren und die implantierten p- und/oder n-Fremdstoffe zu aktivieren. In einigen Ausführungsformen, in denen für die Finnen 62 und/oder die Nanostrukturen 64, 66 epitaktische Strukturen epitaktisch aufgewachsen werden, können die aufgewachsenen Materialien in situ während dem Aufwachsen dotiert werden, was die Implantationen vermeiden kann, obwohl In-situ- und Implantationsdotierungen zusammen verwendet werden können.
  • In 5 wird eine dielektrische Dummy-Schicht 72 auf den Finnen 62 und den Nanostrukturen 64, 66 gebildet. Die dielektrische Dummy-Schicht 72 kann aus einem dielektrischen Material, wie Siliziumoxid, Siliziumnitrid, einer Kombinationen daraus oder dergleichen, gebildet werden, das gemäß annehmbaren Techniken abgeschieden oder thermisch aufgewachsen werden kann. Eine Dummy-Gate-Schicht 74 wird über der dielektrischen Dummy-Schicht 72 gebildet, und eine Maskenschicht 76 wird über der Dummy-Gate-Schicht 74 gebildet. Die Dummy-Gate-Schicht 74 kann über der dielektrischen Dummy-Schicht 72 abgeschieden und dann, wie durch ein CMP, planarisiert werden. Die Maskenschicht 76 kann über der Dummy-Gate-Schicht 74 abgeschieden werden. Die Dummy-Gate-Schicht 74 kann aus einem leitfähigen oder nicht leitfähigen Material gebildet werden, wie amorphem Silizium, polykristallinem Silizium (Polysilizium), polykristallinem Silizium-Germanium (Poly-SiGe), einem Metall, einem Metallnitrid, einem Metallsilizid, einem Metalloxid oder dergleichen, das durch physikalische Gasphasenabscheidung (PVD), CVD oder dergleichen abgeschieden werden kann. Die Dummy-Gate-Schicht 74 kann aus einem Material oder Materialien mit einer hohen Ätzselektivität gegenüber dem Ätzen von Isolationsmaterialien, z. B. der STI-Bereiche 70 und/oder der dielektrischen Dummy-Schicht 72, gebildet werden. Die Maskenschicht 76 kann aus einem dielektrischen Material, wie Siliziumnitrid, Siliziumoxynitrid oder dergleichen, gebildet werden. In diesem Beispiel werden eine einzelne Dummy-Gate-Schicht 74 und eine einzelne Maskenschicht 76 über dem n-Bereich 50N und dem p-Bereich 50P gebildet. In der veranschaulichten Ausführungsform bedeckt die dielektrische Dummy-Schicht 72 die Finnen 62, die Nanostrukturen 64, 66 und die STI-Bereiche 70, so dass sich die dielektrische Dummy-Schicht 72 über den STI-Bereichen 70 und zwischen der Dummy-Gate-Schicht 74 und den STI-Bereichen 70 erstreckt. In einer anderen Ausführungsform bedeckt die dielektrische Dummy-Schicht 72 nur die Finnen 62 und die Nanostrukturen 64, 66.
  • In 6 wird die Maskenschicht 76 unter Verwendung annehmbarer Fotolithographie- und Ätztechniken strukturiert, um Masken 86 zu bilden. Die Struktur der Masken 86 wird dann durch eine beliebige annehmbare Ätztechnik auf die Dummy-Gate-Schicht 74 übertragen, um Dummy-Gates 84 zu bilden. Die Struktur der Masken 86 kann optional weiter durch eine beliebige annehmbare Ätztechnik auf die dielektrische Dummy-Schicht 72 übertragen werden, um Dummy-Dielektrika 82 zu bilden. Die Dummy-Gates 84 bedecken Abschnitte der Nanostrukturen 64, 66, die bei der nachfolgenden Verarbeitung freigelegt werden, um Kanalbereiche zu bilden. Insbesondere erstrecken sich die Dummy-Gates 84 entlang der Abschnitte der Nanostrukturen 66, die strukturiert werden, um Kanalbereiche 68 zu bilden. Die Struktur der Masken 86 kann verwendet werden, um benachbarte Dummy-Gates 84 physisch zu trennen. Die Dummy-Gates 84 können auch Längsrichtungen aufweisen, die im Wesentlichen senkrecht (innerhalb von Prozessschwankungen) zu den Längsrichtungen der Finnen 62 sind. Nach dem Strukturieren können die Masken 86 optional entfernt werden, wie durch eine beliebige annehmbare Ätztechnik.
  • 7A bis 22B veranschaulichen verschiedene zusätzliche Schritte beim Herstellen von Bauelementen gemäß Ausführungsform. 7A bis 13B und 21A bis 22B veranschaulichen Merkmale in einem beliebigen des n-Bereichs 50N und des p-Bereichs 50P. Zum Beispiel können die veranschaulichten Strukturen sowohl auf den n-Bereich 50N als auch den p-Bereich 50P angewendet werden. Unterschiede zwischen den Strukturen des n-Bereichs 50N und denen des p-Bereichs 50P werden (sofern vorhanden) in dem Text beschrieben, der jede Figur begleitet. 14A, 15A, 16A, 17A, 18A, 19A und 20A veranschaulichen Merkmale in dem n-Bereich 50N. 14B, 15B, 16B, 17B, 18B, 19B und 20B veranschaulichen Merkmale in dem p-Bereich 50P.
  • In 7A und 7B werden Gate-Abstandshalter 90 über den Nanostrukturen 64, 66 auf den freigelegten Seitenwänden der Masken 86 (sofern vorhanden), der Dummy-Gates 84 und der Dummy-Dielektrika 82 gebildet. Die Gate-Abstandshalter 90 können durch konformales Abscheiden eines oder mehrerer dielektrischen Materialien und anschließendes Ätzen der dielektrischen Materialien gebildet werden. Annehmbare dielektrische Materialien schließen Oxide, wie Siliziumoxid oder Aluminiumoxid; Nitriden, wie Siliziumnitrid; Carbide, wie Siliziumcarbid; dergleichen; oder Kombinationen davon, wie Siliziumoxynitrid, Siliziumoxycarbid, Siliziumcarbonitrid, Siliziumoxycarbonitrid oder dergleichen; Mehrfachschichten davon; oder dergleichen ein. Die dielektrischen Materialien können durch einen konformalen Abscheidungsprozess, wie chemische Gasphasenabscheidung (CVD), plasmaunterstützte chemische Gasphasenabscheidung (PECVD), Atomlagenabscheidung (ALD) oder dergleichen, gebildet werden. In der veranschaulichten Ausführungsform schließen die Gate-Abstandshalter 90 jeweils mehrere Schichten ein, z. B. eine erste Abstandshalterschicht 90A und eine zweite Abstandshalterschicht 90B. In einigen Ausführungsformen werden die ersten Abstandshalterschichten 90A und die zweiten Abstandshalterschichten 90B aus Siliziumoxycarbonitrid (zum Beispiel SiOxNyC1-x-y, wobei x und y im Bereich von 0 bis 1 liegen) gebildet. Zum Beispiel können die ersten Abstandshalterschichten 90A aus einer ähnlichen oder anderen Siliziumoxycarbonitrid-Zusammensetzung als die zweiten Abstandshalterschichten 90B gebildet werden. Ein annehmbarer Ätzprozess, wie eine Trockenätzung, eine Nassätzung, dergleichen oder eine Kombination davon, kann durchgeführt werden, um das dielektrische Material oder die dielektrischen Materialien zu strukturieren. Das Ätzen kann anisotrop sein. Das dielektrische Material/die dielektrischen Materialien weist/weisen, wenn es/sie geätzt wird/werden, Abschnitte auf, die auf den Seitenwänden der Dummy-Gates 84 verbleiben (wodurch die Gate-Abstandshalter 90 gebildet werden). Nach dem Ätzen können die Gate-Abstandshalter 90 gerade Seitenwände aufweisen (wie veranschaulicht) oder gekrümmte Seitenwände aufweisen (nicht veranschaulicht). Wie anschließend in größerem Detail beschrieben, kann/können das dielektrische Material/die dielektrischen Materialien, wenn es/sie geätzt wird/werden, Abschnitte aufweisen, die auf den Seitenwänden der Finnen 62 und/oder der Nanostrukturen 64, 66 verbleiben (wodurch Finneabstandshalter gebildet werden).
  • Ferner können Implantationen durchgeführt werden, um schwach dotierte Source-/Drain-Bereiche (LDD-Bereiche - lightly doped source/drain regions) (nicht separat veranschaulicht) zu bilden. In den Ausführungsformen mit unterschiedlichen Bauelementtypen kann eine Maske (nicht separat veranschaulicht), wie ein Fotolack, über dem n-Bereich 50N gebildet werden, während der p-Bereich 50P freigelegt wird, ähnlich zu den Implantationen für die zuvor beschriebenen Wannen, und Fremdstoffe eines angemessenen Typs (z. B. p-Typ) können in die Finnen 62 und/oder die Nanostrukturen 64, 66 implantiert werden, die in dem p-Bereich 50P freigelegt sind. Die Maske kann dann entfernt werden. Anschließend kann eine Maske (nicht separat veranschaulicht), wie ein Fotolack, über dem p-Bereich 50P gebildet werden, während der n-Bereich 50N freigelegt wird, und Fremdstoffe eines angemessenen Typs (z. B. n-Typ) können in die Finnen 62 und/oder die Nanostrukturen 64, 66 implantiert werden, die in dem n-Bereich 50N freigelegt sind. Die Maske kann dann entfernt werden. Die n-Fremdstoffe können beliebige der zuvor beschriebenen n-Fremdstoffe sein, und die p-Fremdstoffe können beliebige der zuvor beschriebenen p-Fremdstoffe sein. Während der Implantation bleiben die Kanalbereiche 68 von den Dummy-Gates 84 bedeckt, so dass die Kanalbereiche 68 im Wesentlichen frei von der Fremdstoff bleiben, die implantiert ist, um die LDD-Bereiche zu bilden. Die LDD-Bereiche können eine Konzentration von Fremdstoffen im Bereich von 1015 cm-3 bis 1019 cm-3 aufweisen. Ein Temperschritt kann verwendet werden, um Implantationsschäden zu reparieren und die implantierten Fremdstoffe zu aktivieren.
  • Es sei angemerkt, dass die vorstehende Offenbarung allgemein einen Prozess zum Bilden von Abstandshaltern und LDD-Bereichen beschreibt. Andere Prozesse und Abfolgen können verwendet werden. Zum Beispiel können weniger oder zusätzliche Abstandshalter verwendet werden, es können unterschiedliche Abfolgen der Schritte verwendet werden, es können zusätzliche Abstandshalter gebildet und entfernt werden, und/oder dergleichen. Ferner können die n-Bauelemente und die p-Bauelemente unter Verwendung unterschiedlicher Strukturen und Schritte gebildet werden.
  • In 8A und 8B werden Source-/Drain-Vertiefungen 94 in den Nanostrukturen 64, 66 gebildet. In der veranschaulichten Ausführungsform erstrecken sich die Source-/Drain-Vertiefungen 94 durch die Nanostrukturen 64, 66 und in die Finnen 62. Die Source-/Drain-Vertiefungen 94 können sich auch in das Substrat 50 erstrecken. In verschiedenen Ausführungsformen können sich die Source-/Drain-Vertiefungen 94 zu einer oberen Fläche des Substrats 50 erstrecken, ohne das Substrat 50 zu ätzen; die Finnen 62 können derart geätzt werden, dass untere Flächen der Source-/Drain-Vertiefungen 94 unterhalb der oberen Flächen der STI-Bereiche 70 angeordnet sind; oder dergleichen. Die Source-/Drain-Vertiefungen 94 können durch Ätzen der Nanostrukturen 64, 66 unter Verwendung eines anisotropen Ätzprozesses gebildet werden, wie eines RIE, eines NBE oder dergleichen. Die Gate-Abstandshalter 90 und die Dummy-Gates 84 maskieren gemeinsam Abschnitte der Finnen 62 und/oder der Nanostrukturen 64, 66 während den Ätzprozessen, die zum Bilden der Source-/Drain-Vertiefungen 94 verwendet werden. Es kann ein einzelner Ätzprozess verwendet werden, um jede der Nanostrukturen 64, 66 zu ätzen, oder es können mehrere Ätzprozesse verwendet werden, um die Nanostrukturen 64, 66 zu ätzen. Es können zeitgesteuerte Ätzprozesse verwendet werden, um das Ätzen der Source-/Drain-Vertiefungen 94 zu stoppen, nachdem die Source-/Drain-Vertiefungen 94 eine gewünschte Tiefe erreicht haben.
  • Optional werden innere Abstandshalter 96 auf den Seitenwänden der verbleibenden Abschnitte der ersten Nanostrukturen 64 gebildet, wie denjenigen Seitenwänden, die durch die Source-/Drain-Vertiefungen 94 freigelegt werden. Wie anschließend in größerem Detail beschrieben, werden anschließend Source-/Drain-Bereiche in den Source-/Drain-Vertiefungen 94 gebildet, und die ersten Nanostrukturen 64 werden anschließend durch entsprechende Gatestrukturen ersetzt. Die inneren Abstandshalter 96 dienen als Isolationsmerkmale zwischen den anschließend gebildeten Source-/Drain-Bereichen und den anschließend gebildeten Gatestrukturen. Ferner können die inneren Abstandshalter 96 dazu verwendet werden, eine Beschädigung der anschließend gebildeten Source-/Drain-Bereiche durch nachfolgende Ätzprozesse, wie Ätzprozesse, die verwendet werden, um die ersten Nanostrukturen 64 anschließend zu entfernen, im Wesentlichen zu verhindern.
  • Als ein Beispiel für das Bilden der inneren Abstandshalter 96 können die Source-/Drain-Vertiefungen 94 seitlich erweitert werden. Insbesondere können die Abschnitte der Seitenwände der ersten Nanostrukturen 64, die durch die Source-/Drain-Vertiefungen 94 freigelegt sind, vertieft werden. Obwohl die Seitenwände der ersten Nanostrukturen 64 als gerade veranschaulicht sind, können die Seitenwände konkav oder konvex sein. Die Seitenwände können durch einen beliebigen annehmbaren Ätzprozess vertieft werden, wie einen, der gegenüber dem Material der ersten Nanostrukturen 64 selektiv ist (der z. B. das Material der ersten Nanostrukturen 64 mit einer schnelleren Rate selektiv ätzt als das Material der zweiten Nanostrukturen 66). Das Ätzen kann isotrop sein. Wenn zum Beispiel die zweiten Nanostrukturen 66 aus Silizium gebildet werden und die ersten Nanostrukturen 64 aus Silizium-Germanium gebildet werden, kann der Ätzprozess eine Nassätzung unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. In einer anderen Ausführungsform kann der Ätzprozess eine Trockenätzung unter Verwendung eines auf Fluor basierenden Gases, wie Fluorwasserstoffgas (HF-Gas), sein. In einigen Ausführungsformen kann derselbe Ätzprozess kontinuierlich durchgeführt werden, um die Source-/Drain-Vertiefungen 94 zu bilden und um die Seitenwände der ersten Nanostrukturen 64 zu vertiefen. Dann können die inneren Abstandshalter 96 durch konformales Bilden eines Isoliermaterials und anschließendes Ätzen des Isoliermaterials gebildet werden. Das Isoliermaterial kann Siliziumnitrid oder Siliziumoxynitrid sein, obwohl ein beliebiges geeignetes Material, wie Materialien mit niedriger Dielektrizitätskonstante (mit niedrigem k-Wert) mit einem k-Wert von weniger als 3,5, verwendet werden kann. Das Isoliermaterial kann durch einen konformalen Abscheidungsprozess abgeschieden werden, wie ALD, CVD oder dergleichen. Das Ätzen des Isoliermaterials kann anisotrop sein. Zum Beispiel kann der Ätzprozess eine Trockenätzung, wie ein RIE, ein NBE oder dergleichen, sein. Obwohl die äußeren Seitenwände der inneren Abstandshalter 96 als bündig mit den Seitenwänden der Gate-Abstandshalter 90 veranschaulicht sind, können sich die äußeren Seitenwände der inneren Abstandshalter 96 über die Seitenwände der Gate-Abstandshalter 90 hinaus erstrecken oder von diesen vertieft sein. Mit anderen Worten können die inneren Abstandshalter 96 die Seitenwandvertiefungen teilweise füllen, vollständig füllen oder überfüllen. Obwohl die Seitenwände der inneren Abstandshalter 96 als gerade veranschaulicht sind, können die Seitenwände der inneren Abstandshalter 96 ferner konkav oder konvex sein.
  • In 9A und 9B werden epitaktische Source-/Drain-Bereiche 98 in den Source-/Drain-Vertiefungen 94 gebildet. Die epitaktischen Source-/Drain-Bereiche 98 werden in den Source-/Drain-Vertiefungen 94 derart gebildet, dass jedes Dummy-Gate 84 (und die entsprechenden Kanalbereiche 68) zwischen jeweiligen benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 98 angeordnet ist. In einigen Ausführungsformen werden die Gate-Abstandshalter 90 und die inneren Abstandshalter 96 verwendet, um die epitaktischen Source-/Drain-Bereiche 98 jeweils von den Dummy-Gates 84 und den ersten Nanostrukturen 64 um einen angemessenen seitlichen Abstand zu trennen, sodass die epitaktischen Source-/Drain-Bereiche 98 nicht mit den anschließend gebildeten Gates der resultierenden Nano-FETs kurzgeschlossen sind. Ein Material der epitaktischen Source-/Drain-Bereiche 98 kann so gewählt werden, dass in den jeweiligen Kanalbereichen 68 eine Spannung ausgeübt wird, um die Leistung zu verbessern.
  • Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N können durch Maskieren des p-Bereichs 50P gebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N in den Source-/Drain-Vertiefungen 94 in dem n-Bereich 50N epitaktisch aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 98 können ein beliebiges annehmbares Material einschließen, das für n-Bauelemente angemessen ist. Zum Beispiel können die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N Materialien einschließen, die eine Zugbelastung auf die Kanalbereiche 68 ausüben, wie Silizium, Siliziumcarbid, phosphordotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 98 in dem n-Bereich 50N können Flächen aufweisen, die von jeweiligen Flächen der Finnen 62 und der Nanostrukturen 64, 66 erhaben sind und können Facetten aufweisen.
  • Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P können durch Maskieren des n-Bereichs 50N gebildet werden. Dann werden die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P in den Source-/Drain-Vertiefungen 94 in dem p-Bereich 50P epitaktisch aufgewachsen. Die epitaktischen Source-/Drain-Bereiche 98 können ein beliebiges annehmbares Material einschließen, das für p-Bauelemente angemessen ist. Zum Beispiel können die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P Materialien einschließen, die eine Druckbelastung auf die Kanalbereiche 68 ausüben, wie Silizium-Germanium, bordotiertes Silizium-Germanium, Germanium, Germaniumzinn oder dergleichen. Die epitaktischen Source-/Drain-Bereiche 98 in dem p-Bereich 50P können Flächen aufweisen, die von jeweiligen Flächen der Finnen 62 und der Nanostrukturen 64, 66 erhaben sind und können Facetten aufweisen.
  • Die epitaktischen Source-/Drain-Bereiche 98, die Nanostrukturen 64, 66 und/oder die Finnen 62 können mit Fremdstoffen implantiert werden, um Source-/Drain-Bereiche zu bilden, ähnlich dem zuvor beschriebenen Prozess zum Bilden der LDD-Bereiche, gefolgt von einem Tempern. Die Source-/Drain-Bereiche können eine Fremdstoffkonzentration im Bereich von 1019 cm-3 bis 1021 cm-3 aufweisen. Die n- und/oder p-Fremdstoffe für Source-/Drain-Bereiche können beliebige der zuvor beschriebenen Fremdstoffe sein. In einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 98 im Laufe des Aufwachsens in situ dotiert werden.
  • Als Ergebnis der Epitaxieprozesse, die zur Bildung der epitaktischen Source-/Drain-Bereiche 98 verwendet werden, weisen obere Oberflächen der epitaktischen Source-/Drain-Bereiche Facetten auf, die sich über Seitenwände der Finnen 62 und der Nanostrukturen 64, 66 hinaus seitlich nach außen ausdehnen. In einigen Ausführungsformen führen diese Facetten dazu, dass die benachbarten epitaktischen Source-/Drain-Bereiche 98 zusammenwachsen, wie durch 9C veranschaulicht. In einigen Ausführungsformen bleiben die benachbarten epitaktischen Source-/Drain-Bereiche 98 getrennt, nachdem der Epitaxieprozess beendet war, wie durch 9D veranschaulicht ist. In den veranschaulichten Ausführungsformen wird die Abstandshalterätzung, die zur Bildung der Gate-Abstandshalter 90 verwendet wird, so angepasst, dass sie auch die Finnenabstandshalter 92 auf den Seitenwänden der Finnen 62 und/oder der Nanostrukturen 64, 66 bildet. Die Finnenabstandshalter 92 werden gebildet, um einen Abschnitt der Seitenwände der Finnen 62 und/oder der Nanostrukturen 64, 66, die sich oberhalb der STI-Bereiche 70 erstrecken, abzudecken, um das epitaktische Aufwachsen zu blockieren. In einer anderen Ausführungsform wird die Abstandshalterätzung, die zur Bildung der Gate-Abstandshalter 90 verwendet wird, so angepasst, dass keine Finnenabstandshalter gebildet werden, um es den epitaktischen Source-/Drain-Bereichen 98 zu ermöglichen, sich bis zu der Fläche der STI-Bereiche 70 zu erstrecken.
  • Die epitaktischen Source-/Drain-Bereiche 98 können eine oder mehrere Halbleitermaterialschichten einschließen. Zum Beispiel können die epitaktischen Source-/Drain-Bereiche 98 jeweils eine Auskleidungsschicht 98A, eine Hauptschicht 98B und eine Veredelungsschicht 98C (oder, allgemeiner, eine erste, eine zweite und eine dritte Halbleitermaterialschicht) einschließen. Eine beliebige Anzahl von Halbleitermaterialschichten kann für die epitaktischen Source-/Drain-Bereiche 98 verwendet werden. Jede der Auskleidungsschicht 98A, der Hauptschicht 98B und der Veredelungsschicht 98C kann aus einem unterschiedlichen Halbleitermaterial gebildet werden und kann mit einer unterschiedlichen Konzentration von Fremdstoffen dotiert werden. In einigen Ausführungsformen kann die Auskleidungsschicht 98A eine geringere Konzentration an Fremdstoffen als die Hauptschicht 98B aufweisen, während die Veredelungsschicht 98C eine höhere Konzentration von Fremdstoffen als die Auskleidungsschicht 98A und eine niedrigere Konzentration von Fremdstoffen als die Hauptschicht 98B aufweisen kann. In Ausführungsformen, in denen die epitaktischen Source-/Drain-Bereiche 98 drei Halbleitermaterialschichten einschließen, können die Auskleidungsschichten 98A in den Source-/Drain-Vertiefungen 94 aufgewachsen werden, können die Hauptschichten 98B auf den Auskleidungsschichten 98A aufgewachsen werden, und können die Veredelungsschichten 98C auf den Hauptschichten 98B aufgewachsen werden.
  • In 10A und 10B wird ein erstes Zwischenschichtdielektrikum (II,D - inter-layer dielectric) 104 über den epitaktischen Source-/Drain-Bereichen 98, den Gate-Abstandshaltern 90, den Masken 86 (falls vorhanden) oder den Dummy-Gates 84 abgeschieden. Das erste ILD 104 kann aus einem dielektrischen Material gebildet werden und kann durch jedes geeignete Verfahren abgeschieden werden, wie CVD, plasmaunterstützte CVD (PECVD), FCVD oder dergleichen. Annehmbare dielektrische Materialien können Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen einschließen. Andere Isolationsmaterialien, die durch einen beliebigen annehmbaren Prozess ausgebildet sind, können verwendet werden.
  • In einigen Ausführungsformen wird eine Kontaktätzstoppschicht (CESL - Contact Etch Stop Layer) 102 zwischen dem ersten ILD 104 und den epitaktischen Source-/Drain-Bereichen 98, den Gate-Abstandshaltern 90 und den Masken 86 (falls vorhanden) oder den Dummy-Gates 84 gebildet. Die CESL 102 kann aus einem dielektrischen Material gebildet werden, wie Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen, mit einer hohen Ätzselektivität gegenüber dem Ätzen des ersten ILD 104. Die CESL 102 kann durch ein beliebiges geeignetes Verfahren gebildet werden, wie CVD, ALD oder dergleichen.
  • In 11A und 11B wird ein Entfernungsprozess durchgeführt, um zu bewirken, dass die oberen Flächen des ersten ILD 104 bündig mit den oberen Flächen der Masken 86 (falls vorhanden) oder der Dummy-Gates 84 sind. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess kann auch die Masken 86 auf den Dummy-Gates 84 und Abschnitte der Gate-Abstandshalter 90 entlang von Seitenwänden der Masken 86 entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen der Gate-Abstandshalter 90, des ersten ILD 104, der CESL 102 und der Masken 86 (falls vorhanden) oder der Dummy-Gates 84 koplanar (innerhalb von Prozessschwankungen). Dementsprechend werden die oberen Flächen der Masken 86 (falls vorhanden) oder der Dummy-Gates 84 durch das erste ILD 104 freigelegt. In der veranschaulichten Ausführungsform verbleiben die Masken 86, und der Planarisierungsprozess bewirkt, dass die oberen Flächen des ersten ILD 104 bündig mit den oberen Flächen der Masken 86 sind.
  • In 12A und 12B werden die Masken 86 (falls vorhanden) und die Dummy-Gates 84 in einem Ätzprozess entfernt, sodass Vertiefungen 110 gebildet werden. Abschnitte der Dummy-Dielektrika 82 in den Vertiefungen 110 werden ebenfalls entfernt. In einigen Ausführungsformen werden die Dummy-Gates 84 durch einen anisotropen Trockenätzprozess entfernt. Zum Beispiel kann der Ätzprozess einen Trockenätzprozess unter Verwendung eines reaktiven Gases/reaktiver Gase einschließen, der die Dummy-Gates 84 mit einer schnelleren Rate selektiv ätzt als das erste ILD 104 oder die Gate-Abstandshalter 90. Während der Entfernung können die Dummy-Dielektrika 82 als Ätzstoppschichten verwendet werden, wenn die Dummy-Gates 84 geätzt werden. Die Dummy-Dielektrika 82 werden dann entfernt. Jede Vertiefung 110 legt Abschnitte der Kanalbereiche 68 frei und/oder liegt über diesen. Abschnitte der zweiten Nanostrukturen 66, die als Kanalbereiche 68 dienen, sind zwischen benachbarten Paaren der epitaktischen Source-/Drain-Bereiche 98 angeordnet.
  • Die verbleibenden Abschnitte der ersten Nanostrukturen 64 werden dann entfernt, um die Vertiefungen 110 auszudehnen. Die verbleibenden Abschnitte der ersten Nanostrukturen 64 können durch einen beliebigen annehmbaren Ätzprozess entfernt werden, der das Material der ersten Nanostrukturen 64 mit einer schnelleren Rate selektiv ätzt als das Material der zweiten Nanostrukturen 66. Das Ätzen kann isotrop sein. Wenn zum Beispiel die ersten Nanostrukturen 64 aus Silizium-Germanium gebildet werden und die zweiten Nanostrukturen 66 aus Silizium gebildet werden, kann der Ätzprozess eine Nassätzung unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. In einigen Ausführungsformen wird ein Trimmprozess (nicht gesondert veranschaulicht) durchgeführt, um die Dicken der freigelegten Abschnitte der zweiten Nanostrukturen 66 zu verringern. Wie deutlicher in 14A bis 21B veranschaulicht (wie anschließend in größerem Detail beschrieben), können die restlichen Abschnitte der zweiten Nanostrukturen 66 abgerundete Ecken aufweisen.
  • In 13A und 13B wird in den Vertiefungen 110 eine Gate-Dielektrikum-Schicht 112 gebildet. Auf der Gate-Dielektrikum-Schicht 112 wird eine Gate-Elektroden-Schicht 114 gebildet. Die Gate-Dielektrikum-Schicht 112 und die Gate-Elektroden-Schicht 114 sind Schichten für Ersatz-Gates und umgeben jeweils alle (z. B. vier) Seiten der zweiten Nanostrukturen 66.
  • Die Gate-Dielektrikum-Schicht 112 ist auf den Seitenwänden und/oder den oberen Flächen der Finnen 62; auf den oberen Flächen, den Seitenwänden und den unteren Flächen der zweiten Nanostrukturen 66; und auf den Seitenwänden der Gate-Abstandshalter 90 angeordnet. Die Gate-Dielektrikum-Schicht 112 kann auch auf den oberen Flächen des ersten ILD 104 und der Gate-Abstandshalter 90 gebildet werden. Die Gate-Dielektrikum-Schicht 112 kann ein Oxid, wie ein Siliziumoxid oder ein Metalloxid, ein Silikat, wie ein Metallsilikat, Kombinationen davon, Mehrfachschichten davon, oder dergleichen einschließen. Die Gate-Dielektrikum-Schicht 112 kann ein dielektrisches Material einschließen, das einen k-Wert von größer als 7,0 aufweist, wie ein Metalloxid oder ein Silikat von Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen davon. Obwohl eine einschichtige Gate-Dielektrikum-Schicht 112 in 13A und 13B veranschaulicht ist, kann die Gate-Dielektrikum-Schicht 112 eine Grenzflächenschicht und eine Hauptschicht einschließen, wie anschließend in größerem Detail beschrieben.
  • Die Gate-Elektroden-Schicht 114 kann ein metallhaltiges Material, wie Titannitrid, Titanoxid, Tantalnitrid, Tantalcarbid, Kobalt, Ruthenium, Aluminium, Wolfram, Zirkoniumaluminiumcarbid, Hafniumaluminiumcarbid, Niobaluminiumcarbid, Tantalaluminiumcarbid, ZrAl, HfAl, NbAl, TaAl, ZrSiC, HfSiC, NbSiC, Kombinationen davon, mehrere Schichten davon, oder dergleichen einschließen. Obwohl eine einschichtige Gate-Elektroden-Schicht 114 in 13A und 13B veranschaulicht ist, kann die Gate-Elektroden-Schicht 114 eine beliebige Anzahl von Austrittsarbeit einstellenden Schichten, eine beliebige Anzahl von Sperrschichten, eine beliebige Anzahl von Haftschichten und ein Füllmaterial einschließen, wie anschließend in größerem Detail beschrieben.
  • 14A bis 21B veranschaulichen einen Prozess, in dem Schichten für Ersatz-Gates in den Vertiefungen 110 gebildet werden. Es sind Merkmale in Bereichen veranschaulicht, die einem Bereich 50R in 13A ähnlich sind. 25 ist ein Flussdiagramm eines beispielhaften Verfahrens 200 zum Bilden der Ersatz-Gate-Schichten gemäß einigen Ausführungsformen. 14A bis 21B werden in Verbindung mit 25 beschrieben. Wenn die Ersatz-Gate-Schichten gebildet werden, wird eine erste Austrittsarbeit einstellende Schicht 114A (siehe 15A) in einem ersten Bereich gebildet (z. B. dem n-Bereich 50N). Dann wird/werden (eine) zweite Austrittsarbeit einstellende Schicht(en) 114C (siehe 19A und 19B) sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch einem zweiten Bereich (z. B. dem p-Bereich 50P) gebildet. Da der erste Bereich (z. B. der n-Bereich 50N) und der zweite Bereich (z. B. der p-Bereich 50P) unterschiedliche Mengen und Arten von Austrittsarbeit einstellenden Schichten einschließen, haben die in diesen Bereichen gebildeten Bauelemente unterschiedliche Schwellenspannungen.
  • In 14A und 14B sowie bei dem Schritt 202 des Verfahrens 200 wird die Gate-Dielektrikum-Schicht 112 in der Vertiefung 110 sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch dem zweiten Bereich (z. B. dem p-Bereich 50P) abgeschieden. Die Gate-Dielektrikum-Schicht 112 kann auch auf den oberen Flächen des ersten ILD 104 und der Gate-Abstandshalter 90 abgeschieden werden (siehe 13B). In der veranschaulichten Ausführungsform ist die Gate-Dielektrikum-Schicht 112 mehrschichtig, einschließlich einer Grenzflächenschicht 112A (oder allgemeiner einer ersten Gate-Dielektrikum-Schicht) und einer darüberliegenden dielektrischen Schicht 112B mit hohem k-Wert (oder allgemeiner einer zweiten Gate-Dielektrikum-Schicht). Die Grenzflächenschicht 112A kann aus Siliziumoxid gebildet werden, und die dielektrische Schicht 112B mit hohem k-Wert kann aus Hafniumoxid gebildet werden. Die Bildungsverfahren der Gate-Dielektrikum-Schicht 112 können Molekularstrahlabscheidung (MBD - Molecular-Beam Deposition), ALD, PECVD und dergleichen einschließen. Die Gate-Dielektrikum-Schicht 112 umgibt alle (z. B. vier) Seiten der zweiten Nanostrukturen 66.
  • In 15A und 15B sowie bei dem Schritt 204 des Verfahrens 200 wird eine erste Austrittsarbeit einstellende Schicht 114A auf der Gate-Dielektrikum-Schicht 112 sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch dem zweiten Bereich (z. B. dem p-Bereich 50P) abgeschieden. Wie anschließend in größerem Detail beschrieben, wird die erste Austrittsarbeit einstellende Schicht 114A strukturiert, um Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A in dem zweiten Bereich (z. B. dem p-Bereich 50P) zu entfernen, während Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A in dem ersten Bereich (z. B. dem n-Bereich 50N) verbleiben. Wenn die erste Austrittsarbeit einstellende Schicht 114A aus dem zweiten Bereich (z. B. dem p-Bereich 50P) entfernt wird, kann sie als „n-leitende Austrittsarbeit einstellende Schicht“ bezeichnet werden. Die erste Austrittsarbeit einstellende Schicht 114A schließt ein beliebiges annehmbares Material ein, um eine Austrittsarbeit eines Bauelements bei einer gegebenen Anwendung des zu bildenden Bauelements auf eine gewünschte Menge einzustellen, und kann unter Verwendung eines beliebigen annehmbaren Abscheidungsprozesses abgeschieden werden. Wenn zum Beispiel die erste Austrittsarbeit einstellende Schicht 114A eine n-leitende Austrittsarbeit einstellende Schicht ist, kann sie aus einem n-Austrittsarbeitsmetall (NWFM), wie Zirkoniumaluminiumcarbid (ZrAlC), Hafniumaluminiumcarbid (HfAlC), Niobaluminiumcarbid (NbAlC), Tantalaluminiumcarbid (TaAlC), ZrAl, HfAl, NbAl, TaAl, ZrSiC, HfSiC, NbSiC, dergleichen oder Kombinationen davon gebildet werden, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann. Obwohl die erste Austrittsarbeit einstellende Schicht 114A als einschichtig gezeigt ist, kann die erste Austrittsarbeit einstellende Schicht 114A mehrschichtig sein. Die erste Austrittsarbeit einstellende Schicht 114A kann Folgendes einschließen: eine erste Schicht ZrAlC und eine zweite Schicht HfAlC; eine erste Schicht ZrAlC, eine zweite Schicht HfAlC und eine dritte Schicht ZrAlC; eine erste Schicht HfAlC, eine zweite Schicht ZrAlC und eine dritte Schicht HfAlC; eine erste Schicht ZrAl, eine zweite Schicht HfAl und eine dritte Schicht NbAl; eine erste Schicht ZrSiC, eine zweite Schicht HfSiC und eine dritte Schicht NbSiC; oder Kombinationen davon.
  • Die erste Austrittsarbeit einstellende Schicht 114A wird mit einer Dicke gebildet, die ausreicht, um ein Zusammenwachsen der Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A zwischen den zweiten Nanostrukturen 66 sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch dem zweiten Bereich (z. B. dem p-Bereich 50P) zu bewirken. Im Ergebnis werden die Abschnitte 110MN, 110MP der Vertiefungen 110 zwischen den zweiten Nanostrukturen 66 vollständig durch die erste Austrittsarbeit einstellende Schicht 114A gefüllt. Jeweilige Abschnitte der Gate-Dielektrikum-Schicht 112 umwickeln jede der zweiten Nanostrukturen 66, und jeweilige Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A füllen Bereiche zwischen den jeweiligen Abschnitten der Gate-Dielektrikum-Schicht 112 sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch dem zweiten Bereich (z. B. dem p-Bereich 50P). In einigen Ausführungsformen wird die erste Austrittsarbeit einstellende Schicht 114A mit einer Dicke in einem Bereich von 5 Å bis 50 Å gebildet, wie in einem Bereich von 10 Å bis 30 Å. Das Bilden der ersten Austrittsarbeit einstellenden Schicht 114A mit einer Dicke von weniger als 20 Å führt möglicherweise nicht zu einem Zusammenwachsen von Abschnitten der ersten Austrittsarbeit einstellenden Schicht 114A. Das Bilden der ersten Austrittsarbeit einstellenden Schicht 114A mit einer Dicke von mehr als 30 Å kann sich negativ auf die Schwellenspannungen der resultierenden Bauelemente auswirken. In einigen Ausführungsformen liegt ein Verhältnis der Dicke der ersten Austrittsarbeit einstellenden Schicht 114A zu der Dicke der Grenzflächenschicht 112A im Bereich von 0,5 bis 7.
  • Das Aluminium in der ersten Austrittsarbeit einstellenden Schicht 114A bildet ein Aluminium-Dipol an der Grenzfläche der dielektrischen Schicht 112B mit hohem k-Wert und der ersten Austrittsarbeit einstellenden Schicht 114A, der die effektive Austrittsarbeit der Gate-Elektroden-Schicht 114 (siehe z.B. 19A) mehr zu einem Wert der n-leitenden Austrittsarbeit verschiebt. Andere Metalle in der ersten Austrittsarbeit einstellenden Schicht 114A, wie Zirkonium, Hafnium, Niob oder Tantal, haben einen niedrigeren Wert der effektiven Austrittsarbeit (eV) als Titan und tragen daher dazu bei, die effektive Austrittsarbeit weiter zu einem Wert der n-leitenden Austrittsarbeit zu verschieben.
  • In einigen Ausführungsformen wird die erste Austrittsarbeit einstellende Schicht 114A aus Zirkoniumaluminiumcarbid gebildet, das durch einen ALD-Prozess abgeschieden ist. Insbesondere kann die erste Austrittsarbeit einstellende Schicht 114A durch Platzieren des Substrats 50 in einer Abscheidungskammer und zyklisches Abgeben von mehreren Quellenvorläufern in die Abscheidungskammer gebildet werden. Ein erster Impuls eines ALD-Zyklus wird durch Abgabe eines Zirkoniumquellenvorläufers in die Abscheidungskammer durchgeführt. Annehmbare Zirkoniumquellenvorläufer schließen Zirkoniumchlorid (ZrCl4) und dergleichen ein. Der erste Impuls kann bei einer Temperatur im Bereich von 200 °C bis 500 °C und einem Druck im Bereich von 0,5 Torr bis 45 Torr durchgeführt werden, z. B. indem die Abscheidungskammer bei einer solchen Temperatur und einem solchen Druck gehalten wird. Der erste Impuls kann für eine Dauer im Bereich von 0,1 Sekunden bis 60 Sekunden durchgeführt werden, zum Beispiel indem der Zirkoniumquellenvorläufer in der Abscheidungskammer für eine solche Dauer gehalten wird. Dann wird der Zirkoniumquellenvorläufer aus der Abscheidungskammer gespült, wie durch einen annehmbaren Vakuumprozess und/oder durch Einströmen eines Inertgases, wie Argon oder Stickstoff, in die Abscheidungskammer. Ein zweiter Impuls des ALD-Zyklus wird durch Abgabe eines Aluminiumquellenvorläufers in die Abscheidungskammer durchgeführt. Annehmbare Aluminiumquellenvorläufer schließen Triethylaluminium (TEA) (Al2(C2H5)6), Trimethylaluminium (TMA) (Al2(CH3)6) und dergleichen oder Kombinationen davon ein. Der zweite Impuls kann bei einer Temperatur im Bereich von 200 °C bis 500 °C und einem Druck im Bereich von 0,5 Torr bis 45 Torr durchgeführt werden, z. B. indem die Abscheidungskammer bei einer solchen Temperatur und einem solchen Druck gehalten wird. Der zweite Impuls kann für eine Dauer im Bereich von 0,1 Sekunden bis 60 Sekunden durchgeführt werden, zum Beispiel indem der Aluminiumquellenvorläufer in der Abscheidungskammer für eine solche Dauer gehalten wird. Dann wird der Aluminiumquellenvorläufer aus der Abscheidungskammer gespült, wie durch einen annehmbaren Vakuumprozess und/oder durch Einströmen eines Inertgases, wie Argon oder Stickstoff, in die Abscheidungskammer. Jeder ALD-Zyklus führt zur Abscheidung einer Atomschicht (manchmal als Monoschicht bezeichnet) von Zirkoniumaluminiumcarbid. Die ALD-Zyklen werden wiederholt, bis die erste Austrittsarbeit einstellende Schicht 114A eine gewünschte Dicke aufweist (zuvor beschrieben). Die ALD-Zyklen können 5 bis 180 Mal wiederholt werden. Das Durchführen des ALD-Prozesses mit Parametern in diesen Bereichen erlaubt das Bilden der ersten Austrittsarbeit einstellenden Schicht 114A auf eine gewünschte Dicke (zuvor beschrieben) und Qualität. Das Durchführen des ALD-Prozesses mit Parametern außerhalb dieser Bereiche kann das Bilden der erste Austrittsarbeit einstellende Schicht 114A auf die gewünschte Dicke oder Qualität nicht erlauben
  • In einigen Ausführungsformen kann die erste Austrittsarbeit einstellende Schicht 114A Hafniumaluminiumcarbid, Niobaluminiumcarbid, Tantalaluminiumcarbid, dergleichen oder Kombinationen davon einschließen, wobei jedes Material durch einen ALD-Prozess abgeschieden wird. Der ALD-Prozess zum Bilden dieser Materialien kann ähnlich dem vorstehend für Zirkonium-Aluminium-Carbid erörterten ALD-Prozess sein, wobei der Zirkoniumquellenvorläufer durch einen geeigneten Quellenvorläufer wie einem Hafnium-, Niob- oder Tantalquellenvorläufer ersetzt wird, und die Einzelheiten des ALD-Prozesses werden hier nicht wiederholt.
  • In Ausführungsformen, die Hafniumaluminiumcarbid einschließen, wird der erste Impuls des ALD-Zyklus durch Abgabe des Hafniumquellenvorläufers in der Abscheidungskammer durchgeführt. Annehmbare Hafniumquellenvorläufer schließen Hafhiumchlorid (HfCl4) und dergleichen ein.
  • In Ausführungsformen, die Niobaluminiumcarbid einschließen, wird der erste Impuls eines ALD-Zyklus durch Abgabe eines Niobquellenvorläufers in die Abscheidungskammer durchgeführt. Annehmbare Hafniumquellenvorläufer schließen Niobchlorid (HfCl5) und dergleichen ein.
  • In Ausführungsformen, die Tantalaluminiumcarbid einschließen, wird der erste Impuls des ALD-Zyklus durch Abgabe des Tantalquellenvorläufers in der Abscheidungskammer durchgeführt. Annehmbare Tantalquellenvorläufer schließen Tantalchlorid (HfCl5) und dergleichen ein.
  • In 16A und 16B wird eine In-situ-Schicht 114B auf der ersten Austrittsarbeit einstellenden Schicht 114A abgeschieden. Die In-situ-Schicht 114B wird in-situ gebildet (zum Beispiel in derselben Kammer wie die erste Austrittsarbeit einstellende Schicht 114A und/oder in einer separaten Kammer im selben System ohne Vakuumunterbrechung zwischen der Bildung der Schichten 114A und 114B). In einigen Ausführungsformen dient die In-situ-Schicht 114B als eine Sperrschicht oder Schutzschicht der ersten Austrittsarbeit einstellenden Schicht 114A, so dass die In-situ-Schicht 114B eine Änderung der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A während der nachfolgenden Verarbeitung verhindert (z. B. wesentlich verhindert oder zumindest verringert). In einigen Ausführungsformen wird die In-situ-Schicht 114B aus Titannitrid (TiN) oder dergleichen gebildet, und sie kann durch ALD, CVD, PVD oder dergleichen abgeschieden werden. In einigen Ausführungsformen wird die In-situ-Schicht 114B weggelassen (siehe z. B. 26).
  • In 17A und 17B sowie bei dem Schritt 208 des Verfahrens 200 werden Abschnitte der In-situ-Schicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A aus dem zweiten Bereich (z. B. dem p-Bereich 50P) entfernt. Das Entfernen der Abschnitte der In-situ-Schicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A aus dem zweiten Bereich (z. B. dem p-Bereich 50P) vergrößert die Vertiefungen 110 in dem zweiten Bereich, um die Gate-Dielektrikum-Schicht 112 in dem zweiten Bereich (z. B. dem p-Bereich 50P) wieder freizulegen. Das Entfernen kann durch eine annehmbare Fotolithographie- und Ätztechnik durchgeführt werden, zum Beispiel durch Bedecken des zweiten Bereichs mit einer Maske und Ätzen des ersten Bereichs. Das Ätzen kann einen beliebigen annehmbaren Ätzprozess einschließen, wie eine reaktive Ionenätzung (RIE), Neutralstrahlätzung (NBE - Neutral Beam Etch), dergleichen oder eine Kombinationen davon. Das Ätzen kann anisotrop sein.
  • In einigen Ausführungsformen wird eine einzelne Ätzung durchgeführt, um die Abschnitte der In-situ-Schicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A zu entfernen. Die einzelne Ätzung kann gegenüber der Materialien der In-situ-Schicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A selektiv sein (das z. B. die Materialien der In-situ-Sicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A mit einer schnelleren Rate selektiv ätzt als das Material/die Materialien der Gate-Dielektrikum-Schicht 112). Wenn zum Beispiel die In-situ-Schicht 114B aus Titannitrid und die erste Austrittsarbeit einstellende Schicht 114A aus Zirkoniumaluminiumcarbid gebildet werden, können sie beide durch eine Nassätzung unter Verwendung von Ammoniumhydroxid (NH4OH) entfernt werden.
  • In einigen Ausführungsformen wird eine erste Ätzung durchgeführt, um die Abschnitte der In-situ-Schicht 114B zu entfernen, und eine zweite Ätzung wird durchgeführt, um die Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A zu entfernen. Die erste Ätzung kann gegenüber der In-situ-Schicht 114B selektiv sein (das z. B das Material der In-situ-Schicht 114B mit einer schnelleren Rate selektiv ätzt als das Material der ersten Austrittsarbeit einstellenden Schicht 114A). Wenn zum Beispiel die In-situ-Schicht 114B aus amorphem Silizium gebildet wird, kann sie durch eine Nassätzung unter Verwendung von verdünnter Fluorwasserstoffsäure (dHF) entfernt werden. Die zweite Ätzung kann gegenüber der ersten Austrittsarbeit einstellenden Schicht 114A selektiv sein (das z. B. das Material der ersten Austrittsarbeit einstellenden Schicht 114A mit einer schnelleren Rate selektiv ätzt als das Material der Gate-Dielektrikum-Schicht 112). Wenn zum Beispiel die erste Austrittsarbeit einstellende Schicht 114A aus Zirkoniumaluminiumcarbid gebildet wird, kann sie durch eine Nassätzung unter Verwendung von Ammoniumhydroxid (NH4OH) entfernt werden.
  • In 18A und 18B sowie bei dem Schritt 210 des Verfahrens 200 wird eine zweite Austrittsarbeit einstellende Schicht 114C auf der In-situ-Schicht 114B in dem ersten Bereich (z. B. dem n-Bereich 50N) und auf der Gate-Dielektrikum-Schicht 112 in dem zweiten Bereich (z. B. dem p-Bereich 50P) abgeschieden. Wie anschließend in größerem Detail beschrieben, werden p-Bauelemente mit der zweiten Austrittsarbeit einstellenden Schicht 114C in dem zweiten Bereich (z. B. dem p-Bereich 50P) gebildet und werden n-Bauelemente mit der ersten Austrittsarbeit einstellenden Schicht 114A und der zweiten Austrittsarbeit einstellenden Schicht 114C in dem ersten Bereich (z. B. dem n-Bereich 50N) gebildet. Wenn die zweite Austrittsarbeit einstellende Schicht 114C die einzige Austrittsarbeit einstellende Schicht in dem zweiten Bereich (z. B. dem p-Bereich 50P) ist, kann sie als „p-leitende Austrittsarbeit einstellende Schicht“ bezeichnet werden. Die zweite Austrittsarbeit einstellende Schicht 114C schließt ein beliebiges annehmbares Material ein, um eine Austrittsarbeit eines Bauelements bei einer gegebenen Anwendung des zu bildenden Bauelements auf eine gewünschte Menge einzustellen, und kann unter Verwendung eines beliebigen annehmbaren Abscheidungsprozesses abgeschieden werden. Wenn zum Beispiel die zweite Austrittsarbeit einstellende Schicht 114C eine p-leitende Austrittsarbeit einstellende Schicht ist, kann sie aus einem p-Austrittsarbeitsmetall (PWFM), wie Titannitrid (TiN), Tantalnitrid (TaN), Kombinationen davon oder dergleichen, gebildet werden, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann. Obwohl die zweite Austrittsarbeit einstellende Schicht 114C als einschichtig gezeigt ist, kann die zweite Austrittsarbeit einstellende Schicht 114C mehrschichtig sein. Zum Beispiel kann die zweite Austrittsarbeit einstellende Schicht 114C eine Schicht aus Titannitrid (TiN) und eine Schicht aus Tantalnitrid (TaN) einschließen.
  • Die zweite Austrittsarbeit einstellende Schicht 114C kann als Haftschicht in einem ersten Bereich (z. B. dem n-leitenden Bereich 50N) dienen. Die zweite Austrittsarbeit einstellende Schicht 114C kann die Haftung einer anschließend gebildeten Füllschicht 114D unterstützen und kann auch die Diffusion von umgebenden Schichten verhindern. In einigen Ausführungsformen wird eine separate Haftschicht (siehe z. B. 114E in 21A und 22 A) in dem ersten Bereich (z. B. dem n-leitenden Bereich 50N) gebildet und die zweite Austrittsarbeit einstellende Schicht 114C führt diese Funktion nicht aus.
  • Die zweite Austrittsarbeit einstellende Schicht 114C wird mit einer Dicke gebildet, die ausreicht, um ein Zusammenwachsen der Abschnitte der zweiten Austrittsarbeit einstellenden Schicht 114C zwischen den zweiten Nanostrukturen 66 in dem zweiten Bereich (z. B. dem p-Bereich 50P) zu bewirken. Im Ergebnis werden die Abschnitte 110MP der Vertiefungen 110 zwischen den zweiten Nanostrukturen 66 vollständig durch die zweite Austrittsarbeit einstellende Schicht 114C gefüllt. Jeweilige Abschnitte der Gate-Dielektrikum-Schicht 112 umwickeln jede der zweiten Nanostrukturen 66, und jeweilige Abschnitte der zweiten Austrittsarbeit einstellenden Schicht 114C füllen Bereiche zwischen den jeweiligen Abschnitten der Gate-Dielektrikum-Schicht 112 in dem zweiten Bereich (z. B. dem p-Bereich 50P). In einigen Ausführungsformen wird die zweite Austrittsarbeit einstellende Schicht 114C mit einer Dicke in einem Bereich von 10 Å bis 200 Å gebildet, wie in einem Bereich von 20 Å bis 30 Å. Das Bilden der zweiten Austrittsarbeit einstellenden Schicht 114C mit einer Dicke von weniger als 20 Å führt möglicherweise nicht zu einem Zusammenwachsen von Abschnitten der zweiten Austrittsarbeit einstellenden Schicht 114C. Das Bilden der zweiten Austrittsarbeit einstellenden Schicht 114C mit einer Dicke von mehr als 30 Å kann sich negativ auf die Schwellenspannungen der resultierenden Bauelemente auswirken.
  • Das Material der ersten Austrittsarbeit einstellenden Schicht 114A unterscheidet sich von dem Material der zweiten Austrittsarbeit einstellenden Schicht 114C. Wie vorstehend erwähnt, kann die erste Austrittsarbeit einstellende Schicht 114A aus einem n-Austrittsarbeitsmetall (NWFM) gebildet werden und die zweite Austrittsarbeit einstellende Schicht 114C aus einem p-Austrittsarbeitsmetall (PWFM) gebildet werden. Das NWFM unterscheidet sich von dem PWFM. Ferner unterscheidet sich in einigen Ausführungsformen das Material der In-situ-Schicht 114B von dem Material der ersten Austrittsarbeit einstellenden Schicht 114A und dem Material der zweiten Austrittsarbeit einstellenden Schicht 114C.
  • In 19A und 19B sowie bei dem Schritt 212 des Verfahrens 200 wird eine Füllschicht 114D auf der zweiten Austrittsarbeit einstellenden Schicht 114C abgeschieden. Nachdem die Bildung beendet war, schließt die Gate-Elektroden-Schicht 114 die erste Austrittsarbeit einstellende Schicht 114A, die In-situ-Schicht 114B, die zweite Austrittsarbeit einstellende Schicht 114C und die Füllschicht 114D ein.
  • Die Füllschicht 114D schließt ein beliebiges annehmbares Material mit einem geringen Widerstand ein. Zum Beispiel kann die Füllschicht 114D aus einem Metall, wie Wolfram, Aluminium, Kobalt, Ruthenium, Kombinationen davon oder dergleichen, gebildet werden, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann. Die Füllschicht 114D füllt die verbleibenden Abschnitte der Vertiefungen 110.
  • 20A und 20B veranschaulichen eine Ausführungsform, die eine Haftschicht 114E in einem ersten Bereich (z. B. dem n-leitenden Bereich 50N) zwischen der zweiten Austrittsarbeit einstellenden Schicht 114C und der In-situ-Schicht 114B einschließt. In einigen Ausführungsformen kann die Haftschicht 114E vor der Bildung der zweiten Austrittsarbeit einstellenden Schicht 114C gebildet und von dem zweiten Bereich (z. B. dem p-Bereich 50P) entfernt werden, oder unter Verwendung von Maskierungstechniken kann keine Haftschicht 114E in dem zweiten Bereich (z. B. dem p-leitenden Bereich 50P) gebildet werden. Die Haftschicht 114E schließt ein beliebiges annehmbares Material ein, um die Haftung zu fördern und die Diffusion zu verhindern. Zum Beispiel kann die Haftschicht 114E aus einem Metall oder Metallnitrid, wie Titannitrid, Titanaluminid, Titanaluminiumnitrid, siliziumdotiertes Titannitrid, Tantalnitrid oder dergleichen, gebildet werden, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann.
  • 21A und 21B veranschaulichen eine Ausführungsform, die die Haftschicht 114E in dem ersten Bereich (z. B. dem n-leitenden Bereich 50N) einschließt, aber nicht die zweite Austrittsarbeit einstellende Schicht 114C im ersten Bereich (z. B. dem n-Typ-Bereich 50N) einschließt. In einigen Ausführungsformen kann die zweite Austrittsarbeit einstellende Schicht 114C gebildet und von dem ersten Bereich (z. B. dem n-leitenden Bereich 50N) entfernt werden, oder unter Verwendung von Maskierungstechniken kann keine zweite Austrittsarbeit einstellende Schicht 114C in dem ersten Bereich (z. B. dem n-leitenden Bereich 50N) gebildet werden.
  • In 22A und 22B wird ein Entfernungsprozess durchgeführt, um die überschüssigen Abschnitte der Materialien der Gate-Dielektrikum-Schicht 112 und der Gate-Elektroden-Schicht 114 zu entfernen, wobei sich die überschüssigen Abschnitte über den oberen Flächen des ersten ILD 104 und der Gate-Abstandshalter 90 befinden, wodurch die Gate-Dielektrika 122 und die Gate-Elektroden 124 gebildet werden. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie ein chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Die Gate-Dielektrikum-Schicht 112 weist, wenn sie planarisiert ist, Abschnitte auf, die in den Vertiefungen 110 verbleiben (wodurch die Gate-Dielektrika 122 gebildet werden). Die Gate-Elektroden-Schicht 114 weist, wenn sie planarisiert ist, Abschnitte auf, die in den Vertiefungen 110 verbleiben (wodurch die Gate-Elektroden 124 gebildet werden). Die oberen Flächen der Gate-Abstandshalter 90; die CESL 102; das erste ILD 104; die Gate-Dielektrika 122 (z. B. die Grenzflächenschichten 112A und die dielektrischen Schichten 112B mit hohem k-Wert, siehe 19A-21B); und die Gate-Elektroden 124 (z. B. die erste Austrittsarbeit einstellende Schicht 114A, die In-situ-Schicht 114B, die zweite Austrittsarbeit einstellende Schicht 114C, die Haftschicht 114E und die Füllschicht 114E, siehe 19A-21B) sind koplanar (innerhalb von Prozessschwankungen). Die Gate-Dielektrika 122 und die Gate-Elektroden 124 bilden Ersatz-Gates der resultierenden Nano-FETs. Jedes jeweilige Paar eines Gate-Dielektrikums 122 und einer Gate-Elektrode 124 kann zusammen als eine „Gatestruktur“ bezeichnet werden. Die Gatestrukturen erstrecken sich jeweils entlang von oberen Fläche, Seitenwänden und unteren Flächen eines Kanalbereichs 68 der zweiten Nanostrukturen 66.
  • In 23A und 23B wird ein zweites ILD 134 über den Gate-Abstandshaltern 90, der CESL 102, dem ersten ILD 104, den Gate-Dielektrika 122 und den Gate-Elektroden 124 abgeschieden. In einigen Ausführungsformen ist das zweite ILD 134 ein fließfähiger Film, der durch ein fließfähiges CVD-Verfahren gebildet wird. In einigen Ausführungsformen wird das zweite ILD 134 aus einem dielektrischen Material, wie PSG, BSG, BPSG, USG oder dergleichen, gebildet, das durch ein beliebiges geeignetes Verfahren abgeschieden werden kann, wie CVD, PECVD oder dergleichen.
  • In einigen Ausführungsformen wird eine Ätzstoppschicht (ESL - etch stop layer) 132 zwischen dem zweiten ILD 134 und den Gate-Abstandshaltern 90, der CESL 102, dem ersten ILD 104, den Gate-Dielektrika 122 und den Gate-Elektroden 124 gebildet. Die ESL 132 kann ein dielektrisches Material einschließen, wie Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen, mit einer hohen Ätzselektivität gegenüber dem Ätzen des zweiten ILD 134.
  • In 24A und 24B werden Gate-Kontakte 142 und Source-/Drain-Kontakte 144 gebildet, um jeweils die Gate-Elektroden 124 und die epitaktischen Source-/Drain-Bereiche 98 zu kontaktieren. Die Gate-Kontakt 142 werden physisch und elektrisch mit den Gate-Elektroden 124 gekoppelt. Die Source-/Drain-Kontakte 144 werden physisch und elektrisch mit den epitaktischen Source-/Drain-Bereichen 98 gekoppelt.
  • Als ein Beispiel für das Bilden der Gate-Kontakte 142 und der Source-/Drain-Kontakte 144 werden Öffnungen für die Gate-Kontakte 142 durch das zweite ILD 134 und die ESL 132 gebildet, und Öffnungen für die Source-/Drain-Kontakte 144 werden durch das zweite ILD 134, die ESL 132, das erste ILD 104 und die CESL 102 gebildet. Die Öffnungen können unter Verwendung annehmbarer Fotolithographie- und Ätztechniken gebildet werden. Eine Auskleidung (nicht separat veranschaulicht), wie eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material werden in den Öffnungen gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen einschließen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie ein CMP, kann durchgeführt werden, um überschüssiges Material von einer Fläche des zweiten ILD 134 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material bilden die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 in den Öffnungen. Die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 können in verschiedenen Prozessen gebildet werden oder können in demselben Prozess gebildet werden. Obwohl sie derart gezeigt sind, dass sie in demselben Querschnitt gebildet werden, sei angemerkt, dass jeder der Gate-Kontakte 142 und der Source-/Drain-Kontakte 144 in verschiedenen Querschnitten gebildet werden können, was ein Kurzschließen der Kontakte verhindern kann.
  • Optional werden die Metall-Halbleiter-Legierungsbereiche 146 an den Grenzflächen zwischen den epitaktischen Source-/Drain-Bereichen 98 und den Source-/Drain-Kontakten 144 gebildet. Die Metall-Halbleiter-Legierungsbereiche 146 können Silizidbereiche sein, die aus einem Metallsilizid gebildet werden (z. B. Titansilizid, Kobaltsilizid, Nickelsilizid usw.), Germanidbereiche, die aus einem Metall-Germanid gebildet werden (z. B. Titangermanid, Kobaltgermanid, Nickelgermanid usw.), Silizium-Germanid-Bereiche, die aus sowohl einem Metallsilizid als auch einem Metall-Germanid gebildet werden, oder dergleichen. Die Metall-Halbleiter-Legierungsbereiche 146 können vor dem/den Material(ien) der Source-Drain-Kontakte 144 durch Abscheiden eines Metalls in den Öffnungen für die Source-/Drain-Kontakte 144 und anschließendes Durchführen eines thermischen Temperprozesses gebildet werden. Das Metall kann ein beliebiges Metall sein, das mit den Halbleitermaterialien der epitaktischen Source-/Drain-Bereiche 98 (z. B. Silizium, Silizium-Germanium, Germanium usw.) reagieren kann, um eine Metall-Halbleiter-Legierung mit geringem Widerstand zu bilden, wie Nickel, Kobalt, Titan, Tantal, Platin, Wolfram, andere Edelmetalle, andere feuerfeste Metalle, Seltenerdmetalle oder Legierungen davon. Das Metall kann durch einen Abscheidungsprozess, wie ALD, CVD, PVD oder dergleichen, abgeschieden werden. Nach dem thermischen Temperprozess kann ein Reinigungsprozess, wie eine Nassreinigung, durchgeführt werden, um ein beliebiges restliches Metall von den Öffnungen für die Source-/Drain-Kontakte 144 zu entfernen, wie von den Oberflächen der Metall-Halbleiter-Legierungsbereiche 146. Dann kann/können das/die Material(ien) der Source-/Drain-Kontakte 144 auf den Metall-Halbleiter-Legierungsbereichen 146 gebildet werden.
  • 26, 27 und 28 veranschaulichen verschiedene Ausführungsformen von Gatestrukturen in einem ersten Bereich (z. B. dem n-leitenden Bereich 50N). 26 veranschaulicht eine Ausführungsform, die der Ausführungsform von 21A ähnlich ist, wobei jedoch die In-situ-Schicht 114B von der Gate-Elektroden-Schicht 114 in dem ersten Bereich (z. B. dem n-leitenden Bereich 50N) weggelassen wurde. 27 und 28 veranschaulichen jeweils Ausführungsformen, die den Ausführungsformen von 21A und 26 ähnlich sind, wobei jedoch in dem ersten Bereich (z. B. dem n-leitenden Bereich 50N) eine Sperrschicht 114F in der Gate-Elektroden-Schicht 114 eingeschlossen ist. In diesen Ausführungsformen wird die Sperrschicht 114F in einem ersten Bereich (z. B. dem n-leitenden Bereich 50N) zwischen der dielektrischen Schicht 112B mit hohem k-Wert und der ersten Austrittsarbeit einstellenden Schicht 114A gebildet. In einigen Ausführungsformen schließt die Sperrschicht 114F ein beliebiges annehmbares Material ein, um die dielektrische Schicht 112B mit hohem k-Wert zu schützen und die Diffusion der anderen Schichten in die dielektrische Schicht 112B mit hohem k-Wert zu verhindern. Zum Beispiel kann die Sperrschicht 114F aus einem Metall oder Metallnitrid, wie Titannitrid, siliziumdotiertes Titannitrid, Tantalnitrid oder dergleichen, gebildet werden, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann.
  • Ausführungsformen können Vorteile erzielen. Gemäß verschiedenen Ausführungsformen werden Gate-Elektroden mit mehreren Austrittsarbeit einstellenden Schichten gebildet. In einigen Ausführungsformen weisen die n-Bauelemente Austrittsarbeit einstellende Schichten auf, die Zr, Hf, Nb, Ta oder eine Kombination davon umfassen. Diese Austrittsarbeit einstellenden Schichten ermöglichen, dass der Wert der Austrittsarbeit niedriger ist, und verschieben außerdem den Wert der effektiven Austrittsarbeit hin zum Wert der n-leitenden Austrittsarbeit. Diese Änderungen können die Schwellenspannung der resultierenden n-Bauelemente erhöhen.
  • Eine Ausführungsform schließt ein Bauelement mit Nanostrukturen auf einem Substrat ein, wobei die Nanostrukturen einen Kanalbereich einschließt. Das Bauelement schließt auch eine Gate-Dielektrikum-Schicht ein, die jede der Nanostrukturen umgibt. Das Bauelement schließt auch eine erste Austrittsarbeit einstellende Schicht auf der Gate-Dielektrikum-Schicht ein, wobei die erste Austrittsarbeit einstellende Schicht ein erstes n-Austrittsarbeitsmetall, Aluminium und Kohlenstoff einschließt, wobei das erste n-Austrittsarbeitsmetall einen Wert der Austrittsarbeit aufweist, der kleiner ist als Titan. Das Bauelement schließt auch eine Haftschicht auf der ersten Austrittsarbeit einstellenden Schicht ein. Das Bauelement schließt auch eine Füllschicht auf der Haftschicht ein.
  • Ausführungsformen können eines oder mehrere der folgenden Merkmale einschließen. Das Bauelement, wobei das erste n-Austrittsarbeitsmetall Zirkonium einschließt. Das erste n-Austrittsarbeitsmetall schließt Hafnium ein. Das erste n-Austrittsarbeitsmetall schließt Niob ein. Das erste n-Austrittsarbeitsmetall schließt Tantal ein. Jeweilige Abschnitte der ersten Austrittsarbeit einstellenden Schicht füllen Bereiche zwischen den jeweiligen Abschnitten der Gate-Dielektrikum-Schicht. Die Füllschicht erstreckt sich nicht zwischen benachbarten Nanostrukturen. Die erste Austrittsarbeit einstellende Schicht weist eine Dicke im Bereich von 5 bis 50 auf.
  • Eine Ausführungsform schließt ein Verfahren ein, das Bilden eines ersten Satzes von Nanostrukturen auf einem Substrat einschließt, wobei der erste Satz von Nanostrukturen einen ersten Kanalbereich einschließt. Das Verfahren schließt auch Bilden einer ersten Gate-Dielektrikum-Schicht auf dem ersten Kanalbereich ein. Das Verfahren schließt auch Bilden einer ersten Austrittsarbeit einstellenden Schicht auf der ersten Gate-Dielektrikum-Schicht ein, wobei die erste Austrittsarbeit einstellende Schicht Zirkonium, Hafnium, Niob, Tantal oder Kombinationen davon einschließt. Das Verfahren schließt auch Bilden einer ersten Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht ein, wobei die erste Sperrschicht in-situ mit der ersten Austrittsarbeit einstellenden Schicht gebildet wird. Das Verfahren schließt auch Bilden einer Haftschicht auf der ersten Sperrschicht ein. Das Verfahren schließt auch Bilden einer Füllschicht auf der Haftschicht ein.
  • Ausführungsformen können eines oder mehrere der folgenden Merkmale einschließen. Das Verfahren, wobei die erste Austrittsarbeit einstellende Schicht Zirkoniumaluminiumcarbid, Hafniumaluminiumcarbid, Niobaluminiumcarbid, Tantalaluminiumcarbid oder Kombinationen davon einschließt. Das Verfahren schließt ferner Bilden eines zweiten Satzes von Nanostrukturen auf dem Substrat, wobei der zweite Satz von Nanostrukturen einen zweiten Kanalbereich einschließt, Bilden einer zweiten Gate-Dielektrikum-Schicht auf dem zweiten Kanalbereich, Bilden der ersten Austrittsarbeit einstellende Schicht auf der zweiten Gate-Dielektrikum-Schicht, Bilden der ersten Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht auf der zweiten Gate-Dielektrikum-Schicht und Entfernen der ersten Sperrschicht und der ersten Austrittsarbeit einstellenden Schicht von der zweiten Gate-Dielektrikum-Schicht ein. Das Verfahren schließt ferner Bilden einer zweiten Austrittsarbeit einstellenden Schicht 114c auf der zweiten Gate-Dielektrikum-Schicht nach dem Entfernen der ersten Sperrschicht und der ersten Austrittsarbeit einstellenden Schicht von der zweiten Gate-Dielektrikum-Schicht ein. Die Haftschicht und die zweite Austrittsarbeit einstellende Schicht werden gleichzeitig durch den gleichen Prozess gebildet. Die zweite Austrittsarbeit einstellende Schicht wird auf der Haftschicht gebildet. Das Verfahren schließt ferner Bilden einer zweiten Sperrschicht auf der ersten Gate-Dielektrikum-Schicht ein, wobei die erste Austrittsarbeit einstellende Schicht auf der zweiten Sperrschicht gebildet wird. Die erste Gate-Dielektrikum-Schicht und die zweite Gate-Dielektrikum-Schicht werden gleichzeitig durch den gleichen Prozess gebildet. Jeweilige Abschnitte der ersten Austrittsarbeit einstellenden Schicht füllen Bereiche zwischen den jeweiligen Abschnitten der ersten Gate-Dielektrikum-Schicht.
  • Eine Ausführungsform schließt ein Verfahren ein, das Bilden eines ersten Satzes von Nanostrukturen und eines zweites Satzes von Nanostrukturen auf einem Substrat einschließt, wobei der erste Satz von Nanostrukturen einen ersten Kanalbereich einschließt und der zweite Satz von Nanostrukturen einen zweiten Kanalbereich einschließt. Das Verfahren schließt auch Bilden einer Gate-Dielektrikum-Schicht mit einem ersten Abschnitt und einem zweiten Abschnitt ein, wobei der erste Abschnitt auf dem ersten Kanalbereich abgeschieden wird und der zweite Abschnitt auf dem zweiten Kanalbereich abgeschieden wird. Das Verfahren schließt auch Bilden einer n-leitenden Austrittsarbeit einstellenden Schicht auf dem ersten Abschnitt der Gate-Dielektrikum-Schicht, dem zweiten Abschnitt der Gate-Dielektrikum-Schicht und der n-leitenden Austrittsarbeit einstellenden Schicht ein, die jede aus dem ersten Satz von Nanostrukturen umgibt. Das Verfahren schließt auch Bilden einer ersten Sperrschicht auf und in situ der n-leitenden Austrittsarbeit einstellenden Schicht ein. Das Verfahren schließt auch Entfernen der ersten Sperrschicht und der n-leitenden Austrittsarbeit einstellenden Schicht von einem zweiten Abschnitt der Gate-Dielektrikum-Schicht ein. Das Verfahren schließt auch Bilden einer p-leitenden Austrittsarbeit einstellenden Schicht auf dem ersten Satz von Nanostrukturen auf der ersten Sperrschicht und einem zweiten Abschnitt der Gate-Dielektrikum-Schicht ein. Das Verfahren schließt auch Bilden einer Füllschicht auf der p-leitenden Austrittsarbeit einstellenden Schicht ein.
  • Ausführungsformen können eines oder mehrere der folgenden Merkmale einschließen. Das Verfahren schließt ferner Bilden einer zweiten Sperrschicht auf dem ersten Abschnitt der Gate-Dielektrikum-Schicht ein, wobei die erste Austrittsarbeit einstellende Schicht auf der zweiten Sperrschicht gebildet wird. Das Bilden der n-leitenden Austrittsarbeit einstellenden Schicht schließt Zirkoniumaluminiumcarbid, Hafniumaluminiumcarbid, Niobaluminiumcarbid, Tantalaluminiumcarbid oder Kombinationen davon ein.
  • Das Vorstehende umreißt Merkmale mehrerer Ausführungsformen, sodass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte sich darüber im Klaren sein, dass er die vorliegende Offenbarung ohne Weiteres als Grundlage für das Entwerfen oder Abwandeln anderer Prozesse und Strukturen verwenden kann, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der vorliegend vorgestellten Ausführungsformen zu erzielen. Der Fachmann sollte auch erkennen, dass derartige äquivalente Konstruktionen nicht von dem Geist und Umfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hieran vornehmen kann, ohne von dem Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/158987 [0001]

Claims (20)

  1. Bauelement, umfassend: Nanostrukturen auf einem Substrat, wobei die Nanostrukturen einen Kanalbereich umfassen; eine Gate-Dielektrikum-Schicht, die jede der Nanostrukturen umgibt; eine erste Austrittsarbeit einstellende Schicht auf der Gate-Dielektrikum-Schicht, wobei die erste Austrittsarbeit einstellende Schicht ein erstes n-Austrittsarbeitsmetall, Aluminium und Kohlenstoff umfasst, wobei das erste n-Austrittsarbeitsmetall einen Wert der Austrittsarbeit aufweist, der kleiner ist als Titan; eine Haftschicht auf der ersten Austrittsarbeit einstellenden Schicht; und eine Füllschicht auf der Haftschicht.
  2. Bauelement nach Anspruch 1, wobei das erste n-Austrittsarbeitsmetall Zirkonium umfasst.
  3. Bauelement nach Anspruch 1, wobei das erste n-Austrittsarbeitsmetall Hafnium umfasst.
  4. Bauelement nach Anspruch 1, wobei das erste n-Austrittsarbeitsmetall Niob umfasst.
  5. Bauelement nach Anspruch 1, wobei das erste n-Austrittsarbeitsmetall Tantal umfasst.
  6. Bauelement nach Anspruch 5, wobei jeweilige Abschnitte der ersten Austrittsarbeit einstellenden Schicht Bereiche zwischen den jeweiligen Abschnitten der Gate-Dielektrikum-Schicht füllen.
  7. Bauelement nach einem der vorhergehenden Ansprüche, wobei sich die Füllschicht nicht zwischen benachbarten Nanostrukturen erstreckt.
  8. Bauelement nach einem der vorhergehenden Ansprüche, wobei die erste Austrittsarbeit einstellende Schicht eine Dicke in einem Bereich von 5 Å bis 50 Å aufweist.
  9. Verfahren, umfassend: Bilden eines ersten Satzes von Nanostrukturen auf einem Substrat, wobei der erste Satz von Nanostrukturen einen ersten Kanalbereich umfasst; Bilden einer ersten Gate-Dielektrikum-Schicht auf dem ersten Kanalbereich; Bilden einer ersten Austrittsarbeit einstellenden Schicht auf der ersten Gate-Dielektrikum-Schicht, wobei die erste Austrittsarbeit einstellende Schicht Zirkonium, Hafnium, Niob, Tantal oder eine Kombination davon umfasst; Bilden einer ersten Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht, wobei die erste Sperrschicht in-situ mit der ersten Austrittsarbeit einstellenden Schicht gebildet wird; Bilden einer Haftschicht auf der ersten Sperrschicht; und Bilden einer Füllschicht auf der Haftschicht.
  10. Verfahren nach Anspruch 9, wobei die erste Austrittsarbeit einstellende Schicht Zirkoniumaluminiumcarbid, Hafniumaluminiumcarbid, Niobaluminiumcarbid, Tantalaluminiumcarbid oder Kombinationen davon umfasst.
  11. Verfahren nach Anspruch 9 oder 10, ferner umfassend: Bilden eines zweiten Satzes von Nanostrukturen auf dem Substrat, wobei der zweite Satz von Nanostrukturen einen zweiten Kanalbereich umfasst; Bilden einer zweiten Gate-Dielektrikum-Schicht auf dem zweiten Kanalbereich; Bilden der ersten Austrittsarbeit einstellenden Schicht auf der zweiten Gate-Dielektrikum-Schicht; Bilden der ersten Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht auf der zweiten Gate-Dielektrikum-Schicht; und Entfernen der ersten Sperrschicht und der ersten Austrittsarbeit einstellenden Schicht von der zweiten Gate-Dielektrikum-Schicht.
  12. Verfahren nach Anspruch 11 , ferner umfassend: Bilden einer zweiten Austrittsarbeit einstellenden Schicht 114C auf der zweiten Gate-Dielektrikum-Schicht nach dem Entfernen der ersten Sperrschicht und der ersten Austrittsarbeit einstellenden Schicht von der zweiten Gate-Dielektrikum-Schicht.
  13. Verfahren nach Anspruch 12, wobei die Haftschicht und die zweite Austrittsarbeit einstellende Schicht gleichzeitig durch den gleichen Prozess gebildet werden.
  14. Verfahren nach Anspruch 12 oder 13, wobei die zweite Austrittsarbeit einstellende Schicht auf der Haftschicht gebildet wird.
  15. Verfahren nach einem der vorhergehenden Ansprüche 12 bis 14, ferner umfassend: Bilden einer zweiten Sperrschicht auf der ersten Gate-Dielektrikum-Schicht, wobei die erste Austrittsarbeit einstellende Schicht auf der zweiten Sperrschicht gebildet wird.
  16. Verfahren nach einem der vorhergehenden Ansprüche 12 bis 15, wobei die erste Gate-Dielektrikum-Schicht und die zweite Gate-Dielektrikum-Schicht gleichzeitig durch den gleichen Prozess gebildet werden.
  17. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 16, wobei jeweilige Abschnitte der ersten Austrittsarbeit einstellenden Schicht Bereiche zwischen den jeweiligen Abschnitten der ersten Gate-Dielektrikum-Schicht füllen.
  18. Verfahren, umfassend: Bilden eines ersten Satzes von Nanostrukturen und eines zweiten Satzes von Nanostrukturen auf einem Substrat, wobei der erste Satz von Nanostrukturen einen ersten Kanalbereich umfasst und der zweite Satz von Nanostrukturen einen zweiten Kanalbereich umfasst; Bilden einer Gate-Dielektrikum-Schicht mit einem ersten Abschnitt und einem zweiten Abschnitt, wobei der erste Abschnitt auf dem ersten Kanalbereich abgeschieden wird und der zweite Abschnitt auf dem zweiten Kanalbereich abgeschieden wird; Bilden einer n-leitenden Austrittsarbeit einstellenden Schicht auf dem ersten Abschnitt der Gate-Dielektrikum-Schicht, dem zweiten Abschnitt der Gate-Dielektrikum-Schicht und der n-leitenden Austrittsarbeit einstellenden Schicht, die jede aus dem ersten Satz von Nanostrukturen umgibt; Bilden einer ersten Sperrschicht auf und in situ mit der Austrittsarbeit einstellenden Schicht; Entfernen der ersten Sperrschicht und der n-leitenden Austrittsarbeit einstellenden Schicht von dem zweiten Abschnitt der Gate-Dielektrikum-Schicht; Bilden einer p-leitenden Austrittsarbeit auf der einstellenden Schicht auf der ersten Sperrschicht auf dem ersten Satz von Nanostrukturen und dem zweiten Abschnitt der Gate-Dielektrikum-Schicht; und Bilden einer Füllschicht auf der p-leitenden Austrittsarbeit einstellenden Schicht.
  19. Verfahren nach Anspruch 18, ferner umfassend: Bilden einer zweiten Sperrschicht auf dem ersten Abschnitt der Gate-Dielektrikum-Schicht, wobei die erste Austrittsarbeit einstellende Schicht auf der zweiten Sperrschicht gebildet wird.
  20. Verfahren nach Anspruch 18 oder 19, wobei das Bilden der n-leitenden Austrittsarbeit einstellenden Schicht Zirkoniumaluminiumcarbid, Hafniumaluminiumcarbid, Niobaluminiumcarbid, Tantalaluminiumcarbid oder Kombinationen davon umfasst.
DE102021113257.6A 2021-03-10 2021-05-21 Halbleiterbauelement und Verfahren Pending DE102021113257A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163158987P 2021-03-10 2021-03-10
US63/158,987 2021-03-10
US17/317,519 US11810948B2 (en) 2021-03-10 2021-05-11 Semiconductor device and method
US17/317,519 2021-05-11

Publications (1)

Publication Number Publication Date
DE102021113257A1 true DE102021113257A1 (de) 2022-09-15

Family

ID=82526916

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021113257.6A Pending DE102021113257A1 (de) 2021-03-10 2021-05-21 Halbleiterbauelement und Verfahren

Country Status (5)

Country Link
US (2) US11810948B2 (de)
KR (1) KR102541232B1 (de)
CN (1) CN114823672A (de)
DE (1) DE102021113257A1 (de)
TW (1) TWI803956B (de)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200411387A1 (en) 2019-06-27 2020-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in gaa structures and structures formed thereby

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10084055B2 (en) * 2017-02-03 2018-09-25 International Business Machines Corporation Uniform threshold voltage for nanosheet devices
KR102293127B1 (ko) 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102295721B1 (ko) * 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10615257B2 (en) * 2018-09-07 2020-04-07 International Business Machines Corporation Patterning method for nanosheet transistors
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200411387A1 (en) 2019-06-27 2020-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in gaa structures and structures formed thereby

Also Published As

Publication number Publication date
US20220293731A1 (en) 2022-09-15
US11810948B2 (en) 2023-11-07
CN114823672A (zh) 2022-07-29
US20230387202A1 (en) 2023-11-30
TW202238733A (zh) 2022-10-01
TWI803956B (zh) 2023-06-01
KR102541232B1 (ko) 2023-06-07
KR20220127117A (ko) 2022-09-19

Similar Documents

Publication Publication Date Title
DE102020107101B3 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102020119976A1 (de) Halbleitervorrichtung und verfahren
DE102021116181A1 (de) Transistor-gatestrukturen und verfahren zum bilden derselben
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung
DE102019121278B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021103538A1 (de) Halbleitervorrichtung und verfahren
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021101001A1 (de) Halbleitervorrichtung und verfahren
DE102021113003B4 (de) Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102020110678B4 (de) Halbleitervorrichtung und -verfahren
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102021118124A1 (de) Transistorisolationsbereiche und Verfahren zum Bilden derselben
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102021112360A1 (de) Halbleitervorrichtung und verfahren
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102021106455A1 (de) Halbleitervorrichtung und verfahren
DE102021102596A1 (de) Halbleitervorrichtung und verfahren
DE102021100990A1 (de) Halbleitervorrichtung und verfahren
DE102020128875A1 (de) Transistor-gate-struktur und verfahren zu deren herstellung
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102020119425A1 (de) Halbleitervorrichtung und Verfahren
DE102020114860A1 (de) Transistor-gates und verfahren zum bilden davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029775000

Ipc: H01L0029423000

R016 Response to examination communication