CN103975419B - 等离子体活化保形电介质膜沉积 - Google Patents

等离子体活化保形电介质膜沉积 Download PDF

Info

Publication number
CN103975419B
CN103975419B CN201280053888.4A CN201280053888A CN103975419B CN 103975419 B CN103975419 B CN 103975419B CN 201280053888 A CN201280053888 A CN 201280053888A CN 103975419 B CN103975419 B CN 103975419B
Authority
CN
China
Prior art keywords
dielectric
dielectric film
nitrogen
rich
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280053888.4A
Other languages
English (en)
Other versions
CN103975419A (zh
Inventor
阿德里安·拉瓦伊
曼迪·斯利拉姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN103975419A publication Critical patent/CN103975419A/zh
Application granted granted Critical
Publication of CN103975419B publication Critical patent/CN103975419B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Abstract

在衬底表面上沉积膜的方法,其包括表面介导反应,在该反应中经过反应物的吸附和反应的一个或多个循环所述膜生长。在一个方面中,该方法的特征在于,在吸附和反应的循环之间,间歇性输送掺杂剂物质到膜。

Description

等离子体活化保形电介质膜沉积
相关申请的交叉引用
根据35U.S.C.§120,本申请作为2011年4月11日提交的美国专利申请No.13/084,399的部分继续申请要求优先权,美国专利申请No.13/084,399主张于2010年4月15日提交的美国临时专利申请号61/324710、于2010年8月10日提交的美国临时专利申请号61/372,367、于2010年9月1日提交的美国临时专利申请号61/379,081、以及于2010年11月29日提交的美国临时专利申请号61/417,807的利益。上述专利申请中的每一个其全部内容通过引用并入本申请,并用于所有目的。本申请也是于2011年4月11日提交的美国专利申请No.13/084,305申请的部分继续申请,其全部内容通过引用并入本申请,并用于所有目的。
背景技术
用于半导体器件的各种薄膜层可利用原子层沉积(ALD)工艺沉积。但是,现有的ALD工艺可能不适合用于沉积高度保形的电介质膜。
发明内容
本文所公开的各个方面涉及在衬底表面上沉积膜的方法。在某些实施方案中,所述方法包括通过表面介导反应沉积膜,在所述反应中经过反应物的吸附和反应的一个或多个循环使膜生长。在一个方面,该方法的特征在于,在吸附和反应的循环之间间歇输送掺杂物质到膜。
在一个方面,公开的方法在反应室中在衬底表面上沉积掺杂氮和/或碳的电介质膜。所述方法的特征在于以下序列:(a)在允许氧化硅或氮化硅前体吸附到所述衬底表面的条件下将所述前体引入所述反应室;(b)随后,在所述前体仍吸附在衬底表面上的同时,将所述前体从所述反应室清除;(c)将所述衬底表面暴露于等离子体以驱动所述衬底表面上的电介质前体的反应以形成所述电介质膜的一部分;(d)在允许掺杂剂前体贡献氮和/或碳到电介质膜的条件下,将含碳和/或含氮的掺杂剂物质引入反应室;及(e)重复(a)-(c)。在各种实施方式中,在(a)-(c)期间不引入在(d)中引入的所述掺杂剂物质。在一些实施方式中,在(a)-(e)期间,将所述衬底保持在温度介于约100℃和350℃之间。在某些实施方式中,在(a)-(c)之前,将衬底表面暴露于含碳和/或含氮的掺杂剂物质。
在某些实施方案中,在(a)-(c)之前和期间,使氧化剂流入所述反应室。在某些情况下,所述氧化硅或氮化硅前体与氧化剂以气相共同存在于所述反应室中。在这种情况下,在所述反应室中所述前体和所述氧化剂可能直至在(c)中暴露于等离子体才会明显地相互反应。
可以使用各种类型的反应物。例如,在某些实施方式中,氧化剂是一氧化二氮。在一些实施方式中,所述氧化硅前体是BTBAS或BDEAS。在一些实施方式中,氮化硅前体是二氯硅烷,BTBAS或BDEAS。在一些情况下,包含掺杂剂的材料是烃、醇、酮、醛、醚、酯、羧酸、四氢呋喃、或呋喃。具体的例子包括甲烷、乙烷、丙烷、乙炔、乙烯、丙烯、叔戊醇、乙醇、丙醇、乙二醇、和丙炔。在一些情况下,包含掺杂剂的材料是氨或胺、腈、酰胺、含氮杂环化合物、或氨基醇。具体的例子包括氨、肼、元素氮、乙腈、叔丁胺、乙醇胺、乙胺和三乙胺。
在上述方法中,可采用使含碳和/或氮掺杂剂物质反应的额外的操作(e)。所述操作将掺杂剂引入膜。在某些实施方式中,操作(e)涉及使含碳和/或氮掺杂剂物质暴露于等离子体。
在某些实施方式中,所述方法另外包括重复(d)和(e)一次或多次。在某些情况下,在重复(d)之前重复(a)-(c)多次。并且在某些例子中,在(a)-(c)的一次或多次的重复之间的间隔执行(d),其中这样的间隔在沉积掺杂氮和/或碳的电介质膜的过程中变化。
在某些情况下,在(a)-(c)过程中沉积的膜的量可为介于约0.5至5埃之间。进一步地,在一些例子中,总的膜厚度为介于10-20000埃之间。
在一些方面,本发明的实施方式涉及用于在衬底表面上沉积掺杂氮和/或碳的电介质膜的装置。所述装置可以包括以下特征:反应室,其包括用于在所述掺杂的电介质膜的沉积过程中容纳所述衬底的设备;一个或多个工艺气体进口,其耦合到所述反应室;和控制器,其被设计或配置成使所述装置执行各种操作。所述操作可以包括:(a)在允许氧化硅或氮化硅前体吸附到所述衬底表面的条件下将该前体引入所述反应室;(b)随后,在所述前体仍吸附在所述衬底表面上的同时,将前体从所述反应室清除;(c)将所述衬底表面暴露于等离子体以驱动所述衬底表面上的电介质前体的反应以形成所述电介质膜的一部分;(d)在允许掺杂剂前体贡献氮和/或碳到电介质膜中的条件下,将含碳和/或含氮的掺杂剂物质引入反应室,在(a)-(c)过程中不引入;及(e)重复(a)-(c)。所述控制器的操作的某些方面可包括在方法操作的上下文中如上所描述的细节。
在又一个方面中,一种方法包括以下操作:(a)在反应室中提供衬底;(b)在允许第一反应物吸附到衬底表面的条件下将在气相的第一反应物引入反应室;(c)在第一反应物被吸附在衬底表面上的同时,将在气相的第二反应物引入反应室;(d)将衬底表面暴露于等离子体以驱动衬底表面上的第一和第二反应物之间的反应从而形成的膜的一部分;(e)重复(b)-(d)至少一次;(f)在允许第三反应物吸附到衬底表面上的条件下将第三反应物引入反应室,而在(b)-(e)期间不引入;(g)使第三反应物反应,以产生用于膜的掺杂剂;以及(h)重复步骤(b)-(d)。
这些以及其他的特征将参照相关的附图在下文进行详细描述。
附图说明
图1根据本公开的实施方式示意性示出了示例的保形膜沉积(CFD)工艺的时序图。
图2根据本公开的实施方式示意性示出了另一示例的CFD工艺的时序图。
图3根据本公开的实施方式示意性示出了另一示例的CFD工艺的时序图。
图4根据本公开的实施方式示意性示出了一示例的包括等离子体处理循环的CFD工艺的时序图。
图5根据本公开的实施方式示出了所沉积的膜的湿法蚀刻速率比和沉积温度之间的示例的相关性。
图6根据本公开的实施方式示出了所沉积的膜的湿法蚀刻速率比和膜应力之间的示例的相关性。
图7根据本公开的实施方式示出了所沉积的膜的膜污染物浓度和沉积温度之间的示例的相关性。
图8示意性地示出了包含多个空隙的非平坦的衬底的示例的横截面。
图9根据本公开的实施方式示意性地示出了包括到PECVD工艺的过渡阶段的示例的CFD工艺的时序图。
图10示意性地示出了包含锁孔空穴的间隙填充的示例的横截面。
图11根据本公开的实施方式示意性地示出了包括原位蚀刻的示例的CFD工艺的时序图。
图12A示意性地示出了重入(re-entrant)的间隙填充轮廓的示例的横截面。
图12B根据本公开的实施方式示意性地示出了在原位蚀刻处理过程中图12A的重入的间隙填充轮廓的示例的横截面。
图12C根据本公开的实施方式示意性地示出了在原位蚀刻后在沉积处理过程中图12B的间隙填充轮廓的示例的横截面。
图13根据本公开的实施方式示意性地示出了示例的处理站。
图14根据本公开的实施方式示意性地示出了包括多个处理站和控制器的示例性处理工具。
图15根据本公开的实施方式示意性地示出了在包括原位蚀刻的CFD工艺过程中的硅通孔的示例的横截面图。
图16示出了用于沉积掺杂电介质膜的操作的基准CFD操作顺序。
图17呈现了用于SiOC、SiON和SiCN的沉积的CFD脉冲序列的示意性描绘图。
图18呈现了用于沉积掺杂电介质膜的CFD操作顺序。
具体实施方式
半导体器件的制造通常涉及在集成制造工艺中在非平坦的衬底上沉积一个或多个薄膜。在集成工艺的一些方面,沉积与衬底形貌共形的薄膜可能是有用的。例如,氮化硅膜可以沉积在增高的栅极堆叠(elevated gatestack)的顶部作为间隔层以保护轻掺杂的源极和漏极区域免受随后的离子注入工艺的损坏。
在间隔层沉积工艺中,化学气相沉积(CVD)工艺可用于在非平坦的衬底上形成氮化硅膜,然后对其进行各向异性蚀刻以形成间隔结构。然而,随着栅堆叠之间的距离减小,CVD气相反应的物质运输限制可能会导致“面包块”(“bread-loafing”)沉积效应。这些效应通常导致在栅堆叠的顶面出现较厚的沉积物并且在栅堆叠的底部角落出现较薄的沉积物。此外,由于一些管芯(die)可以有不同器件密度的区域,因此在整个晶片表面的物质运输效应可能会导致在管芯内和晶片内的膜厚度的变化。这些厚度的变化可能导致在一些区域的过蚀刻和在其他区域的蚀刻不足。这会降低器件的性能和/或管芯产量。
解决这些问题的一些方法包括原子层沉积(ALD)。相比于使用热激活气相反应以沉积膜的CVD工艺,ALD工艺使用表面介导沉积反应以逐层沉积薄膜。在一个示例的ALD工艺中,包括多个表面活性位点的衬底表面被暴露于气相分布的第一膜前体(P1)。P1的一些分子可以在衬底表面顶部形成凝聚相,其包括P1的化学吸附类物和物理吸附分子。然后将反应器抽空,以除去气相和物理吸附的P1,以便只有化学吸附类物留下。然后引入第二膜前体(P2)到反应器中,使得一些P2的分子吸附到衬底表面。可以再次抽空该反应器,这时去除未被约束的P2。接着,提供于衬底的热能激活P1和P2的吸附分子之间的表面反应,形成膜层。最后,将该反应器抽空,以去除反应副产物和可能未反应的P1和P2,结束ALD循环。可以包括其他ALD循环以增加膜的厚度。
在一个示例中,根据投配步骤前体的暴露时间和前体的粘着系数,每个ALD循环可以沉积厚度在0.5和3埃之间的膜层。因此,当沉积超过几纳米厚的膜时,ALD工艺可能是耗时的。此外,一些前体可以具有长的暴露时间,以沉积保形膜,从而也可以降低晶片吞吐的时间。
保形膜也可以沉积在平坦的衬底上。例如,可以由包含不同类型膜的平坦堆叠层形成光刻图案化应用中的抗反射层。这样的抗反射层厚度可介于约100至1000埃之间,使得ALD工艺的吸引力不及CVD工艺。然而,相比于许多CVD工艺可能提供的晶片内厚度变化的容差,这样的抗反射层还可以具有晶片内厚度变化的较低的容差。例如,600埃厚的抗反射层可以有小于3埃的厚度范围的容差。
相应地,本发明提供了用于在非平坦的和平坦的衬底上提供等离子体激活的保形膜沉积(CFD)的工艺和设备的多个示例。这些示例包括在一些但不是在所有的CFD工艺中采用的多种特征。在这些特征中有:(1)消除或减少从反应室中“清扫”一种或两种反应物所需的时间,(2)提供至少一种反应物的连续流,同时让不同的反应物断续地流入到反应室中,(3)当反应物中的一种以气相存在时,而不是当所有的反应物被清除出反应室时,点燃等离子体,(4)用等离子体处理沉积的CFD膜以修改膜的性能,(5)通过CFD沉积膜的第一部分后,通常在相同的反应室中,通过PECVD沉积膜的一部分,(6)在CFD的阶段之间蚀刻部分地沉积的膜,以及(7)通过仅对膜的沉积的循环插入杂质输送循环,对CFD膜掺杂。当然,上面所罗列的并不详尽。研究本说明书的其余部分,各种其它CFD特征将是显而易见的。
CFD“循环”的构思与这里所讨论的各种示例有关。一般来说,循环是执行一次表面沉积反应所需的最小组的操作。一个循环的结果是在衬底表面上产生至少部分膜层。通常情况下,CFD循环将只包括那些将每种反应物输送并吸附到衬底表面,且接着使这些吸附的反应物形成部分膜层所必需的步骤。当然,循环可包括若干辅助步骤,如清扫反应物或副产物中的一种,和/或处理所沉积的部分膜。一般情况下,循环包含仅仅一种情形的独特操作序列。作为示例,一个循环可以包括以下操作:(i)输送/吸附反应物A,(ii)输送/吸附反应物B,(ⅲ)将B清扫出反应腔室,以及(iv)施加等离子体以驱动A和B的表面反应,从而在表面上形成部分膜层。
上述7种特征现在将作进一步讨论。在下面的描述中,考虑CFD反应,在该CFD反应中,一种或多种反应物吸附到衬底表面,然后通过与等离子体的相互作用,发生反应,从而在该表面上形成膜。
特征1(反应物的连续流)-当反应物A在传统的ALD中正常不会流动时,让反应物A在CFD循环的一个或多个部分的过程中连续流到反应室。在传统的ALD中,反应物A流动只为了让该反应物吸附在衬底表面。在ALD循环的其他阶段,反应物A不流动。然而,根据本发明所描述的某些CFD示例,反应物A不仅在与其吸附有关的阶段期间流动,而且在执行吸附A的操作之外的的操作的CFD循环的阶段流动。例如,在许多示例中,在反应物A流动到反应器中的同时,装置正投配第二反应物(本文称作反应物B)。因此,在CFD循环的至少一部分的期间,反应物A和B以气相共存。另外,反应物A可以流动,同时施加等离子体以驱动在衬底表面的反应。注意,可结合载气-如氩气将连续流动的反应物输送到反应室。
连续流的示例的一个优点是,所形成的流避免了由与开启流与关闭流相关的使流瞬态启动并稳定而导致的延迟以及流的变化。
作为具体的示例,可通过保形膜沉积工艺,使用主要反应物(有时也被称为“固体组分”前体,或在本示例中,简单称作“反应物B”)沉积氧化膜。双(叔-丁基氨基)硅烷(BTBAS)是一种这样的主要反应物。在此示例中,氧化物沉积工艺涉及氧化剂的输送,如氧或一氧化二氮的输送,其在不同的暴露阶段,在主要反应物的输送过程中,初始地并且连续地流动。在不同的等离子体暴露阶段,氧化剂也连续流动。参见例如图1中所示的序列。相比而言,在传统的ALD工艺中,当固体组分前体输送到反应器中时,氧化剂的流将停止。例如,当输送反应物B时,反应物A的流将停止。
在一些具体的示例中,连续流动的反应物是“辅助”的反应物。如本文所用,“辅助”的反应物是任何并非主要反应物的反应物。正如上文所述,主要反应物包含在室温下是固体的元素,该元素用于由CFD形成的膜。这样的元素的示例是金属(例如,铝和钛)、半导体(例如,硅和锗)、和非金属或类金属(例如,硼)。辅助的反应物的示例包括氧气、臭氧、氢、一氧化碳、一氧化二氮、氨、烷基胺、和其他类似物。
连续流动的反应物可以以恒定的流率或以变动但可控的流率提供。在后者的情况下,作为示例,在输送主要反应物时,在暴露阶段期间,辅助反应物的流率可能下降。例如,在氧化物沉积过程中,氧化剂(例如,氧或一氧化二氮)在整个沉积序列可以连续流动,但是在输送主要反应物(例如,BTBAS)时,其流率可能下降。这在BTBAS的投配期间,会增加其局部压强,从而减少布满衬底表面所需要的暴露时间。点燃等离子体之前不久,氧化剂的流量可以增加,以减少等离子体暴露阶段期间BTBAS存在的可能性。在一些实施方式中,连续流动的反应物在两个或两个以上的沉积循环的过程中以变动的流率流动。例如,反应物可以在第一CFD循环以第一流率流动,而在第二CFD循环以第二流率流动。
当采用多个反应物且其中之一的流动是连续的时,在CFD循环的一部分的过程中,其中的至少两者将以气相共存。同样,在输送第一反应物后没有执行清除步骤时,两种反应物将共同存在。因此,使用在没有施加激活能量的气相中不会彼此明显发生反应的反应物可能是重要的。通常情况下,反应物应当直到其出现在衬底表面上并暴露于等离子体或另一合适的非热激活条件时才反应。选择这样的反应物涉及考虑至少(1)所希望的反应的热力学适宜度(吉布斯自由能<0),和(2)反应的激活能量,其应该是足够大,以便在所需的沉积温度的反应可以忽略不计。
特征2(减少或消除清扫步骤)-在某些实施方式中,该工艺省去或减少与清扫步骤相关联的时间,清扫步骤在传统的ALD中通常会执行。在传统的ALD中,在每种反应物输送并吸附到衬底表面后,进行单独的清扫步骤。在传统的ALD清扫步骤中,很少或没有吸附或反应发生。在CFD循环中,在输送至少一种反应物后,减少或消除该清扫步骤。图1示出了去除了清扫步骤的处理序列的示例。没有执行从反应室清扫反应物A的清扫步骤。在某些情况下,在CFD循环输送第一反应物后,没有进行清扫步骤,但是在输送第二反应物或者最后输送的反应物输送后,可以选择地进行清扫步骤。
CFD的“清扫”步骤或阶段的构思出现在本发明的各种实施方式的讨论中。一般来说,清扫阶段从反应室去除或清除气相反应物中的一种,并且通常仅在该反应物的输送完成后进行。换言之,该反应物在清扫阶段不再输送到反应室。然而,在清扫阶段期间,该反应物保持吸附在衬底表面上。通常情况下,清扫用于在衬底表面吸附该反应物至所需的水平后去除室中的任何残留的气相反应物。清扫阶段也可以从所述衬底表面去除弱吸附的物质(例如,某些前体配位体或反应副产物)。在ALD中,清扫阶段对于防止两种反应物的气相反应或者防止一种反应物与热的、等离子体的相互作用或者用于表面反应的其他的驱动力的相互作用而言,被视为是必要的。在一般情况下,并且除非另有规定,否则,清扫阶段可通过以下步骤完成:(ⅰ)将反应室抽空,和/或(ii)使不包含将被清扫出的物质的气体流动通过该反应室。在情形(ii)中,这样的气体可以是,例如,惰性气体或辅助反应物,如连续流动的辅助反应物。
消除清扫阶段可以在有或无其它反应物的连续流的情况下完成。在图1中所示的实施方式中,反应物A在其到衬底表面上的吸附完成后,没有被清扫掉,而是继续流入(图中由标号130示出)。
在使用两个或两个以上的反应物的各种实施方式中,其清扫步骤被消除或减少的反应物是辅助反应物。作为示例,辅助反应物是氧化剂或氮源,而主要反应物是含硅、硼、或锗的前体。当然,主要反应物的清扫也可以被减少或消除。在一些实施方式中,在辅助反应物输送之后,不执行清扫步骤,但在主要反应物输送之后,可选地执行清扫步骤。
如所提及的,清扫阶段不必完全消除,而只是相比于传统的ALD工艺中的清扫阶段减少持续时间。例如,在CFD循环过程中,诸如辅助反应物之类的反应物的清扫阶段可进行约0.2秒或更短,例如,介于约0.001至0.1秒之间。
特征3(当反应物中的一种以气相存在时点燃等离子体)-对于该特征,点燃等离子体,然后将所有的反应物从反应室中清除。这与传统的ALD工艺相反,在传统的ALD工艺中,只有气相反应物不再存在于反应室中后,才激活等离子体或进行其他反应驱动操作。注意,在如图1所描绘的CFD循环的等离子体部分的期间反应物A连续流动时,这样的特征必然会发生。然而,本公开的实施方式并不限于这种方式。一种或多种反应物可以在CFD循环的等离子体阶段流动,但不必在CFD循环过程中连续流动。此外,在等离子体激活过程中处于气相的反应物可以是主要反应物或辅助反应物(当在CFD循环中采用两种反应物时)。
例如,序列可以是:(i)引入反应物A,(ii)清除A,(iii)引入反应物B并且当B正在流动时激励等离子体,及(iv)清除。在这样的实施方式中,该工艺采用来源于气相的等离子体激活反应物。这是一个一般的示例,其中CFD不限于序列步骤的序列。
如果在向反应器供应固体组分前体(主要反应物)的时间阶段提供激活等离子体,则阶梯覆盖性(step coverage)可能变得不那么保形,但沉积速率通常会增大。但是,如果只有在输送一种辅助反应物的过程中进行等离子体激活,则情况并不一定是如此。等离子体可以激活气相辅助成分以使其更易反应,从而增加其在保形膜沉积反应中的反应性。在某些实施方式中,该特征在沉积含硅膜(例如氧化物、氮化物或碳化物)时采用。
特征4(沉积CFD膜的等离子体处理)-在这些实施方式中,等离子体在保形膜沉积过程中可起到两种或两种以上的作用。其作用之一是,在每个CFD循环中激活或驱动膜形成反应。其另一个作用是,在经过一个或多个CFD循环后CFD膜部分或完全沉积之后,处理膜。等离子体处理的目的是改变膜的一种或多种属性。通常情况下,但并不一定,进行等离子体处理阶段的条件与那些用于激活膜形成反应(即,驱动膜形成反应)而采用的条件是不同的。作为一个例子,等离子体处理也可以在还原或氧化环境存在时进行(例如,在氢或氧存在时),而这在CFD循环的激活期间是不必要的。
可以在CFD工艺的每个循环期间、每隔一个循环期间、或在更少频率的基础上,执行等离子体处理操作。该处理可以是定期进行的、与固定的CFD循环数相结合的,或者其可以可变地(例如,在CFD循环的不同的间隔)或者甚至是随机地执行。在一个典型的例子中,进行几个CFD循环的膜沉积,以达到适当的膜厚度,然后采用等离子体处理。此后,再次进行没有等离子体处理的若干CFD循环的膜沉积,然后再次进行等离子体处理。这种x次CFD循环的超序列及紧接着的等离子体处理(膜改性),可以重复进行,直到膜通过CFD完全形成。
在某些实施方式中,所述等离子体处理可在CFD循环开始之前进行,以改变沉积CFD膜的表面的一种或多种属性。在各种实施方式中,表面是由硅(掺杂的或不掺杂的)或含硅物质形成的。改变后的表面能够更好地产生与随后沉积的CFD膜之间的高品质界面。该界面可通过诸如减少故障而提供例如良好的附着力、可靠的电气性能等。
衬底在CFD之前的预处理,并不限于任何特定的等离子体处理。在某些实施方式中,预处理涉及,在氦、氢、氩、氮、氢/氮形成气体、和/或氨的存在下,暴露于氢等离子体、氮等离子体、氮/氢等离子体、氨等离子体、氩等离子体、氦等离子体、氦退火、氢退火、氨退火、和UV-固化。等离子体处理可以用各种等离子体发生器实现,包括但不限于,用微波、ICP-远程、ICP-直接(direct)和本领域技术人员公知的其他手段来实现。
总体而言,该处理可发生在CFD循环之前、期间和之后。当在CFD循环期间发生时,可以根据适当的沉积条件选择处理频率。通常情况下,处理发生的频率每个循环不超过一次。
作为一个例子,考虑从存在有一些碳的前体形成氮化硅的工艺。这类前体的示例包括BTBAS。作为前体中存在有碳的后果,所沉积的氮化物膜包括一些碳杂质,这可能会降低氮化物的电性能。为了克服这种问题,在使用含碳前体的几个CFD循环后,部分沉积的膜在等离子体的存在下暴露于氢,以减少并最终去除碳杂质。
可以选择用于修改膜表面的等离子体条件,以实现膜性质和/或组成物的所希望的改变。在各个等离子体条件中,对于所需修改,可以选择和/或修整的是:氧化条件、还原条件、蚀刻条件、用于产生等离子体的功率、用于产生等离子体的频率、用于产生等离子体的两种或更多的频率的使用、等离子体密度、等离子体和衬底之间的距离,等等。CFD膜可以通过等离子体处理进行修改的性能的示例包括:内部膜应力、耐蚀刻性、密度、硬度、光学性质(折射率、反射率、光密度、等等)、介电常数、碳含量、电性能(Vfb扩散等)、及类似性能。
在一些实施方式中,使用除等离子体处理以外的处理,以修改所沉积的膜的属性。这样的处理包括电磁辐射处理、热处理(例如,退火或高温脉冲)、及类似处理。任何这些处理可以单独进行,或者与包括等离子体处理的另一种处理组合进行。任何这样的处理可以用来替代任何上述等离子体处理。在一个特定的实施方式中,该处理涉及把该膜暴露于紫外线辐射。正如下面所描述的,在一个特定的实施方式中,该方法涉及对CFD膜氧化物在原位(即,在形成膜的过程中)应用UV-辐射或对沉积后的氧化物应用UV-辐射。这样的处理可以用来减少或消除结构缺陷,并提供改进的电性能。
在某些特定的实施方式中,UV处理可以和等离子体处理结合使用。这两种操作可以同时执行或顺序执行。在顺序执行选项中,可选地,UV操作首先进行。在同时执行的选项中,这两个处理可以配置不同的源(例如,RF功率源用于等离子体,灯用于UV),或配置单一的源,例如氦等离子体,其产生紫外线辐射副产物。
特征5(通过CFD并且接着过渡到PECVD进行沉积)-在这样的实施方式中,已完成的膜部分由CFD产生,且部分由诸如PECVD等CVD工艺产生。通常情况下,沉积工艺的CFD部分首先进行,PECVD部分其次进行,但这并不是必然的。与仅用CVD相比,混合CFD/CVD工艺可以改善阶梯覆盖性(step coverage),与仅用CFD相比,混合CFD/CVD工艺还额外地提高了沉积速率。在某些情况下,当一种CFD反应物正在流动时,施用等离子体或其他激励,以产生寄生CVD操作,从而实现较高的沉积速率、不同级别的膜,等等。
在某些实施方式中,可以采用两个或更多的CFD阶段,和/或可以采用两个或更多的CVD阶段。例如,膜的初始部分可通过CFD沉积,随后膜的中间部分通过CVD沉积,且膜的最后部分通过CFD沉积。在这样的实施方式中,在通过CFD沉积膜的后面的部分之前,诸如通过等离子体处理或蚀刻修改膜的CVD部分,可能是需要的。
在CFD阶段和CVD阶段之间可以采用过渡阶段。在这样的过渡阶段中采用的这些条件,与在CFD阶段或CVD阶段所采用的那些条件不同。通常情况下,虽然并不一定,这些条件同时允许CFD表面反应和CVD类型气相反应。过渡阶段通常涉及暴露在等离子体中,其例如可以是脉冲的。另外,在过渡阶段,可以涉及以低流率(即,速率明显低于该工艺中相应的CFD阶段所采用的速率)输送一种或多种反应物。
特征6(通过CFD沉积,蚀刻,然后进一步通过CFD沉积)-在这样的实施方式中,进行一个或多个循环(通常是多个循环)的CFD沉积,然后将得到的膜进行蚀刻以去除,例如,在凹部入口(尖端)处或附近的一些多余的膜,然后进一步进行CFD的沉积循环。沉积膜中结构特征的其他实施例,可以以类似方式蚀刻。用于该工艺的蚀刻剂的选择将取决于被蚀刻的材料。在某些情况下,可以用含氟蚀刻剂(例如,NF3)或氢进行蚀刻操作。
在某些实施方式中,用远程等离子体来产生蚀刻剂。一般来说,相较于直接等离子体,远程等离子体蚀刻具有更好的各向同性。远程等离子体通常对衬底提供相对较高比例的自由基。这些自由基的反应性可以随在凹部内的垂直位置的变化而变化。在特征的顶部,自由基较为集中,因而会以较高的速率蚀刻,而朝凹部进一步向下及在底部,部分自由基已丢失,因此,它们以较低的速率蚀刻。当然,这是一种理想的反应性分布,用于解决发生在凹部开口处的太多沉积的问题。在蚀刻中使用远程等离子体的额外好处是等离子体相对和缓,因此不可能损坏衬底层。当下伏的衬底层对氧化或其他损坏敏感时,这是特别有益的。
特征7(用额外的反应物修整膜的成分)-本文提出的许多实施方式涉及采用一种或两种反应物的CFD工艺。另外,许多示例在每一个CFD循环中采用相同的反应物。然而,这并不是必然的。首先,许多CFD工艺可使用3种或更多的反应物。示例包括(i)使用乙硼烷、六氟化钨、和氢作为反应物的钨CFD;和(ii)使用乙硼烷、BTBAS、和氧作为反应物的氧化硅CFD。乙硼烷可以从生长中的膜中除去,或,如果合适的话,它可以被并入膜中。
此外,一些示例可以仅仅在某些CFD循环中采用额外的反应物。在这样的示例中,基本的CFD工艺循环仅仅采用创建基膜组合物的反应物(例如,氧化硅或碳化硅)。在所有或几乎所有的CFD循环中进行这个基本的工艺。然而,一些CFD循环作为不同的循环进行,且它们使用不同于正常沉积循环的条件。例如,它们可以采用一种或更多的额外反应物。这些不同的循环也可以采用与基本的CFD工艺中所用的反应物相同的反应物,尽管这并不是必然的。
这样的CFD工艺对于制备掺杂的氧化物或其他掺杂的物质作为CFD膜是特别有益的。在一些实施方式中,掺杂剂前体仅仅在一小部分CFD循环中被包括作为“额外”的反应物。添加掺杂剂的频率取决于所期望的掺杂剂浓度。例如,在每10个基本物质沉积循环中可以有一个循环包含掺杂剂前体。
不同于许多其他的沉积工艺,尤其是那些需要热激活的工艺,CFD工艺可在相对较低的温度下进行。一般来说,CFD的温度在约20至400℃之间。可以选择这样的温度,以允许在温度敏感工艺的背景下沉积(例如在光致抗蚀剂的芯(core)上的沉积)。在一个特定的实施方式中,约20至100℃之间的温度被用于双重图案化应用(使用,例如,光致抗蚀剂的芯)。在另一个实施方式中,约200至350℃之间的温度用于存储器制造处理(memoryfabrication processing)。
如上文所述,CFD非常适合于在先进技术节点沉积膜。因此,例如,CFD工艺可以集成于在32纳米节点、22纳米节点、16纳米节点、11纳米节点、和超过这些的工艺中。这些节点描述在半导体国际技术路线图(ITRS)中,业内人士多年来对微电子技术要求达成了共识。一般来说,它们引用存储单元的半节距。在一个特定的示例中,CFD工艺被应用到“2X”设备(具有在20-29nm范围内的特征的设备)和更精细的设备。
虽然本文提供的CFD膜的例子大多数涉及硅基微电子器件,但该些膜也可以用于其他领域。使用非硅半导体(例如GaAs和其它III-V族半导体,以及II-VI族材料(例如HgCdTe))的微电子或光电子,可以得益于使用本文所公开的CFD工艺。保形电介质膜在太阳能领域(例如光电器件)、电致变色领域、和其他领域的应用是可能的。
图1示意性地显示了等离子体激活CFD工艺的示例性实施方式的时序图100。描述了两个完整的CFD循环。如图所示,每一个都包括暴露于反应物A阶段120,紧接着暴露于反应物B阶段140,清扫反应物B阶段160,和最后等离子体激活阶段180。在等离子体激活阶段180A和180B期间提供的等离子体能量激活表面吸附的反应物A和B之间的反应。在所描述的实施方式中,一种反应物(反应物A)被传送之后不进行清扫阶段。事实上,这种反应物在膜沉积处理期间连续地流动。从而,当反应物A处于气相时,点燃等离子体。上述特征1-3体现在图1的例子中。
在所示实施方式中,反应物气体A和B可以在气相中共存而不发生反应。因此,在ALD工艺中描述的一个或多个处理步骤可以在这个示例的CFD工艺中缩短或消除。例如,可以消除A暴露阶段120A和120B之后的清扫步骤。
CFD工艺可以用于沉积任何数量的不同类型的膜。虽然本文提出的大多数示例涉及介电材料,但所公开的CFD工艺也可用于形成导电性材料膜和半导体材料膜。氮化物和氧化物是特征性的介电材料,但也可以形成碳化物、氮氧化物、掺杂碳的氧化物、硼化物等等。氧化物包括的材料范围很广,包括未掺杂的硅酸盐玻璃(USG)、掺杂的硅酸盐玻璃。掺杂的玻璃的示例包括掺硼硅酸盐玻璃(BSG)、磷掺杂的硅酸盐玻璃(PSG)、硼磷掺杂的硅酸盐玻璃(BPSG)。
在一些实施方式中,硅氮化物膜可以通过含硅反应物与一种或更多的含氮反应物和/或含氮反应物的混合物之间的反应形成。含硅反应物的实施例包括,但不限于,双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2或BTBAS),二氯甲硅烷(SiH2Cl2),和氯硅烷(SiH3Cl)。含氮反应物的示例包括,但不限于,氨、氮、和叔丁基胺((CH3)3CNH2或叔丁基胺)。含氮反应物混合物的示例包括,但不限于,氮和氢的混合物。
可根据各种膜和/或硬件条件选择一种或多种反应物。例如,在一些实施方式中,硅氮化物膜可以由二氯硅烷和等离子体激活氮反应形成。把二氯硅烷化学吸附至硅氮化物表面可创设出硅-氢封端的表面,释放出氯化氢(HCl)。该化学吸附反应的示例示意性地描述在反应1中。
反应1
反应1中所示的环状中间体可接着通过与等离子体激活氮的反应被转化成硅胺封端的表面。
然而,二氯硅烷的一些分子可通过其他的机制化学吸附。例如,表面形貌可阻碍反应1中描绘的环状中间体的形成。另一种化学吸附机制的示例示意性地显示于反应2中。
反应2
在随后氮的等离子体激活过程中,反应2中所示的中间产物的剩余氯原子可以被释放出来,并可通过等离子体激活。这可导致氮化硅表面的蚀刻,从而有可能导致氮化硅膜变得粗糙或模糊。另外,残留的氯原子可物理性地和/或化学性地再吸附,有可能污染沉积膜。这种污染可改变硅氮化物膜的物理和/或电性能。此外,激活的氯原子还可导致处理站硬件部分的蚀刻损伤,有可能减少处理站部分的使用寿命。
因此,在一些实施方式中,氯硅烷可取代二氯硅烷。这可降低膜污染、膜损坏、和/或处理站的损坏。反应3示意性地显示了氯硅烷化学吸附的示例。
反应3
虽然在反应3中所示的示例中使用氯硅烷作为含硅反应物,但应理解的是,可以使用任何合适的单取代卤代硅烷。
如上面所解释的,所描述的中间体结构可与氮源反应,以形成氮化硅的硅胺封端的表面。例如,氨可以通过等离子体激活,形成各种氨自由基物质。该自由基物质与中间体反应,形成硅胺封端的表面。
但是,氨可强烈地物理吸附到反应物传送管线、处理站、和排放管道的表面,这可导致清除和抽空时间的延长。此外,氨可以具有与一些气相含硅反应物之间的高反应性。例如二氯硅烷(SiH2Cl2)和氨的气相混合物可产生不稳定的物质,例如二氨基硅烷(SiH2(NH)2)。这些物质可在气相中分解,核化成小颗粒。如果氨与卤代硅烷化学吸附过程中产生的氯化氢反应,也可以形成小颗粒。这些颗粒可在处理站中积聚,在处理站中它们可污染衬底表面,有可能导致集成设备的缺陷,以及在处理站中它们可污染处理站硬件,有可能导致停机时间和清洗(tool down time and cleaning)。小颗粒也可能积聚在排放管中,可能会堵塞泵和鼓风机,并可能使得需要特殊的环境排放管洗涤器和/或冷阱(cold traps)。
因此,在一些实施方式中,被取代的胺可作为含氮反应物使用。例如,由等离子体激活的烷基取代的胺(例如叔丁基胺)形成的各种自由基,可以提供给处理站。相较于氨,被取代的胺(例如叔丁基胺)在操作硬件上可以具有较低的粘附系数,这可能会导致相对较低的物理吸附率和相对较低的工艺清除时间。
此外,这样的含氮反应物可能会形成卤化盐,卤化盐比氯化铵更易挥发。例如,叔丁基氯化铵可能比氯化铵更易挥发。这可以减少停机时间、设备故障、和环保减排费用。
再者,这样的含氮反应物可通过各种副产物反应形成其他氨的前体。例如,叔丁基胺与二氯硅烷反应可生成BTBAS。因此,副产物可以提供形成氮化硅的替代路线,有可能增加膜的产率。在另一个示例中,被取代的胺可提供至氮化硅膜的低温热激活的路线。例如,叔丁基胺在高于300℃的温度热分解,以形成异丁烯和氨。
虽然上面提供的说明性示例描述了:使用叔丁基胺形成硅氮化物膜,但可以理解的是,在本发明公开的范围内,可以采用任何合适的被取代的胺。在一些实施方式中,可以基于热力学特性和/或反应物的活性的特点,选择合适的被取代的胺。例如,可以考虑由反应物形成的卤化盐的相对挥发性,可以考虑在有关温度下热分解的各种路径的存在性和选择性。
此外,虽然上面提供的示例描述了硅氮化物膜的沉积,但可以理解的是,上面讨论的原理一般适用于其他膜的沉积。例如,一些实施方式可以联合使用合适的卤代硅烷与合适的含氧反应物(例如氧等离子体),以沉积氧化硅。
在表1提供了反应物、产物膜、以及膜和操作属性范围的一个非限制性的列表。
图1还显示了示例性CFD工艺阶段的各种CFD工艺参数的时间进度的实施方式。图1描绘了两个示例性的沉积循环110A和110B,但应理解的是,CFD工艺可包括任何合适数量的沉积循环以沉积所需的膜厚度。示例性的CFD工艺参数包括,但不限于,惰性气体和反应物的流率、等离子体功率和频率、衬底温度、和处理站压强。表2提供了使用BTBAS和氧的示例性的二氧化硅沉积循环的非限制性的参数范围。
CFD循环通常包含针对每个反应物的暴露阶段。在此“暴露阶段”期间,反应物被输送到处理室,以使得把反应物吸附在衬底表面上。通常情况下,在暴露阶段的开始,在衬底表面并不吸附有任何可评估量的反应物。在图1中,在反应物A暴露阶段120A和B,反应物A以受控的流率提供至处理站,以布满(saturate)衬底的暴露表面。反应物A可以是任何合适的沉积反应物,例如,主要反应物或辅助反应物。在一个示例中,其中CFD产生二氧化硅膜,反应物A可以是氧。在图1所示的实施方式中,在整个沉积循环110A和110B中,反应物A连续地流动。不同于通常的ALD工艺,其中膜前体的暴露被分开以防止气相反应,一些CFD工艺的实施方式中,反应物A和B能以气相混合。如上所指出,在一些实施方式中,选择反应物A和B,以使得它们能够在气相共存,而不会在应用等离子体能量或激活表面反应之前在反应器中会遇到的条件下彼此明显地反应。在某些情况下,选择反应物,以使得(1)它们之间的反应在热力学上是有利的(即,吉布斯自由能<0)和(2)该反应具有足够高的激活能量,以至于在所需要的沉积温度下,反应可以忽略不计。符合这些条件的各种反应物组合在本说明书其他地方有确认。许多这样的组合包括提供在室温下为固体的元素的主要反应物,以及不提供在室温下为固体的元素的辅助反应物。在某些组合中使用的辅助反应物的实施例包括氧、氮、烷基胺、和氢。
在ALD工艺中,反应物A首先被导通,然后稳定,并暴露于衬底,然后关闭,并最终从反应器中移除,相较于ALD工艺,连续地给处理站供给反应物A可减少或消除反应物A的流率导通和稳定时间。虽然在图1所示的实施方式描述了反应物A暴露阶段120A和B具有恒定的流率,但可以理解的是,在本发明公开的范围内可以使用任何合适流量的反应物A,包括可变的流量。另外,虽然图1显示了反应物A在整个CFD循环(沉积循环110A)具有恒定的流率,但这并不是必然的。例如,在B暴露阶段140A和140B期间,反应物A的流率可以降低。这可能会增加B的局部压强,从而增加将反应物B吸附在衬底表面上的驱动力。
在一些实施方式中,反应物A暴露阶段120A可具有超过反应物A布满衬底表面的时间的持续时间。例如,图1所示的实施方式包括在反应物A暴露阶段120A中的反应物A布满后暴露时间130。可选地,反应物A暴露阶段120A包括受控制的惰性气体流率。惰性气体的示例包括,但不限于,氮气、氩气和氦气。可以提供惰性气体,以协助处理站的压强和/或温度控制、液态前体的蒸发、更快速地传送前体和/或作为清扫气体用于从处理站和/或处理站管道除去工艺气体。
在图1所示实施方式的反应物B暴露阶段140A,反应物B以受控的流率供给到处理站,以布满暴露的衬底表面。在一个二氧化硅膜示例中,反应物B可以是BTBAS。尽管图1所示实施方式描述了具有恒定流率的反应物B暴露阶段140A,但应理解的是,在本发明公开的范围内,可以使用任何合适流量的反应物B,包括可变流量的反应物B。此外,应理解的是,反应物B暴露阶段140A可具有任何合适的持续时间。在一些实施方式中,反应物B暴露阶段140A的持续时间可以超过反应物B的衬底表面布满时间。例如,图1所示实施方式描述了包括在反应物B暴露阶段140A中的反应物B布满后的暴露时间150。可选地,反应物B暴露阶段140A可包括合适的受控惰性气体流,该惰性气体流如上所述,可以协助处理站的压强和/或温度控制、液态前体的蒸发、前体的更快速传送,并且可以防止处理站气体反扩散(back-diffusion)。在图11所示实施方式中,在整个反应物B暴露阶段140A,惰性气体持续地供给到处理站。
在一些实施方式中,等离子体激活的沉积反应,相较于热激活反应,可导致较低的沉积温度,这有可能减少集成方法中可用热预算(thermal budget)的消耗。例如,在一些实施方式中,可以在室温下发生等离子体激活的CFD过程。
虽然图1所示实施方式的CFD工艺是用等离子体激活的,但应理解的是,在本发明公开的范围内,可以使用其他非热能源。非热能源的非限制性示例包括,但不限于,紫外灯、下游或远程等离子体源、感应耦合等离子体和微波表面波等离子体。
此外,本文所讨论的许多示例包括两种反应物(A和B),可以理解的是,在本发明公开的范围内,也可以采用任何适当数量的反应物。在一些实施方式中,可以使用用于供应等离子体能量以进行反应物的表面分解反应的单个反应物和惰性气体。可替代的是,如上文在特征7的背景中所讨论的,一些实施方式可以使用三种或更多的反应物沉积膜。
在某些情况下,表面吸附的B物质可以在衬底表面上以不连续的岛状物存在,这使得难以实现表面布满反应物B。各种表面条件可能会推迟反应物B在衬底表面上的成核和布满。例如,反应物A和/或B吸附时释放的配位体可能阻止一些表面活性点,防止反应物B的进一步吸附。因此,在一些实施方式中,在反应物B暴露阶段140A期间,通过调制流量和/或离散施加脉冲方式把反应物B供入处理站,可以提供反应物B连续吸附层(adlayers)。相较于恒定流率的情况,这可在保护反应物B的同时,提供额外的时间用于表面吸附过程和去吸附过程。
额外地或可替代地,在一些实施方式中,在反应物B连续暴露之间,可以包括一个或更多清扫阶段。例如,图2所示实施方式示意性地显示了用于沉积循环210的示例性CFD工艺的时序图200。在反应物B暴露阶段240A,反应物B暴露于衬底表面。随后,在清扫阶段260A,反应物B被关闭,并从处理站中除去气相的反应物B。在一种情况下,气相反应物B可以被连续流动的反应物A和/或惰性气体替代。在另一种情况下,气相反应物B可通过抽空处理站而被移除。去除气相反应物B可以转变吸附/去吸附过程的平衡,去吸附配位体,促进被吸附B的表面重排以合并被吸附B的不连续的岛。在反应物B暴露阶段240B,反应物B再次暴露于衬底表面。虽然图2所示实施方式包括反应物B的清扫和暴露循环的一种情形,但应理解的是,在本发明公开的范围内,也可以采用重复任何适当数量的交替的清扫和暴露循环。
回到图1的实施方式,在180A通过等离子体激活之前,在一些实施方式中,可以在清扫阶段160A从处理站除去气相反应物B。除了上述暴露阶段之外,CFD循环还可以包括一个或多个清扫阶段。清扫处理站可避免气相反应,在这样的气相反应中,反应物B是容易受到等离子体激活的影响。此外,清扫处理站可以去除吸附在表面的配体,否则的话,这些配体会留下来并污染膜。清扫气体的示例包括,但不限于,氩气、氦气和氮气。在图1所示示例中,清扫阶段160A的清扫气体由惰性气体流供给。在一些实施方式中,清扫阶段160A可包括一个或多个抽空亚阶段用于抽空处理站。替代地,可以理解的是,在一些实施方式中,也可以省略清扫阶段160A。
清扫阶段160A可具有任何合适的持续时间。在一些实施方式中,增大一种或多种清扫气体的流率可以减少清扫阶段160A的持续时间。例如,可根据各种反应物的热力学特性和/或处理站的几何特征和/或处理站管道的几何特征调整清扫气体的流率,从而调整清扫阶段160A的持续时间。在一个非限制性的示例中,可以通过调整清扫气体流率优化清扫阶段的持续时间。这可减少沉积循环的时间,从而可提高衬底的吞吐率。
除了上述的暴露和可选清扫阶段之外,CFD循环通常还包括“激活阶段”。该激活阶段用于驱动吸附在衬底表面上的一种或多种反应物的反应。在图1所示的实施方式中的等离子体激活阶段180A,提供等离子体能量以激活表面吸附的反应物A和B之间的表面反应。例如,等离子体可直接或间接激活反应物A的气相分子,以形成反应物A的自由基。然后,这些自由基可以与表面吸附的反应物B相互作用,导致形成膜的表面反应。沉积循环110A结束于等离子体激活阶段180A,在图1所示实施方式中,其后是沉积循环110B,开始反应物A暴露阶段120B。
在一些实施方式中,在等离子体激活阶段180A中点燃的等离子体,可直接在衬底表面上形成。这可以提供更大的等离子体密度以及在反应物A和B之间的增强的表面反应速率。例如,用于CFD工艺的等离子体可通过用两个电容耦合板把射频(RF)场施加到低压气体生成。在可替代的实施方式中,可在主反应室的外面生成远程等离子体。
可以使用任何合适的气体以形成等离子体。在第一个示例中,可以使用惰性气体(例如氩气或氦气)以形成等离子体。在第二个示例中,可以使用诸如氧或氨之类的反应物气体以形成等离子体。在第三个示例中,可以使用清扫气体(例如氮)以形成等离子体。当然,可以使用这些种类型的气体的组合。由RF场在板之间导致的气体的电离点燃等离子体,在等离子体放电区域(plasma discharge region)产生自由电子。这些电子被RF场加速,并可与气相反应物分子相碰撞。这些电子与反应物分子的碰撞可形成参与沉积处理的自由基种类物。可以理解的是,可以通过任何合适的电极耦合RF场。电极的非限制性示例包括工艺气体分布喷头(showerheads)和衬底支撑基座。可以理解的是,除了RF场电容耦合至气体的方法之外,还可以用其他一个或多个合适的方法形成用于CFD工艺的等离子体。
等离子激活阶段180A可具有任何合适的持续时间。在一些实施方式中,等离子体激活阶段180A可具有一定的持续时间,该持续时间超过等离子体活化的自由基与所有暴露的衬底表面和被吸附物进行相互作用以在衬底表面的最上面形成连续膜所需的时间。例如,在图1所示的实施方式包括在等离子体激活阶段180A中的等离子体布满后暴露时间190。
如下文更充分地解释的,并且如在上文对特征4的讨论中所建议的,延长等离子体暴露时间和/或提供多个等离子体暴露阶段,可提供整个沉积膜和/或表面附近部分沉积膜的反应后处理。在一种情况下,通过等离子体处理降低表面污染可制备用于吸附反应物A的表面。例如,由含硅反应物和含氮反应物之间反应形成的氮化硅膜,可以具有抗吸附后续反应物的表面。用等离子体处理氮化硅表面,可以产生有利于后续吸附和反应的氢键。
在一些实施方式中,可以通过改变等离子体参数调整膜的属性(例如膜应力、介电常数、折光率、蚀刻速率),这将在下文更详细地讨论。表3提供了用于在摄氏400度沉积的三种示例性CFD二氧化硅膜的各种膜特性的示例性列表。为便于参考,表3还包括用于在摄氏400度沉积的示例性PECVD二氧化硅膜的膜信息。
例如,图3示意性地显示了CFD工艺时序图300的实施方式,其包括沉积阶段310,和之后的等离子体处理阶段390。应理解的是,在等离子体处理阶段,可以使用任何合适的等离子体。在第一种情况下,可以在沉积循环的激活过程中,使用第一种等离子体气体,且在等离子体处理阶段使用第二种不同的等离子体气体。在第二种情况下,在等离子体处理阶段,第二种不同的等离子体气体可以补充第一种等离子体气体。表4提供了用于原位等离子体处理循环实施例的非限制性参数范围。
在图3所示的等离子体激活阶段380,衬底表面暴露于等离子体以激活膜沉积反应。如图3所示实施方式所描述,处理站被提供有连续的反应物A流,例如,反应物A可以是辅助反应物(例如氧)以及在等离子体处理的清扫阶段390A的惰性气体。清扫处理站可以从处理站去除易挥发的污染物。虽然图3显示了清扫气体,但应理解的是,在本发明公开的范围内,也可以使用任何合适的去除反应物的方法。在等离子体处理激活阶段390B,等离子体被点燃以处理整个新沉积的膜和/或表面附近区域的新沉积的膜。
虽然图3的实施方式包括一个示例的CFD循环,该CFD循环包括等离子体处理阶段,但应理解的是,在本发明公开的范围内,也可以采用任何适当数量的重复。此外,应理解的是,一个或多个等离子体处理循环可(有规律地或以其他方式)间隔插入正常的沉积循环之间。例如,图4显示了CFD工艺时序图400的实施方式,其包括在两个沉积循环之间插入的等离子体处理阶段。尽管图4的实施方式包括插入两个沉积循环之间的等离子体处理循环,但应理解的是,一个或多个等离子体处理循环之前或者之后,可以有任何合适数量的沉积循环。例如,在一种情况下,等离子体处理用来改变膜的密度,等离子体处理循环可以在每间隔十个沉积循环后插入。在一种情况下,等离子体处理用来制备用于吸附和反应的表面,等离子体处理阶段可以,例如,在每个CFD沉积阶段后并入每一个CFD循环。
沉积膜的等离子体处理,可以改变该膜的一个或多个物理特性。在一种情况下,等离子体处理可使新沉积膜变得致密。致密膜比非致密的膜可以更加耐蚀刻。例如,图5显示了对示例性CFD处理的二氧化硅膜和热生长的二氧化硅膜的蚀刻速率做比较的对照500的实施方式。图5的示例性膜的实施方式是在从50到400摄氏度的温度范围内,通过CFD工艺502和504沉积的。作为参考,用于未掺杂硅酸盐玻璃(USG)的相对蚀刻速率和通过等离子体增强CVD工艺沉积的二氧化硅隔离层(spacer layers)的相对蚀刻速率显示在图5中。由在每个沉积循环中包括一秒高频氧等离子体激活阶段的工艺502生产的膜,其耐稀氢氟酸湿法刻蚀(100:1H2O:HF)的能力,是由在每个沉积循环中包括十秒高频氧等离子体激活阶段的工艺504生产的膜的耐稀氢氟酸湿法刻蚀(100:1H2O:HF)的能力的大约一半。因此,应理解的是,通过改变等离子体激活阶段的一个或多个方面和/或包括一个或多个等离子体处理循环可以改变沉积膜的蚀刻速率。
在另一种情况下,膜的等离子体处理可以改变膜的应力特性。例如,图6显示了用于示例性CFD二氧化硅膜的湿法蚀刻速率比和膜应力之间的相关性600的实施方式。在图6显示的实施方式中,例如,通过例如延伸等离子体暴露时间减少湿法蚀刻速率比,可以增加压缩膜应力。
在另一种情况下,沉积膜的等离子体处理可提供瞬态差异性(transientdifferential)去除,以相对于其他膜成分(例如,在一个示例性二氧化硅膜中的硅和/或氧气)去除微量膜污染物(例如,一个示例性二氧化硅膜中的氢、氮和/或碳)。例如,图7显示了沉积温度、等离子体暴露时间、和膜污染物浓度之间的相关性700的实施方式。在图7所示实施方式中,相较于在摄氏50度的温度下沉积的、具有一秒氧等离子体激活阶段的CFD二氧化硅膜702,在相同温度下沉积的、具有10秒钟氧等离子体激活阶段的CFD二氧化硅膜704,显示出较低的氢和碳的浓度。膜污染物浓度的改变可以改变该膜的电气和/或物理性能。例如,对碳和/或氢含量的调节可以调节膜的介电常数和/或膜的蚀刻速率。因此,应理解的是,改变等离子活化阶段的一个或多个方面和/或包括一个或多个等离子体处理循环,可提供改变膜组合物的方法。
虽然上面所讨论的等离子体处理涉及氧等离子体处理,但应理解的是,也可以在不偏离本实施方式的范围的情况下,采用任何合适的等离子体处理。例如,在一些实施方式中,被取代的胺在合适的CFD工艺中可以作为含氮反应物以替代NH3。虽然用被取代的胺(例如,象叔丁基胺这样的烷基胺)替换NH3以沉积保形SiN可提供许多好处,但在某些情况下,沉积膜可含有来自于烷基胺反应物的碳残基(例如,来自于包含在各个叔丁基胺分子(NH2-(CH3)3)中的三个甲基基团的碳残余物)。这种膜内的碳可导致漏电,并可导致膜不能用于一些电介质阻挡层应用。
因此,在一些实施方式中,在SiN膜沉积过程中点燃氢等离子体可降低SiN膜中的碳残余物,这可以相对地提高膜的绝缘性能。在一些示例中,碳残余物的减少可以在红外光谱(FTIR)中容易地观察到。例如,SiN:C-H水平可以从约10%原子降低至约1%原子。
因此,在一些实施方式中,氮化硅膜的沉积可以用CFD工艺使用烷基胺或含于含氮反应物中的烷基胺混合物和一轮或多轮氢等离子体处理。可以理解的是,在不脱离本公开的范围的情况下,也可以采用任何合适的氢等离子体。因此,在一些实施方式中,H2与诸如He或AI等气体的混合物、或其他含H气体、或由远程等离子体源产生的活性氢原子,可用于处理沉积膜。另外,在某些实施方式中,通过改变处理脉冲的数量和它们的持续时间、处理等离子体强度、衬底温度、和工艺气体成分中的一个或多个,膜中的碳含量可以被调整到任何合适的浓度。
虽然上面所讨论的氢等离子体处理涉及氮化硅膜,但应理解的是,可以使用合适的氢等离子体处理应用来调整其他CFD沉积膜(包括,但不限于,SiOx、GeOx、SiOxNy)的碳含量。
本发明公开的某些实施方式涉及氧化物CFD膜的紫外线处理(伴有或不伴有等离子体处理)。该处理可以减少氧化物中的缺陷,并改善诸如栅极介电层的CV特性等电性能。能得益于这样的处理的采用CFD氧化物的设备和封装应用(package applications)包括硅通孔、采用栅氧化层的逻辑技术、浅沟槽隔离(STI)、STI-光致抗蚀剂剥离后形成的薄的热氧化、在P井植入前的牺牲氧化物(例如,~60A)、“井”后热氧化物生长、栅氧化物/沟道区域氧化物、DRAM PMD PECVD氧化物。
在某些情况下,已发现未经处理的CFD氧化物膜具有相对较差的电气性能,据信,这是由于在沉积膜中的固定电荷而导致。例如,已发现一些膜具有显著的晶片内Vfb变化。通过使用紫外线辐射和/或在氢存在下热退火的后沉积处理,这样的问题已得到解决。现认为该方法钝化和/或减轻与在(1)硅界面的氧化物的,或(2)在沉积的电介质膜内的,或(3)在氧化物表面的空气中的固定电荷(表面电荷)有关的缺陷。使用这样的处理,所沉积的氧化物的Vfb扩散在UV固化后已经从8.3V缩窄到约1.5V左右。
虽然这些实施例主要是涉及改善氧化物膜,但本发明所公开的方法一般也可以应用于电介质、金属、金属与电介质界面的制造工艺(engineering)。具体的电介质材料包括,例如,硅氧化物(包括掺杂的硅氧化物)、硅碳化物、硅碳氧化物、硅氮化物、硅氧氮化物、和可灰化的硬掩模材料。
可以应用到改善介电性能的处理方法的示例包括以下内容:
(A)使用UV固化对由CFD合成的电介质膜进行沉积后处理并且接着进行氢退火。在最简单的实施方式中,可以单独使用UV处理以减少固定电荷。
(B)衬底在CFD电介质膜沉积之前的预处理,该预处理所用的处理技术包括:在He、H2、Ar、N2、H2/N2形成的气体、NH3的存在下,H2-等离子体、N2-等离子体、N2/H2-等离子体、NH3-等离子体、Ar-等离子体、He-等离子体、He退火、H2-退火、NH3-退火、UV固化。等离子体处理可用各种等离子体发生器实施,包括,但不限于,用微波、ICP-远程、ICP-直接及类似器件实施。
(C)同时进行的处理(在沉积过程中固化),该处理利用的处理技术包括:在He、H2、Ar、N2、H2/N2形成的气体、NH3的存在下,H2-等离子体、N2-等离子体、N2/H2-等离子体、NH3-等离子体、Ar-等离子体、He-等离子体、He退火、H2-退火、NH3-退火、UV固化。等离子体处理的实施可以用各种等离子体发生器,包括但不限于,微波、ICP-远程、ICP-直接和本领域中已知的其他手段。可以应用的各向同性和定向处理包括,但不限于,远程等离子体、UV暴露、直接等离子体、和微波等离子体。示例性的方法,包括在CFD循环组之间间歇性地处理膜。CFD循环组可在约从1至10000个循环内变化。一种典型的情况包括:(1)5个CFD氧化物生长循环,接着,(2)用任何上面描述的方法(例如,He-等离子体、UV-处理)进行一个或多个膜处理,随后,(3)5个CFD氧化物生长循环。此方法可用于生长具有任何所希望厚度的膜。
(D)UV处理作为任何上述等离子体处理的附带结果(例如,氦等离子体发射紫外辐射)。
在CFD循环过程中原位“固化”步骤的一个示例涉及下列操作:
通过He-等离子体进行的UV处理
BTAAS投配
清除(purge)
O2/Ar-RF等离子体激活
清除
重复步骤1-5以产生所需厚度的膜。
一系列UV固化条件可以用于任何所列环境中。一般来说,该基座温度在固化过程中将保持在约250至500℃之间。对于许多器件的制造应用,温度上限为450℃甚至400℃。在固化过程中所采用的环境可以是惰性的或反应性的。在固化过程中可能存在的气体的示例包括氦气、氩气、氮气、形成气体、和氨气。这类气体的流率可以是约2至20000sccm,优选为约4000至18000sccm。紫外灯的功率可为,例如,约2-10kW,并优选为约3.5至7kW之间。暴露在来自这样的源的紫外线的合适的持续时间是约20秒和200秒之间(例如,约90秒)。最后,压强可以被保持在0乇至约40乇之间水平。
在一个特定的实施方式中,使用下列条件实现CFD氧化物的有效处理:
基座温度=400℃
环境=He
压强=40乇He
流率=10000sccm
在一些实施方式中,在UV固化操作之后进行该氧化物的热退火。在一个示例中,在退火中使用下列条件:
基座温度=400℃
环境=H2+N2
压强=2.5乇
流率=750sccm H2;3000sccm N2
通过调整如沉积温度等其他工艺参数也可以改变沉积的膜的物理和电气特性。例如,如图7所示的示例的相关性700还示出了CFD膜沉积温度和膜污染物浓度之间的关系。随着膜沉积温度升高,膜污染物的掺入量减少。在另一个示例中,如上所述,图5所示的实施方式示出了示例的二氧化硅CFD薄膜的湿法蚀刻速率比随着沉积温度的升高而减少。可以被调节用来调整膜性能的其他沉积参数包括RF功率、RF频率、压强和流率。此外,在一些实施方式中,膜特性可通过改变反应物的选择来改变。例如,通过使用四异氰酸酯硅烷(TICS)作为含硅反应物且氧和/或一氧化二氮作为含氧反应物,可以减少二氧化硅膜的氢含量。
可以理解的是,物理和/或电的膜特性的变化,如上面所讨论的,可提供机会以调整器件的性能和产量,以及提供机会以改变设备制造工艺整合的各个方面。作为一个非限制性的示例,调整CFD二氧化硅膜的蚀刻速率特性的能力可以使该膜作为蚀刻停止、硬掩模、和其他工艺整合应用的候选者。因此,本文中提供了在整个半导体器件的制造工艺中应用的CFD制造的膜的不同的实施方式。
在一个方案中,CFD工艺可以在非平坦的衬底上沉积保形的二氧化硅膜。例如,CFD二氧化硅膜可用于结构的间隙填充,例如,浅沟槽隔离(STI)结构的沟槽填充。虽然下面描述的各种实施例涉及间隙填充应用,但可以理解的是,这仅仅是非限制性的、说明性的应用,利用其它合适的膜材料的其它合适的应用可以在本公开的涵盖的范围内。CFD二氧化硅薄膜的其它应用包括但不限于,层间电介质(ILD)应用、金属间介电(IMD)应用、金属前介电(PMD)应用、电介质衬垫硅通孔(TSV)应用、电阻式RAM(ReRAM)应用、和/或在DRAM中堆叠电容器制造应用。
对硼、磷、甚至砷掺杂剂掺杂的氧化硅可以被用作扩散源。例如,可以使用硼掺杂的硅酸盐玻璃(BSG)、磷掺杂的硅酸盐玻璃(PSG)、或硼磷掺杂的硅酸盐玻璃(BPSG)。掺杂的CFD层可以被用来在例如诸如多栅极FinFET和三维存储器器件等三维晶体管结构中提供保形掺杂。传统的离子注入器不能轻易掺杂侧壁,尤其是在高深宽比结构中。CFD掺杂的氧化物作为扩散源有各种优势。首先,它们在低温条件下提供高保形能力。相比较而言,低压强CVD生产掺杂的TEOS(硅酸四乙酯)是公知的,但其需要在高的温度沉积,且低于大气压的CVD和PECVD掺杂的氧化膜在较低的温度是可能的,但没有足够的保形性。掺杂的保形性是重要的,而且膜本身的保形性也是重要的,因为膜通常是牺牲应用,并且之后将需要被去除。在去除时,非保形的膜通常面临更多的挑战,即某些区域可能被过蚀刻。此外,CFD提供了非常良好的受控掺杂浓度。正如所描述的,CFD工艺可以在提供一些未掺杂的氧化物层之后接着提供单个的掺杂层。掺杂的水平可以由被沉积的掺杂的层使用的频率以及掺杂循环的条件严格控制。在某些实施方式中,掺杂循环通过例如使用具有显著的空间位阻的掺杂剂源来控制。除了传统的硅基微电子外,CFD掺杂的其他应用还包括基于如砷化镓(GaAs)等III-V半导体和如碲镉汞(HgCdTe)等II-VI族半导体的微电子和光电子器件、光伏器件、平板显示器、和电致变色技术。
一些间隙填充工艺涉及在不同的沉积工具执行两个膜沉积步骤,其需要在沉积工艺之间的真空中断并暴露于空气中。图8示意性地示出了包括多个间隙802的示例性的非平坦衬底800。如图8所描绘的,间隙802可以有不同的深宽比,该深宽比可以被定义为每个间隙802的间隙深度(H)与间隙宽度(W)的比例。例如,集成半导体器件的逻辑区可能有对应于不同的逻辑器件结构的不同的间隙深宽比。
如图8所描绘的,非平坦衬底800是由薄的、保形的膜804覆盖的。尽管保形膜804具有完整填充的间隙802A,但间隙802B和间隙802C保持继续开放。用保形膜关闭间隙802B和802C可能导致延长的处理时间。因此,在一些方法中,通过诸如CVD和/或PECVD法等较高的沉积速率工艺可以在非原位沉积更厚的膜。然而,间隙填充膜的非原位沉积可能会减少在生产线中的晶片吞吐量。例如,在沉积工具之间的衬底装卸和转移的时间可能会降低生产期间的一些衬底处理活动。这可能会降低生产线的吞吐量,并且可能在生产线中需要额外的处理工具的安装和维护。
此外,虽然间隙802C可以具有适合于气相沉积工艺的深宽比,但802B可以具有可通过更高的沉积速率工艺导致不完全填充并且可以形成锁孔空隙的深宽比。例如,图10示出了示例性的形成在衬底1002中的高深宽比结构1000。如图10所描绘的,在较厚的膜1006的沉积过程中的面包块效应已产生锁孔空隙1008。锁孔空隙可以重新打开,并在随后的工艺中填充导电膜,这可能会导致设备短路。
处理如间隙802B等高深宽比间隙的一些方法包括提供避免这样的间隙产生的器件设计规则。然而,这样的设计规则可能需要额外的掩模处理步骤,可能使器件的设计困难,和/或可能导致增加的集成的半导体器件的面积,这可能会增加制造成本。因此,在一些实施方式中,CFD工艺可以包括从CFD工艺到CVD和/或PECVD工艺的原位过渡。例如,图9示出了已被分为三个阶段CFD工艺的时序图900的实施方式。CFD工艺阶段902描绘了示例性CFD工艺循环。为清楚起见,单个的CFD工艺循环在如图9所示的示例中所示,但应理解,在CFD工艺阶段902中可以包括任何合适数量的CFD工艺循环和等离子体处理循环。过渡阶段904接续CFD工艺阶段902。如图9的示例所描绘的,过渡阶段904包括CFD工艺和PECVD工艺两者的状态。具体而言,在反应物B曝光阶段904A结束之后反应物B被提供到处理站,以使反应物A和B在等离子体激活阶段904B期间均以气相存在。这可以同时提供PECVD型气相反应和CFD型表面反应。虽然过渡阶段904仅包括反应物B的曝光阶段904A和等离子体激活阶段904B的一次重复,但应该理解,过渡阶段可以包括任何合适数量的重复。
在一些实施方式中,等离子体发生器可以被控制以在等离子体激活阶段904B期间提供间歇脉冲的等离子体能量。例如,等离子体可以在一个或多个频率施加脉冲,该频率包括(但不限于)10Hz到150Hz之间的频率。这与连续等离子体相比可以通过减少离子轰击的定向性而增强阶梯覆盖性。另外,这可以减少对衬底的离子轰击损坏。例如,在连续等离子体期间光致抗蚀剂衬底可通过离子轰击侵蚀。脉冲地施以等离子体能量可以减少光致抗蚀剂的侵蚀。
在图9中所示的实施方式中,在等离子体激活阶段904B期间反应物B的流率小于在反应物B暴露阶段904A期间的反应物B的流率。因此,在等离子体激活阶段904B期间反应物B可以“滴流”到处理站。这可以提供补充CFD-型表面反应的气相PECVD反应。然而,可以理解的是,在一些实施方式中,反应物B的流率可以在单个等离子体激活阶段或在过渡阶段的整个过程中变化。例如,在包括反应物B暴露和等离子体激活的两次重复的过渡阶段,在第一等离子体激活阶段期间反应物B的流率可低于在第二等离子体激活阶段期间反应物B的流率。改变在等离子体激活阶段904B期间反应物B的流率可以提供从CFD工艺阶段902的台阶覆盖特性到PECVD工艺阶段906的沉积速率特性的平稳过渡。
在一些实施方式中,CFD工艺可包括用于选择性地去除沉积的膜的重入部分的原位蚀刻。在表5中提供了用于示例的二氧化硅沉积工艺的非限制性的参数范围,该二氧化硅沉积工艺包括用于间隙填充CFD工艺的原位蚀刻。
图11示出了包括沉积阶段1102、蚀刻阶段1104、和随后的沉积阶段1106的CFD工艺的时序图1100的一实施方式。在图11所示的实施方式中的沉积阶段1102,膜被沉积在衬底的暴露的表面上。例如,沉积阶段1102可以包括一个或多个CFD工艺沉积循环。
在图11所示的实施方式的蚀刻阶段1104,反应物A和B都被关闭,且蚀刻气体被引入到处理站。蚀刻气体的一个非限制性示例是氟化氮(NF3)。在图11所示的实施方式中,蚀刻气体在蚀刻阶段1104期间通过点燃的等离子体激活。在用于选择性地去除在非平坦衬底上的沉积的膜的重入部分的蚀刻阶段1104期间,可以调节各种工艺参数,诸如处理站压强、衬底温度、蚀刻气体的流率。在本公开的范围内,也可以采用任何合适的蚀刻工艺。其他示例的蚀刻工艺包括但不限于,反应性离子蚀刻、非等离子体气相蚀刻、固相升华、以及蚀刻物质的吸附和定向激活(例如,通过离子轰击)。
在一些实施方式中,不兼容的气相物可以在蚀刻膜之前和之后从处理站去除。例如,图11的实施方式包括惰性气体在蚀刻阶段1104期间在反应物A和B已经被关闭后且在蚀刻气体已被关闭之后的继续流动。
在蚀刻阶段1104结束时,沉积阶段1106开始,进一步填充非平坦衬底上的间隙。沉积阶段1106可以是任何合适的沉积工艺。例如,沉积阶段1106可以包括CFD工艺、CVD工艺、PECVD工艺等中的一个或多个。虽然图11的实施方式示出了单个的蚀刻阶段1104,但可以理解的是,在间隙填充工艺期间,多个原位蚀刻工艺可被间隔插入在多个任何合适类型的沉积阶段中。
图12A-C中示出了在上文所述的原位沉积和蚀刻工艺的示例的各个阶段的非平坦的衬底的示例性横截面。图12A示出了示例性非平坦衬底1200的横截面,其包括间隙1202。间隙1202被薄膜1204覆盖。薄膜1204与间隙1202几乎是共形的,但薄膜1204包括在间隙1202的顶部附近的重入部分1206。
在如图12B所示的实施方式,薄膜1204的重入部分1206已被选择性地去除且薄膜1204的上部区域1204A比下部区域1204B较薄。该重入部分的选择性地去除和/或侧壁角度调整,可通过对激活的蚀刻物类施加质量输送限制和/或寿命限制来实现。在一些实施方式中,在间隙1202的顶部选择性蚀刻也可以调整间隙1202的侧壁角度,以使间隙1202在顶部比在底部宽。这可以进一步降低在随后的沉积阶段的面包块效应。如图12C所示的实施方式,在随后的沉积阶段之后,间隙1202是几乎填满的,并表现出无空隙。
原位蚀刻工艺的另一个实施方式示于图15,在其中描述了铜电极的硅通孔(TSV)2500。一些示例的TSV具有深度为约105微米,直径为约6微米,得出深宽比为约17.5:1,并且可具有大约200摄氏度的热预算(thermal budget)的上限。正如在图15的实施方式中所示,硅通孔2500由介电隔离层2502覆盖以将硅衬底与金属填充的通孔电隔离。示例的介电隔离层的材料包括但不限于,氧化硅、氮化硅、低-k介电材料。在一些实施方式中,上文描述的示例的蚀刻工艺可以使用如氩气等合适的溅射气体,对重入部分辅以物理溅射。
用于CFD膜的其他的示例的应用包括但不限于,用于生产线后段的互连隔离应用的保形的低k膜(例如在一些非限制性示例中k为约3.0或低于3.0),用于蚀刻停止层和间隔层应用的保形的氮化硅膜,保形的防反射层,以及铜的粘附层和阻挡层。可以使用CFD制备用于生产线后段处理的许多不同成分的低k电介质。示例包括氧化硅、氧掺杂碳化物、碳掺杂氧化物、氧氮化物,等等。
在另一个示例中,在一种集成工艺的情况下,二氧化硅间隔层可以沉积在光致抗蚀剂的“芯”上方。使用光致抗蚀剂的芯,而不是另一种芯材料(如碳化硅层),可以消除在集成工艺中的图案化工序。该工艺可涉及使用通常的光刻技术图案化光致抗蚀剂,然后直接在该芯上方沉积薄层的CFD氧化物。然后可以使用定向的干法蚀刻工艺来去除在图案化的光致抗蚀剂的顶部的CFD氧化膜且在底部只沿着图案化的光致抗蚀剂的侧壁留下材料(考虑沟槽)。在这个阶段,可以使用简单的灰化以除去露出的芯,留下CFD氧化物。在曾经有单个的光致抗蚀剂线的地方现在有两个CFD-氧化线。在这种方式中,该工艺使图案密度翻倍,因此它有时被称为“双图案化”。不幸的是,使用的光致抗蚀剂的芯会限制间隔层的沉积温度低于70摄氏度,其可以低于常规CVD、PECVD、和/或ALD工艺的沉积温度。因此,在一些实施方式中,低温的CFD二氧化硅膜可以在温度低于70摄氏度沉积。可以理解的是,在本公开的涵盖范围内存在其他潜在的用于合适的CFD-生成的膜的集成工艺应用。此外,在各种实施方式中,氮化物,如上文所述的沉积的硅氮化物,可以被采用作为在半导体器件制造的各阶段的保形的扩散阻挡层和/或蚀刻停止。
虽然上述的各种CFD沉积工艺是针对沉积、处理和/或蚀刻单个的膜类型,但可以理解的是,本公开的涵盖范围内的一些CFD工艺可以包括多个膜类型的原位沉积。例如,可以原位沉积交替的膜层类型。在第一个方案中,栅极器件的双隔离层可以通过原位沉积氮化硅/氧化硅隔离层堆叠来制造。这可以减少循环时间,并提高处理站吞吐量,可以避免因潜在的膜层不兼容所形成的夹层缺陷。在第二个方案中,光刻图案化应用的抗反射层可以沉积作为具有可调光性能的SiON或无定形硅和SiOC的堆叠层。
在一些实施方式中,掺杂的电介质层通过保形膜沉积工艺形成。该层通常包括掺杂有碳和/或氮的氧化硅或氮化硅基材料或基质。可通过仔细地调整在CFD期间输送的含碳或含氮掺杂剂的量和定时来提供许多有用的掺杂的电介质层的组合物。所得到的掺杂的共形膜可以是硅氧碳化物(有时称为掺杂氧的硅碳化物)、硅氧氮化物(SiON)、掺杂氮的硅碳化物(SiCN)等。这些层在集成电路和其它设备中有大量应用。例子包括电介质衬垫、栅电极隔离物、以及输入/输出栅极氧化物。
图16给出了沿x轴呈现的行进时间的从左向右的基准CFD操作顺序。支持许多变化,并且示出该图只是用于说明的目的。最初在所描绘的序列-在标记为“A”的操作过程中-将电介质前体暂时引入反应室,该室包含在其上沉积CFD膜的衬底。选择操作A的持续时间以允许该前体以足以支持一个循环的膜生长的量吸附到衬底表面。在一些实施方式中,以完全布满衬底表面的量提供所述前体。
根据其产生未掺杂的介电的所需的组合物的能力选择前体的类型。该前体可以以气相与氧化剂一起引入。在一些实施方式中,氧化剂最初输送到反应室,并在输送电介质前体之前建立其流量。另外,在暴露于电介质前体之前,下伏衬底表面可以首先用含化合物的掺杂剂处理。在下面讨论这些实施方式的例子。
合适的氧化剂的例子包括元素氧(例如,O2或O3)、一氧化二氮(N2O)、水和二氧化碳。氧化剂通常与如惰性气体(如氩气)或氮气之类载体气体一起被输送到反应室中。
在一个例子中,BTBAS或BDEAS(其中BDEAS是双二乙基氨基硅烷或(Et2N)2SiH2)作为用于生产氧化硅(例如硅酸盐玻璃)的前体)。其他例子包括各种其他双烷基氨基硅烷,其中烷基基团可含有1-6个碳基团。每个胺基团可以是单独地用烷基单取代或二取代的。可以使用烯基和炔基的变型。在某些情况下,在分子上也可以采用不同的烷基基团(例如,一个胺可用甲基基团取代以及其它的胺可以用乙基取代)。在某些实施方式中,一个或多个烷基基团提供了硅烷核的空间位阻。
在氮化硅CFD膜的情况下,BTBAS或类似的含硅和含氮化合物是合适的前体的例子。在另一个例子中,含硅和含氮前体的组合(如硅烷和叔丁基胺)有时被用于生产氮化硅。于2011年4月11日提交的美国专利申请No.13/084,305提供了一些额外合适的硅氮化物前体和它们的沉积条件的例子,其全部内容通过引用并入本文。具体例子包括原硅酸四乙酯(C8H20O4Si),以及它们的类似物(例如,为Si(OR)4,其中R可以是任何合适的烷基基团,如甲基基团、乙基基团、丙基基团等);烷氧基硅烷,如甲基三乙氧基硅烷(MTEOS)、甲基三甲氧基硅烷(MTMOS)、二甲基二甲氧基硅烷(DMDMOS)、三甲基甲氧硅烷(TMMOS),二甲基二乙氧基硅烷(DMDEOS);烷基硅烷,如四甲基硅烷(4MS);三甲基硅烷(3MS);二甲基硅烷(2MS);环硅氧烷,例如2,4,6,8-四甲基环四聚二甲基硅氧烷(TOMCAT),八甲基环四硅氧烷(OMCAT),四乙烯基四甲基环四硅氧烷(TVTMCTS);炔基硅烷,如三甲基硅乙炔(TMSA);二氯硅烷,三氯硅烷和这些前体(TEOS)的混合物。这些化合物与氮化的共反应物一起使用。如在专利申请13/084,305中所讨论的,这样的共反应剂的例子包括叔丁基胺(TBA)、三乙胺(Et3N)、三甲胺(Me3N)、氨、叔戊胺、异丙胺、等等。
在操作A的结束时,进入室的电介质前体的流被终止,并且如所描述的开始操作B。在操作B的过程中,在阶段A过程中引入该室的氧化剂继续流动,并用以清洗室的前体。在某些实施方式中,氧化剂继续以与操作A过程中速率相同的速率流动。
在操作B过程中在清除完成后,该前体在衬底表面上反应以形成电介质膜的一部分(参见操作C)。在各种实施方式中,等离子体被施加以驱动所吸附的电介质前体的反应。在一些示例中,该反应是氧化反应。先流入反应室中的氧化剂中的一些与电介质前体一起可被吸附在表面上,从而为等离子体介导的表面反应提供立即可用的氧化剂。表面反应完成后,等离子体或活化能的其它源被关闭,反应器再次通过连续流动的氧化剂清除。见操作D。
操作A至D共同提供电介质膜沉积工艺的单个循环。应当理解,此处所描述的其他CFD循环顺序也可以代替这里描述的基本循环使用。在所描绘的实施方式中,在不引入任何掺杂剂物质的情况下,执行沉积循环(从A到D)。在各种实施方式中,在引入掺杂剂物质之前,连续地重复由操作A至D表示的循环一次或更多次。这如在图16的阶段E中所示。在一些示例中,在引入掺杂剂之前,重复操作A-D至少一次,或至少两次,或至少5次。作为一个例子,电介质以约0.5到5埃/循环或约0.8-1.2埃/循环或约0.9-1.1埃/循环的速率沉积。通过所述实施方式的一个或多个循环(重复A-D)中的每个,氧化剂继续流入反应室。
在处理的某一时刻,电介质沉积的循环被掺杂剂物质(诸如,例如,烃类)的引入中断。这被示为图中的操作F。当碳化物或掺杂碳的电介质要形成时,用于电介质源膜的掺杂剂物质的例子包括一种或多种烃(烷烃、烯烃、炔烃和芳香族烃),醇,酮,醛,醚,酯,羧酸,氧杂环戊烷,呋喃,等等。这样的掺杂剂物质的具体例子包括甲烷、乙烷、丙烷、乙炔、乙烯、丙烯、叔戊醇、乙醇、丙醇、乙二醇、丙炔、等等。在电介质源膜中可提供的氮的掺杂物质的例子包括无机和有机化合物,如氨,胺(伯,仲,叔和季),腈,酰胺,含氮杂环化合物(包括唑类、吡咯类等),氨基醇,等等。这样的掺杂物质的具体例子包括氨、肼、元素氮(N2)、乙腈、叔丁胺、乙醇胺、等等。可在电介质源膜中提供的含氮含碳掺杂物质的例子包括胺类、腈类、酰胺类、含氮杂环化合物、取代的肼、氨基醇、等等。这样的掺杂物质的具体例子包括乙腈、叔丁胺、乙胺、二甲肼三乙胺、等等。
在一个具体的实施方式中,所述掺杂剂物质与载气混合提供到反应室中,但不与氧化剂或其它反应物混合,所述载气如惰性气体(如氩气或氦气)。在其它实施方式中,在输送掺杂剂物质的过程中在基本循环中使用的氧化剂继续流动,但以降低的速率流动。因此,在基准例子中,在操作F过程中降低或停止氧化剂的流。在其它实施方式中,前体与还原剂或氧化剂一起引入。
在适合于有效地输送到衬底的条件下提供掺杂剂物质。作为一个例子,该掺杂剂物质可被输送到具有约0.5-5乇的总压强的室。在一些实施方式中,在掺杂物质的输送过程中,衬底温度为在约100-550℃,或约350-450℃范围内。
在某些实施方式中,所述掺杂剂物质通过表面限定机制粘附到衬底表面,如利用ALD。在替代的实施方式中,前体可以通过CVD型工艺,而不是ALD(表面吸附受限)工艺沉积。
任选地,在电介质膜的进一步处理之前,从反应室清除掺杂剂前体。另外,如在图16中所示,输送掺杂剂前体,接着是可选的激活操作G,其可以通过等离子体,温度升高等调节。在烃作为掺杂剂物质的示例中,激活操作将烃转换为元素碳或更一般地,以直接结合到部分形成电介质膜的形式存在的碳。在某些实施方式中,等离子体活化涉及适于将碳结合入膜的任何频率的RF功率。在一些实施方式中,所述RF功率源可以被配置为彼此独立地控制高和低频RF功率源。示例性低频RF功率可包括,但不限于,介于约200千赫和1000千赫之间的频率。示例性高频RF功率可包括,但不限于,介于约10兆赫和80兆赫(例如13.56兆赫)之间的频率。同样,RF功率源供应器和匹配网络可在任何合适的功率下操作,以形成等离子体。合适的功率的示例包括但不限于对于高频等离子体介于约100瓦与3000瓦之间的功率和对于低频等离子体约100瓦与10000瓦特之间的功率(在每个晶片的基础上)。该RF功率源可在任何合适的占空比来操作。合适的占空比的例子包括,但不限于,介于约5%和90%的占空比。可接受的工艺的压强介于约0.5-5乇之间,优选介于约2-4乇之间。
在所描绘的基准工艺中,电介质沉积和间歇性掺杂剂输送(操作A-G)的循环可被执行多次,如该图的阶段H所示。该工艺序列重复的实际次数取决于膜所需的总厚度和每循环沉积的电介质的厚度,以及掺入到膜中的掺杂剂的量。在一些实施方式中,重复操作A-G至少两次,或至少3次,或至少5次,或至少约10次。
电介质膜被完全沉积之后,对它可以进一步处理以赋予适合于使用它的制造工艺所需的物理或化学性质。这可涉及图16的操作I所描绘的蚀刻在器件结构上沉积的膜。在各种实施方式中,蚀刻是湿法蚀刻工艺。
可以实现此基准线工艺的许多变化方案。其中某些变化方案以控制或调节在电介质膜中的掺杂剂物质的量作为其目的。具体变化方案被设计为控制电介质膜的湿蚀刻速率。其它变化方案被设计为控制晶片内的掺杂剂均匀性,台阶覆盖性(例如,约70-100%)、介电常数(例如,约2.5-10)、膜漏电流、折射率等。例如,增加的碳掺杂剂可降低介电常数,降低湿蚀刻速率,并增大折射率。
在某些实施方式中,控制掺杂剂被引入生长电介质膜的频率。更频繁的掺杂剂物质的输送循环导致在最终的电介质膜中掺杂剂的浓度总体更大。这还导致整个膜中掺杂剂的分布相对均匀。当较少的掺杂剂前体输送循环被插入到沉积工艺中时,与当掺杂剂输送循环更频繁的情况相比,膜中的高掺杂浓度的区域被更广泛分离。
在一个实施方式中,对于电介质沉积的每个循环,掺杂剂物质被输送到生长的电介质膜一次。在另一个实施方式中,在每隔一个电介质沉积的循环中所述掺杂剂物质被输送一次。在其它实施方式中,较不频繁的掺杂剂物质输送循环被引入所述工艺。例如,在电介质沉积的每第三、第四、或第五循环期间,掺杂剂物质可输送一次。在一些情况下,掺杂剂物质以每5-20电介质沉积循环过程中约一次的频率输送。
应当理解的是,在电介质膜沉积过程中掺杂剂输送循环的频率不必保持一致。考虑到这一点,得到的电介质膜可以具有梯度组成的掺杂剂,使得在沉积电介质膜的厚度上掺杂剂的平均浓度是不均匀的。在一个实施方式中,在电介质膜的一侧比另一侧的掺杂剂的浓度较大。当然,在电介质膜中的掺杂剂浓度梯度,可以根据需要通过仔细地改变在整个介电层沉积工艺过程中掺杂剂输送循环的频率来进行调整。
基准工艺的另一种变化方案涉及在任何掺杂剂输送循环的过程中调整所输送的掺杂剂物质的量。在任何给定的掺杂剂输送循环的过程中输送的掺杂剂的量通过输送到反应室的掺杂剂物质的浓度以及衬底暴露于被输送的掺杂剂物质的持续时间来确定。当然,如果掺杂剂物质的积聚是表面限定工艺,如用ALD,则输送的掺杂物的总量是有所约束的。到达在任何掺杂剂输送循环过程中输送更大量的掺杂剂物质的程度,在电介质膜中掺杂剂的总浓度增大。这可以抵消在整个工艺中具有相对较少频率的掺杂剂输送循环的作用。然而,应当理解的是,增加在任意给定的掺杂剂物质输送循环过程中输送的掺杂剂的量,导致在膜中掺杂剂相对高的局部浓度。当然,这样的掺杂剂浓度峰值可以通过退火或其它操作被柔化,通过这些操作,掺杂剂浓度在电介质膜中更均匀。
在碳作为掺杂剂的情况下,在典型的掺杂剂的输送循环过程中烃或其他含碳物质的量可提供每平方厘米约108和1022之间的原子。在氮作为掺杂剂的情况下,在典型的掺杂剂的输送循环中输送的含氮化合物的量可提供每平方厘米约108和1022之间的原子。
在一些实施方式中,在整个电介质膜的生长中,在各掺杂剂输送循环中输送的掺杂剂物质的量是不恒定的。因此,每循环输送的掺杂剂物质的量可以调整以在电介质膜中产生所需的掺杂剂浓度梯度。例如,在电介质膜中相对接近于下伏的器件结构的位置或替代地在相对于接近膜的中心或外表面的位置发生的那些掺杂剂的输送循环中提供更多量的掺杂剂物质可能是合乎期望的。
在一般情况下,在电介质膜中的掺杂剂的浓度分布可以适当调整。在一个实施方式中,掺杂剂浓度在邻近待掺杂的结构的膜的边缘突增(spike)到高的水平。在一些实施方式中,在整个膜厚度所述浓度间歇地增加和减少。
掺杂剂物质驻留的基底氧化物或氮化物基质本身可以调整以影响最终掺杂的膜的性质。例如,可控制氧化物或氮化物的密度和/或化学组成以产生对最终的膜的性质所需的影响。在一些方法中,整个电介质厚度具有相同的密度或组成,使得经调整的性质在整个膜厚度是不变的。在其他的方法中,调整膜的性质,使得一个或多个这样的性质在整个膜的厚度变化。示例包括在整个厚度的带隙和隧穿容量调制。
在形成电介质膜的过程中所采用的沉积温度也影响膜的性质。在一般情况下,已经发现,由CFD处理在相对低的温度沉积的电介质通常提供相对低密度的膜。较低密度的膜使得能更快地扩散掺杂剂、有更高的蚀刻速率以及其他有益的特性。与相对低密度的膜相关的相对低的温度的示例可以是介于约100至450℃的范围的温度,或更具体地介于约200至250℃之间的温度。当然,这些温度范围取决于电介质前体和其他沉积参数的选择。这里给出的温度与使用BTBAS或BDEAS作为电介质前体相关联。
相比之下,在相对较高的温度沉积的电介质往往具有相对较高的密度。用BTBAS作为电介质前体,与相对低的掺杂剂的扩散速率相关联的相对高的温度是在约500℃至1200℃的范围中,或更具体地介于约800至950℃之间。当然,这样的温度可被应用于其他的前体。
在各种实施方式中,沉积温度是通过在CFD过程中加热和/或冷却支撑衬底的基座或卡盘来控制。合适的基座的例子在2009年5月5日提交的,美国专利申请No.12/435,890(公布的申请No.US-2009-0277472)和2011年4月13日提交的美国专利申请No.13/086,010中描述,这两者其全部内容通过引用并入本文。
在某些实施方式中,在电介质膜的沉积之前,对在其上待形成电介质膜的衬底表面进行预处理。在一个示例中,所述预处理涉及暴露于等离子体,如暴露于还原性等离子体。例如,在待掺杂的衬底特征含有硅时这种处理可能是适当的。通常硅含有少量本征氧化物,该氧化物在后续制造操作中会是有害的。在一个特定的实施方式中,衬底表面用还原性等离子体(如含氨或含氢等离子体)进行预处理。在一些实施方式中,所述预处理减少了下伏器件结构的缺陷。例如,可以采用氦等离子体,以减少在下伏材料中电荷俘获的缺陷。可以以各种不同的方式进行氦等离子体处理,包括:(1)交替CFD循环和氦等离子体循环或(2)X#CFD循环后接着氦等离子体循环,其中X介于约1-200之间或(3)在RF等离子体步骤过程中包含氦气流。替代的气体包括氩、氨、氮、氖、氙、氢。射频等离子体功率范围可为从约100W至3000W,流量范围为从约500sccm至20000SLM。
在一般情况下,在图16中所描绘的工艺可被修改,使得在第一电介质沉积循环之前掺杂剂前体中的一些或所有被输送到衬底表面。这在图18中描绘,这将在下面讨论。
在各种实施方式中,在暴露于掺杂剂物质之前,部分制造的电介质膜本身用等离子体或其它活化处理预处理。这用以改变在膜中的电荷捕获缺陷的浓度。替代地,或另外地,可以使用该工艺以增加膜中的正或负电荷,从而导致对范围为约-40V至30V的平带电压的位置的控制。也可以采用上述提出的用于在膜沉积之前对下伏结构处理的工艺条件以处理部分沉积的膜。
可以使用可选的沉积后退火。形成源极层之后(或在它的形成过程中),该膜可以被退火。在某些实施方式中,退火处理在介于约100℃和1200℃之间的温度下进行约0.5至60分钟,或在介于约700℃和900℃之间下进行约1至5分钟。
作为掺杂剂物质的来源的电介质膜将具有各种特性。在各种实施方式中,膜的厚度为介于约10至20000埃之间。对于硅通孔,膜厚度的范围在从500到20000埃可能是适当的。对于晶体管应用,膜厚度的范围在从5至1000埃可能是适当的。在电介质膜中的掺杂原子(或其它掺杂剂物质)的平均浓度取决于多种因素,包括膜的每单位表面积的掺杂剂物质的总量以及该应用。
在各种实施方式中,掺杂氮的碳化硅膜具有介于约2.6-3.5之间的密度。在某些实施方式中,碳氧化硅和氮氧化硅膜具有介于1.6-3.0之间的密度。成分上,Si:O:N膜可含有介于约2-35%(原子)之间的氮和介于约2-35%(原子)之间的氧,其余全部或绝大部分为硅。成分上,Si:O:C膜可包含介于约2-35%(原子)之间的碳和介于约2-35%(原子)之间的氧,其余全部或绝大部分为硅。成分上,Si:N:C膜可含有介于约2-35%(原子)之间的氮和介于2-35%(原子)之间的碳,其余全部或绝大部分为硅。
各种装置可被设计成沉积如这里所描述的掺杂的电介质膜。通常,该装置会包含用于在掺杂膜的沉积过程中容纳衬底的处理室。处理室会包括用于接纳工艺气体的一个或多个入口,该工艺气体包括电介质前体、氧化剂、载气或惰性气体、掺杂剂物质等。在各种实施方式中,该装置会另外包括用于产生等离子体的特征,该等离子体具有以下性能:适合于形成电介质层,将掺杂剂掺入到电介质层,处理所述电介质层以改变层的电气、光学、机械和/或化学性质,等等。典型地,该装置会包括真空泵或用于连接到这种泵的设备。更进一步地,该装置会具有一个控制器或多个控制器,该控制器配置或设计用于控制所述装置来实现这里描述的掺杂的电介质沉积操作的顺序。该控制器可以包括用于控制该装置的各种功能的指令,该装置包括:输送工艺气体并控制压强的阀门装置,用于产生等离子体的功率源,以及真空源。所述指令可以控制各种操作的时序和顺序。在各种实施方式中,该装置可以具有如在从加利福尼亚州圣何塞市的Novellus System获得的VectorTM系列沉积工具中所具有的特征。在本文别处描述沉积掺杂的电介质膜的合适装置的其他特征。
其上形成有电介质源层的衬底表面可能需要高度保形沉积。在某些示例中,电介质源膜保形地覆盖具有介于约500:1和20:1之间的深宽比的特征,用于TSV和DRAM应用。对于逻辑技术,膜可被沉积在具有深宽比范围从约10:1到2:1的特征。在一些示例中,一些特征具有宽度不大于约2微米用于TSV应用。对于逻辑技术,临界尺寸范围从约200纳米至5纳米可能是适当的。使用本文描述的类型的电介质源层掺杂将在根据45纳米技术节点及超出45纳米的技术节点(包括22纳米技术节点,16纳米技术节点,11纳米,7纳米等)形成的装置中找到特定的应用。
掺杂的CFD膜有各种其他应用,包括在集成电路制造(例如晶体管和/或自对准接触制造)的各个阶段使用的可蚀刻层。在某些实施方式中,可蚀刻层是具有可调的湿法蚀刻速率的玻璃层,其中所述蚀刻速率通过掺杂水平可调。换句话说,选择掺杂的水平以提供预先定义的蚀刻速率。在具体实施方式中,可蚀刻层是含有如磷、硼、或其组合等掺杂剂的硅酸盐玻璃层。相对于典型的热氧化物,蚀刻速率的范围可以从约5:1至0.5:1;这样的氧化物蚀刻速率为约1。
在可以与本文中所描述的类型的掺杂的CFD层接触的器件结构是生产线前道结构(例如栅电极),或生产线后道结构(例如平面化的金属化层),和用于金属间电介质的低k电介质的衬垫。
在某些实施方式中,本文所述的掺杂碳或氮的结构可以被用作栅结构间隔物,其中共形电介质层首先沉积在栅结构上,然后各向同性蚀刻,以留下侧壁间隔物。
图17给出用于SiOC、SiON和SiCN的沉积的CFD脉冲序列的示意图。如图所示,该工艺包括CFD氧化膜生长循环1701和膜掺杂阶段1703,这些都根据需要重复,以产生适当厚度的膜。见1705。CFD循环1701开始于BTBAS投配1707,然后清除1709,然后暴露于RF等离子体以驱动BTBAS的氧化反应1711,最后第二次清除1713。这4个操作的每个可以是伴随着氧气输送。膜掺杂阶段1703包括掺杂剂投配操作1717,随后的掺杂剂物质的清除1719,以及可选的RF等离子体轰击1721和之后的第二次清除1723。
具体的例子在图18中给出的表中描绘。所描绘的示例包括预处理阶段和标准的CFD氧化物沉积阶段。CFD循环接着如图17中1701所示的顺序。如图所示,在CFD循环过程中压强在每个操作(即,BTBAS投配,后续的清除,RF等离子体活化,和最终清除)保持恒定在2乇。这些操作中的前三个每个进行2秒。最终清除进行1秒。在每4个CFD操作过程中氧以4SLM流动和氩气以10SLM流动。在BTBAS投配过程中,前体以2毫升/分钟流动。等离子体活化在2500W进行。
相关的是,伴随在开始第一CFD循环之前进行衬底的预处理,这个例子开始。预处理以暴露于RF等离子体开始,接着排空反应室(可选抽空至基准(pump to base)),最后清除。室压强最初是3乇,并在等离子体处理期间将其保持在该水平,然后在排空操作期间,压强下降到0.2乇,最后在清除过程中压强返回到3乇。对于等离子体处理每个操作的持续时间为1.8秒,对于抽空至基准为10秒,以及清除5秒。等离子体处理是在200瓦或500瓦的能量下进行的。在整个预处理顺序过程中氧气首先在等离子体和抽气操作期间以2SLM流动,然后在清除(在准备第一CFD循环)过程中以4SLM流动。氩气在排空和清除操作过程中以5SLM流动。如果目标是要减少在衬底中的缺陷,则等离子体处理可伴随氦,或如果目标是提供氮掺杂剂则伴随氨。在这两种情况下,该气体以7.5SLM流动。在后一种情况下,所得到的电介质是掺杂氮的氧化硅(SiON)。循环的次数,可以根据所希望的膜厚度调整。典型的沉积速率可以在0.4-1.4埃/循环变化,通常为0.9-1.1埃/循环。所有的处理可以在任何真空室中进行,如用于PECVD的VectorTM类的室(可从加利福尼亚州圣何塞Novellus System获得)中进行。在目的在于产生SiON或SiCN膜的沉积过程中,氨可以被用作共反应剂。本文描述的技术和方法适用于任何晶片尺寸,包括但不限于200毫米、300毫米、450毫米的晶片尺寸。
可以理解,任何合适的处理站,可以采用上面描述的示例中的一个或多个。例如,图13示意性地示出了CFD处理站1300的实施方式。为简单起见,CFD处理站1300被描述为具有用于维持低压环境的处理室主体1302的独立的处理站。然而,可以理解的是,多个CFD处理站1300可以被包括在共同的低压处理工具环境中。虽然图13中所描绘的实施方式示出了一个处理站,但应该理解,在一些实施方式中,多个处理站可以包含在处理工具中。例如,图14描绘了多站处理工具2400的实施例。此外,应理解,在一些实施方式中,CFD处理站1300的包括那些在下面详细讨论的参数在内的一个或多个硬件参数,可以由一个或多个计算机控制器编程(programmatically)调节。
CFD处理站1300与用于提供工艺气体到分配喷头1306的反应物输送系统1301流体连通。反应物输送系统1301包括用于混合和/或调节将传输到喷头1306的工艺气体的混合容器1304。一个或多个混合容器入口阀1320可以控制工艺气体引入到混合容器1304。
一些反应物,如BTBAS,可以以液体形式存储,然后汽化,并随后输送到处理站。例如,图13的实施方式包括汽化站点(vaporization point)1303用于汽化要被供给到混合容器1304的液体反应物。在一些实施方式中,汽化站点1303可以是经加热的蒸发器。从这些蒸发器产生的饱和的反应物蒸气可以在下游输送管中凝结。不相容的气体暴露在冷凝的反应物中会产生小颗粒。这些小颗粒可能会堵塞管道、妨碍阀门的操作、污染衬底等。解决这些问题的一些方法包括清扫和/或抽空该输送管,以去除剩余反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站产量。因此,在一些实施方式中,汽化点1303的下游输送管道是伴热的(heat traced)。在一些实施方式中,混合容器1304也可以是伴热的。在一个非限制性示例中,汽化站点1303的输送管道下游具有升高的温度分布,从约100摄氏度升高到在混合容器1304的约150摄氏度。
在一些实施方式中,液态反应物可以在液体喷射器中汽化。例如,液体喷射器可以以脉冲的形式将液态反应物喷射到混合容器上游的载体气流中。在一个方案中,液体喷射器可以通过从较高压强到较低的压强闪蒸液体来汽化反应物。在另一种方案中,液体喷射器可雾化液体成分散的微滴,这些微滴随后在加热的输送管中蒸发。可以理解的是,较小的液滴比较大的液滴可蒸发得快,从而减少液体喷射和完全汽化之间的延迟。较快的汽化可以减小汽化站点1303下游的管道的长度。在一个方案中,可以直接安装液体喷射器到混合容器1304。在另一个方案中,可以直接安装液体喷射器到喷头1306。
喷头1306和基座1308与RF功率源1314和匹配网络1316电气连通以给等离子体供电。在一些实施方式中,通过控制处理站压强、气体浓度、RF源功率、RF源频率、和等离子体功率脉冲时序中的一个或多个来控制等离子体能量。例如,RF功率源1314和匹配网络1316可以用任何合适的功率操作以形成具有所需要自由基种类组合的等离子体。示例的合适的功率包括但不限于,对于300毫米的晶片,介于100W和5000W之间的功率。同样地,RF功率源1314可以提供任何合适频率的RF功率。在一些实施方式中,RF功率源1314可以被配置为控制相互独立的高频和低频RF功率源。示例的低频RF频率可包括但不限于,介于50千赫和500千赫之间的频率。示例的高频RF频率可包括但不限于,介于1.8MHz和2.45GHz之间的频率。可以理解的是,可以离散地或者连续地调节任何合适的参数以提供等离子体能量用于表面反应。在一个非限制性的示例中,相较于被连续提供功率的等离子体,可以以脉冲方式间歇性提供等离子体功率,以减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可由一个或多个等离子体监视器在原位监测。在一个方案中,等离子体功率可以由一个或多个电压、电流传感器(例如,VI探针)监测。在另一个方案中,等离子体密度和/或工艺气体的浓度可以由一个或多个光发射光谱传感器(OES)测量。在一些实施方式中,根据从这种原位等离子体监测器的测量值,对一个或多个等离子体参数进行程序化(programmatically)调节。例如,OES传感器可在反馈回路中使用,用于提供对等离子体功率的程序化控制。可以理解的是,在一些实施方式中,其他监视器可以用来监测等离子体和其它工艺特征。这些监视器可以包括但不限于,红外(IR)监视器、声学监视器、和压力传感器。
在一些实施方式中,基座1308可以通过加热器1310控制温度。另外,在一些实施例中,可以通过蝶形阀1318提供对CFD处理站1300的压强控制。如图13的实施方式中所示,蝶形阀1318调节由下游真空泵(未显示)提供的真空。然而,在一些实施方式中,处理站1300的压强控制还可以通过改变导入到CFD处理站1300的一种或者多种气体的流率来调节。
如上所述,多站处理工具可以包括一个或多个处理站。图14显示了示例性的多站操作工具2400的示意图,该多站操作工具2400具有内装载锁2402和外装载锁2404,这些装载锁中的任一个或两者都可包括远程等离子体源。在大气压强下,机械手2406被配置为把晶片从通过吊舱(pod)2408装载的盒经由大气端口2410移入内装载锁2402。通过机械手2406把晶片放置在内装载锁2402中的基座2412上,大气端口2410关闭,且装载锁抽空。当内装载锁2402包括远程等离子体源时,晶片在导入到处理室2414之前,可暴露于装载锁中的远程等离子体处理。另外,例如,也可以在装载锁2402内加热晶片,以除去水分和吸附气体。接着,至处理室2414的室输送端口2416被打开,并且另一个机械手(未示出)把晶片放置到反应器中在反应器中所示的第一站的基座上用于处理。虽然图14中所示的实施方式包括装载锁,但可以理解的是,在一些实施方式中,可以设置使晶片直接进入到处理站中。
所描述的处理室2414包括四个处理站,在图14所示的实施方式中,编号从1到4。每个站都有加热的基座(以2418显示,用于站1),和气体管线入口。可以理解的是,在一些实施方式中,每个处理站可具有不同的用途或多个用途。例如,在一些实施方式中,处理站可以在CFD工艺模式和PECVD工艺模式之间切换。另外地或替代地,在一些实施方式中,处理室2414可以包括一个或多个配对的CFD和PECVD处理站。虽然示出的处理室2414包括四个站,但可以理解的是,根据本发明公开所述的处理室可具有任何合适数量的站。例如,在一些实施方式中,处理室可以具有五个或更多个站,而在其它实施方式中处理室可以具有三个或更少的站。
图14还描绘了在处理室2414内输送晶片的晶片处理系统2490的实施方式。在一些实施方式中,晶片处理系统2490可以在各种处理站之间和/或在处理站和装载锁之间输送晶片。可以理解的是,可以采用任何适当的晶片处理系统。非限制性示例包括晶片转盘和晶片处理机械手。图14还描述了示例的系统控制器2450,其用于控制处理工具2400的处理条件和硬件状态。系统控制器2450可包括一个或多个存储设备2456、一个或多个大容量存储设备2454和一个或多个处理器2452。处理器2452可以包括CPU或计算机、模拟和/或数字输入/输出接头、步进电机控制器板、等等。
在一些实施方式中,系统控制器2450控制处理工具2400的所有活动。系统控制器2450执行存储在大容量存储设备2454中的、加载到存储设备2456的和在处理器2452上执行的系统控制软件2458。系统控制软件2458可包括用于控制计时、气体混合、室和/或站的压强、室和/或站的温度、晶片温度、目标功率电平、RF功率电平、衬底基座、夹盘和/或衬托器(susceptor)位置、和由处理工具2400执行的特定工艺的其他参数。系统控制软件2458可以以任何合适的方式配置。例如,可以编写各种处理工具组件的子程序或控制对象以控制处理工具组件执行各种处理工具的处理所必须的操作。可以以任何合适的计算机可读的编程语言编码系统控制软件2458。
在一些实施方式中,系统控制软件2458可包括输入/输出控制(IOC)排序指令,其用于控制上面描述的各种参数。例如,CFD工艺的各阶段可以包括用于由系统控制器2450执行的一个或多个指令。相应的CFD配方阶段可以包括用于设定CFD工艺阶段的处理条件的指令。在一些实施方式中,CFD配方阶段可以依次排列,从而使得用于CFD工艺阶段的所有指令与该处理阶段同步执行。
在一些实施方式中可以采用存储在与系统控制器2450相关联的大容量存储设备2454和/或存储设备2456上的其他计算机软件和/或程序。用于此用途的示例性程序或部分程序包括衬底定位程序、工艺气体控制程序、压强控制程序、加热器控制程序和等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座2418上且控制衬底和处理工具2400的其他部件之间的间距。
工艺气体控制程序可以包括代码,该代码用于控制气体成分和流率,且可选地用于在沉积之前使气体流入一个或者多个处理站以便稳定处理站中的压强。压强控制程序可包括通过调节例如处理站的排放系统内的节流阀和进入处理站的气流等来控制处理站中的压强。
加热器控制程序可以包括用于控制加热单元电流的代码,该加热单元用于加热衬底。可选地,加热器控制程序可以控制热输送气体(例如氦气)到衬底的输送。
等离子体控制程序可以包括代码,其用于设置应用到一个或者多个处理站中的处理电极的RF功率水平。
在一些实施方式中,可以有与系统控制器2450相关的用户界面。该用户界面可以包括显示屏、设备和/或处理条件的图形软件显示、及用户输入装置,例如指针设备、键盘、触摸屏、麦克风,等等。
在一些实施方式中,由系统控制器2450调节的参数可涉及处理条件。非限制性示例包括工艺气体的成分和流率、温度、压强,等离子体的条件(如RF偏置功率电平)、压强、温度,等等。这些参数可以以配方的形式提供给用户,配方可利用用户界面输入。
用于监测处理的信号可以从各种处理工具传感器通过系统控制器2450的模拟和/或数字输入接头提供。用于控制处理的信号可以在处理工具2400的模拟和数字输出接头输出。可被监测的处理工具传感器的非限制性示例包括质量流量控制器、压力传感器(如压力计)、热电偶、等等。适当地程序化的反馈和控制算法可以与来自这些传感器的数据一起使用,以维持工艺条件。
系统控制器2450可提供用于实现上述的沉积方法的程序指令。该程序指令可以控制各种工艺参数,例如DC功率电平、RF偏置功率电平、压强、温度、等等。这些指令可以根据本文所描述的各种实施例,控制用于操作膜堆叠的原位沉积的参数。
本文在上面所描述的设备/方法可以与光刻图案化工具或方法结合,例如,用于半导体设备、显示器、LED、光伏板等等的制造和生产。通常,但不是必定,这样的工具/方法将和普通的制造设施一起使用或操作。膜的光刻图案化通常包括部分或所有的以下操作,每一操作用一些可能的工具启动:(1)使用旋涂或喷涂工具在工件(即,衬底)上施用光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)使用晶片步进式曝光机(waferstepper)等工具将光致抗蚀剂在可见光或紫外线或X-射线下暴露;(4)使用诸如湿法工作台(wet bench)等工具,对光致抗蚀剂进行显影,以便选择性地除去抗蚀剂,从而进行图案化;(5)通过使用干法或等离子体辅助蚀刻工具,将抗蚀剂图案(resist pattern)转移到基底膜或工件上;和(6)使用诸如RF或微波等离子体抗蚀剂剥离机(microwave plasmaresist stripper)等工具,去除抗蚀剂。
应该理解的是,本文所描述的配置和/或方法,在本质上是示例性的,并且这些特定的实施例或实施例不应被认为具有限制意义,因为许多的变化是可能的。本文描述的特定的例程或方法可表示任何数量的处理策略中的一个或多个。因此,各种操作可以以所示的序列、以其它的序列,并行地或在某些情况下删减来执行。同样,可以改变上述的处理的顺序。
本公开的主题包括本文所公开的各种处理、系统和装置、以及其它特征、功能、操作、和/或特性的所有新颖和非显而易见的组合和子组合,以及任何所有等同方案。

Claims (44)

1.一种在反应室中在衬底表面上沉积掺杂氮和/或碳的电介质膜堆叠的方法,所述方法包括:
通过包含两个或更多个电介质沉积循环的工艺沉积所述电介质膜堆叠的第一电介质部分,每个循环包括:
(a)在允许电介质膜前体吸附到所述衬底表面的条件下将所述前体引入所述反应室;
(b)随后,在一些前体仍吸附在所述衬底表面上的同时,将至少一些未吸附的前体从所述反应室清除;
(c)将所述衬底表面暴露于等离子体以驱动吸附在所述衬底表面上的所述电介质膜前体的反应以形成所述电介质膜堆叠的一部分;
在沉积所述第一电介质部分后,通过包含下述步骤的工艺形成所述电介质膜堆叠的第一富氮和/或富碳部分:
(d)在允许掺杂剂物质贡献氮和/或碳到部分形成的所述电介质膜堆叠的条件下,将在(a)-(c)中未引入的含碳和/或含氮掺杂剂物质引入所述反应室;
在形成第一富氮和/或富碳部分后,通过包含两个或更多个电介质沉积循环的工艺沉积所述电介质膜堆叠的第二电介质部分;以及
在沉积所述第二电介质部分之后通过包含(d)的工艺形成所述电介质膜堆叠的第二富氮和/或富碳部分;
其中,在所述电介质膜堆叠沉积后,在所述堆叠的所述第一或第二富氮和/或富碳部分中的任意部分中的所述氮和/或碳的浓度大于所述堆叠的所述第一或第二电介质部分中的任意部分中的所述氮和/或碳的浓度。
2.根据权利要求1所述的方法,其还包括在用于沉积所述电介质膜堆叠的第一和第二电介质部分的(a)-(c)的两个或更多个电介质沉积循环之前和期间使氧化剂流入所述反应室。
3.根据权利要求2所述的方法,其中在(a)期间所述电介质膜前体和所述氧化剂以气相共同存在于所述反应室中,且其中在(a)和(b)期间,在所述反应室中所述前体和所述氧化剂直至在(c)中暴露于等离子体才明显地相互反应。
4.根据权利要求2所述的方法,其中,所述氧化剂是一氧化二氮。
5.根据权利要求1所述的方法,其中形成所述电介质膜堆叠的所述第一和第二富氮和/或富碳部分的工艺还包括:
(e)使所述含碳和/或含氮掺杂剂物质反应以贡献氮和/或碳到部分形成的所述电介质膜堆叠。
6.根据权利要求5所述的方法,其中,(e)还包括使所述含碳和/或含氮掺杂剂物质暴露于等离子体。
7.根据权利要求1所述的方法,其还包括在执行(a)之前将所述衬底表面暴露于所述含碳和/或含氮掺杂剂物质以沉积所述电介质膜堆叠的所述第一电介质部分。
8.根据权利要求1所述的方法,其中,用于沉积所述电介质膜堆叠的所述第一和第二电介质部分的工艺各自包括三个或更多个电介质膜沉积循环,每个循环包括(a)-(c)。
9.根据权利要求1所述的方法,其中,所述电介质膜前体选自二氯硅烷、BTBAS和BDEAS。
10.根据权利要求1所述的方法,其中,所述含氮和/或含碳的掺杂剂物质选自碳氢化合物、醇、酮、醛、醚、酯、羧酸、氧杂环戊烷和呋喃。
11.根据权利要求10所述的方法,其中,所述含氮和/或含碳的掺杂剂物质选自甲烷、乙烷、丙烷、乙炔、乙烯、丙烯、叔戊醇、乙醇、丙醇、乙二醇和丙炔。
12.根据权利要求1所述的方法,其中,所述含氮和/或含碳的掺杂剂物质选自氨、胺、腈、酰胺、含氮杂环化合物和氨基醇。
13.根据权利要求1所述的方法,其中,所述含氮和/或含碳的掺杂剂物质选自氨、肼、元素氮、乙腈、叔丁胺、乙醇胺、乙胺和三乙胺。
14.根据权利要求1所述的方法,其中,在电介质沉积(a)-(c)的一个循环期间沉积的膜的量为介于0.5至5埃之间。
15.根据权利要求1所述的方法,其中,总的膜厚度为介于10-20000埃之间。
16.根据权利要求1所述的方法,其中,在(a)-(c)和(d)期间所述衬底保持温度在介于100℃和350℃之间。
17.根据权利要求1所述的方法,其中,所述电介质膜是掺杂氮的氧化硅,其中所述电介质膜中的氧的浓度为介于2-35原子百分比之间且其中所述电介质膜中的氮的浓度为在所述膜中介于2-35原子百分比之间。
18.根据权利要求1所述的方法,其中,所述电介质膜是掺杂碳的氧化硅,其中所述电介质膜中的氧的浓度为介于2-35原子百分比之间且其中所述电介质膜中的碳的浓度为介于2-35原子百分比之间。
19.根据权利要求1所述的方法,其进一步包括:
施加光致抗蚀剂到所述衬底表面;
将所述光致抗蚀剂暴露于光;
图案化所述抗蚀剂并将图案转移到所述衬底表面;以及
从所述衬底表面选择性地去除所述光致抗蚀剂。
20.根据权利要求1所述的方法,其中:
形成所述电介质膜堆叠的第一富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);以及
形成所述电介质膜堆叠的第二富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c)。
21.根据权利要求1所述的方法,进一步包括:
在沉积所述电介质膜堆叠的第二富氮和/或富碳部分之后通过包含两个或更多个电介质沉积循环的工艺沉积所述电介质膜堆叠的第三电介质部分,每个循环包括(a)-(c);以及
在沉积所述第三电介质部分之后通过包含(d)的工艺形成所述电介质膜堆叠的第三富氮和/或富碳部分;
其中,在所述电介质膜堆叠沉积后,在所述堆叠的所述第一、第二或第三富氮和/或富碳部分中的任意部分中的所述氮和/或碳的浓度大于所述堆叠的所述第一、第二或第三电介质部分中的任意部分中的所述氮和/或碳的浓度。
22.根据权利要求21所述的方法,其中:
形成所述电介质膜堆叠的第一富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);
形成所述电介质膜堆叠的第二富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);以及
形成所述电介质膜堆叠的第三富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c)。
23.根据权利要求21所述的方法,进一步包括:
在沉积所述第三富氮和/或富碳部分之后通过包含两个或更多个电介质沉积循环的工艺沉积所述电介质膜堆叠的第四电介质部分,每个循环包括(a)-(c);以及
在沉积所述第四电介质部分之后通过包含(d)的工艺形成所述电介质膜堆叠的第四富氮和/或富碳部分;
其中,在所述电介质膜堆叠沉积后,在所述堆叠的所述第一、第二、第三或第四富氮和/或富碳部分中的任意部分中的所述氮和/或碳的浓度大于所述堆叠的所述第一、第二、第三或第四电介质部分中的任意部分中的所述氮和/或碳的浓度。
24.根据权利要求23所述的方法,其中:
形成所述电介质膜堆叠的第一富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);以及
形成所述电介质膜堆叠的第二富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);
形成所述电介质膜堆叠的第三富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);以及
形成所述电介质膜堆叠的第四富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c)。
25.一种用于在衬底表面上沉积掺杂氮和/或碳的电介质膜堆叠的装置,所述装置包括:
反应室,其包括用于在所述掺杂的电介质膜的沉积过程中容纳所述衬底的设备;
一个或多个工艺气体进口,其耦合到所述反应室;和
控制器,其设计或配置成使所述装置执行以下操作:
通过包含两个或更多个电介质沉积循环的工艺沉积所述电介质膜堆叠的第一电介质部分,每个循环包括:
(a)在允许电介质膜前体吸附到所述衬底表面的条件下将所述前体引入所述反应室;
(b)随后,在一些前体仍吸附在所述衬底表面上的同时,将至少一些未吸附的前体从所述反应室清除;
(c)将所述衬底表面暴露于等离子体以驱动吸附在所述衬底表面上的电介质膜前体的反应以形成电介质膜堆叠的一部分;
在沉积所述第一电介质部分后,通过包含下述步骤的工艺形成所述电介质膜堆叠的第一富氮和/或富碳部分:
(d)在允许所述掺杂剂物质贡献氮和/或碳到部分形成的所述电介质膜堆叠的条件下,将在(a)-(c)中未引入的含碳和/或含氮掺杂剂物质引入所述反应室;
在形成第一富氮和/或富碳部分后,通过包含两个或更多个电介质沉积循环的工艺沉积所述电介质膜堆叠的第二电介质部分;以及
在沉积所述第二电介质部分之后通过包含(d)的工艺形成所述电介质膜堆叠的第二富氮和/或富碳部分;
其中,在所述电介质膜堆叠沉积后,在所述堆叠的所述第一或第二富氮和/或富碳部分中的任意部分中的所述氮和/或碳的浓度大于所述堆叠的所述第一或第二电介质部分中的任意部分中的所述氮和/或碳的浓度。
26.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成造成所述装置在包括用于沉积所述电介质膜堆叠的第一和第二电介质部分的(a)-(c)的两个或更多个电介质沉积循环之前和期间使氧化剂流入所述反应室。
27.根据权利要求26所述的装置,其中,所述控制器被进一步设计或配置成造成所述电介质膜前体与所述氧化剂在(a)期间以气相共同存在于所述反应室中。
28.根据权利要求26所述的装置,其中,所述氧化剂是一氧化二氮。
29.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成使得用于形成所述电介质膜堆叠的第一和第二富氮和/或富碳部分的工艺还包括:
(e)使所述含碳和/或含氮掺杂剂物质反应以贡献氮和/或碳到部分形成的所述电介质膜堆叠。
30.根据权利要求29所述的装置,其进一步包括用于产生等离子体的功率源,且其中(e)进一步包括使所述含碳和/或含氮掺杂剂物质暴露于等离子体。
31.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成造成在执行(a)之前将所述衬底表面暴露于所述含碳和/或含氮掺杂剂物质以沉积所述电介质膜堆叠的所述第一电介质部分。
32.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成使得用于沉积所述电介质膜堆叠的第一和第二电介质部分的工艺各自包括三个或更多个电介质膜沉积循环,每个循环包括(a)-(c)。
33.根据权利要求25所述的装置,其中,所述电介质膜前体选自二氯硅烷、BTBAS和BDEAS。
34.根据权利要求25所述的装置,其中,所述含碳和/或含氮掺杂剂物质选自碳氢化合物、醇、酮、醛、醚、酯、羧酸、氧杂环戊烷和呋喃。
35.根据权利要求34所述的装置,其中,所述含碳和/或含氮掺杂剂物质选自甲烷、乙烷、丙烷、乙炔、乙烯、丙烯、叔戊醇、乙醇、丙醇、乙二醇和丙炔。
36.根据权利要求25所述的装置,其中,所述含碳和/或含氮掺杂剂物质选自氨、胺、腈、酰胺、含氮杂环化合物和氨基醇。
37.根据权利要求25所述的装置,其中,所述含碳和/或含氮掺杂剂物质选自氨、肼、元素氮、乙腈、叔丁胺、乙醇胺、乙胺和三乙胺。
38.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成造成在电介质沉积(a)-(c)的一个循环期间沉积的膜的量为介于0.5至5埃之间。
39.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成造成总的膜厚度为介于10-20000埃之间。
40.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成造成在(a)-(c)和(d)期间所述衬底保持温度在介于100℃和350℃之间。
41.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成使得
形成所述电介质膜堆叠的第一富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);以及
形成所述电介质膜堆叠的第二富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c)。
42.根据权利要求25所述的装置,其中,所述控制器被进一步设计或配置成造成所述装置执行下面的另外的操作:
在形成所述第二富氮和/或富碳部分之后通过包含一个或更多个电介质沉积循环的工艺沉积所述电介质膜堆叠的第三电介质部分,每个循环包括(a)-(c);以及
在沉积所述第三电介质部分之后通过包含(d)的工艺形成所述电介质膜堆叠的第三富氮和/或富碳部分;
其中,在所述电介质膜堆叠沉积后,在所述堆叠的所述第一、第二或第三富氮和/或富碳部分中的任意部分中的所述氮和/或碳的浓度大于所述堆叠的所述第一、第二或第三电介质部分中的任意部分中的所述氮和/或碳的浓度。
43.根据权利要求42所述的装置,其中,所述控制器被进一步设计或配置成使得:
形成所述电介质膜堆叠的第一富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);
形成所述电介质膜堆叠的第二富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c);以及
形成所述电介质膜堆叠的第三富氮和/或富碳部分还包括在(d)之前执行的一个或更多个电介质沉积循环,每个循环包括(a)-(c)。
44.一种系统,其包括根据权利要求25所述的装置和步进曝光机。
CN201280053888.4A 2011-09-01 2012-08-21 等离子体活化保形电介质膜沉积 Active CN103975419B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/224,240 2011-09-01
US13/224,240 US9611544B2 (en) 2010-04-15 2011-09-01 Plasma activated conformal dielectric film deposition
PCT/US2012/051740 WO2013032786A2 (en) 2011-09-01 2012-08-21 Plasma activated conformal dielectric film deposition

Publications (2)

Publication Number Publication Date
CN103975419A CN103975419A (zh) 2014-08-06
CN103975419B true CN103975419B (zh) 2017-04-12

Family

ID=47757121

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280053888.4A Active CN103975419B (zh) 2011-09-01 2012-08-21 等离子体活化保形电介质膜沉积

Country Status (5)

Country Link
US (1) US9611544B2 (zh)
KR (1) KR102012532B1 (zh)
CN (1) CN103975419B (zh)
TW (1) TWI612581B (zh)
WO (1) WO2013032786A2 (zh)

Families Citing this family (442)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101975071B1 (ko) * 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6035161B2 (ja) * 2012-03-21 2016-11-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN105143503A (zh) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5839606B2 (ja) * 2013-02-26 2016-01-06 東京エレクトロン株式会社 窒化膜を形成する方法
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6170754B2 (ja) * 2013-06-18 2017-07-26 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9606519B2 (en) 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
EP3063571A4 (en) * 2013-10-30 2017-10-18 Tecport Optics, Inc. Ophthalmic optical filters for prevention and reduction of photophobic effects and responses
US11549181B2 (en) * 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI640651B (zh) * 2013-11-22 2018-11-11 應用材料股份有限公司 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9245793B2 (en) 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
KR20150144192A (ko) * 2014-06-16 2015-12-24 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9190489B1 (en) * 2014-09-08 2015-11-17 Lam Research Corporation Sacrificial pre-metal dielectric for self-aligned contact scheme
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
FI126970B (en) 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
AT517120B1 (de) * 2015-05-04 2020-01-15 Zkw Group Gmbh Verfahren zur positionierung zumindest einer elektronischen komponente auf einer leiterplatte
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017052344A1 (ko) * 2015-09-25 2017-03-30 엘지이노텍 주식회사 발광소자, 발광소자 패키지 및 발광장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108140555B (zh) * 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9520284B1 (en) * 2015-11-13 2016-12-13 Varian Semiconductor Equipment Associates, Inc. Ion beam activated directional deposition
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9768070B1 (en) * 2016-05-20 2017-09-19 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
TWI733850B (zh) * 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) * 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) * 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
TWI635539B (zh) * 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102283500B1 (ko) * 2017-12-21 2021-07-29 주식회사 원익아이피에스 박막 증착 방법
KR102269347B1 (ko) * 2017-12-21 2021-06-28 주식회사 원익아이피에스 박막 증착 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
CN111211088B (zh) * 2018-11-21 2023-04-25 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) * 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN111799329A (zh) 2019-04-08 2020-10-20 三星电子株式会社 半导体器件
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) * 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US20200381623A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Methods of forming silicon nitride encapsulation layers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11004878B2 (en) 2019-08-19 2021-05-11 Globalfoundries U.S. Inc. Photodiodes integrated into a BiCMOS process
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021050308A1 (en) * 2019-09-12 2021-03-18 Applied Materials, Inc. Repulsion mesh and deposition methods
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11411013B2 (en) * 2020-01-08 2022-08-09 Micron Technology, Inc. Microelectronic devices including stair step structures, and related electronic devices and methods
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111261428A (zh) * 2020-02-27 2020-06-09 三峡大学 一种氨气等离子体增强硫化钴镍超级电容器性能的方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11543751B2 (en) * 2020-04-16 2023-01-03 International Business Machines Corporation Organic photoresist adhesion to metal oxide hardmasks
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111584411A (zh) * 2020-06-11 2020-08-25 中国科学院微电子研究所 半导体加工设备、沉积钝化层方法及pram制作方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220181160A1 (en) * 2020-12-09 2022-06-09 Applied Materials, Inc. Methods and apparatus for in-situ protection of etched surfaces
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
WO2022187247A1 (en) * 2021-03-02 2022-09-09 Versum Materials Us, Llc Selective deposition of silicon dielectric film
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023102440A1 (en) * 2021-12-03 2023-06-08 Lam Research Corporation Conformal, carbon-doped silicon nitride films and methods thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101416293A (zh) * 2006-03-31 2009-04-22 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法

Family Cites Families (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH04364320A (ja) 1991-06-07 1992-12-16 Mitsubishi Electric Corp 脱調検出回路
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
CN100567564C (zh) 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
JP4965247B2 (ja) 2003-04-23 2012-07-04 アイクストロン・インコーポレーテッド 促進されたaldプロセス
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7449416B2 (en) * 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) * 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US8034727B2 (en) 2005-10-14 2011-10-11 Nec Corporation Method and apparatus for manufacturing semiconductor devices
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
KR100891779B1 (ko) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
WO2007145513A1 (en) 2006-06-16 2007-12-21 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) * 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) * 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
WO2011072143A2 (en) 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) * 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
EP4084093B1 (en) 2010-05-21 2024-02-21 ASM International N.V. Solar cell, and method of manufacturing the same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
EP2638321B1 (en) 2010-11-10 2019-05-08 Nanosys, Inc. Quantum dot films, lighting devices, and lighting methods
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR101975071B1 (ko) 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
WO2013065806A1 (ja) 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
JP2017501530A (ja) 2013-10-17 2017-01-12 ナノシス・インク. 発光ダイオード(led)デバイス
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101416293A (zh) * 2006-03-31 2009-04-22 应用材料股份有限公司 用于介电膜层的阶梯覆盖与图案加载
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法

Also Published As

Publication number Publication date
KR102012532B1 (ko) 2019-08-20
KR20140071402A (ko) 2014-06-11
US9611544B2 (en) 2017-04-04
WO2013032786A2 (en) 2013-03-07
TW201327679A (zh) 2013-07-01
CN103975419A (zh) 2014-08-06
TWI612581B (zh) 2018-01-21
US20120009802A1 (en) 2012-01-12
WO2013032786A3 (en) 2013-05-10

Similar Documents

Publication Publication Date Title
CN103975419B (zh) 等离子体活化保形电介质膜沉积
CN103890910B (zh) 等离子体活化保形电介质膜沉积的方法和装置
CN103243310B (zh) 在衬底表面上的等离子体激活的保形膜沉积的方法
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
US10043655B2 (en) Plasma activated conformal dielectric film deposition
US20180323057A1 (en) Selective atomic layer deposition with post-dose treatment
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
CN103119692B (zh) 平滑的含硅膜
KR101762978B1 (ko) 플라즈마-활성화 컨포멀 막 증착
CN110313051A (zh) 使用远程等离子体处理使碳化硅膜致密化
CN107393809A (zh) 使用pecvd沉积保形和低湿蚀刻速率的封装层的方法
CN107799390A (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN106057637A (zh) 通过原子层沉积和原子层蚀刻沉积共形膜
US20230307290A1 (en) Reducing intralevel capacitance in semiconductor devices
WO2023178273A1 (en) Reducing capacitance in semiconductor devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant