KR102145694B1 - 플라즈마 활성화된 등각 막 성막을 위한 전구체들 - Google Patents

플라즈마 활성화된 등각 막 성막을 위한 전구체들 Download PDF

Info

Publication number
KR102145694B1
KR102145694B1 KR1020190122916A KR20190122916A KR102145694B1 KR 102145694 B1 KR102145694 B1 KR 102145694B1 KR 1020190122916 A KR1020190122916 A KR 1020190122916A KR 20190122916 A KR20190122916 A KR 20190122916A KR 102145694 B1 KR102145694 B1 KR 102145694B1
Authority
KR
South Korea
Prior art keywords
reactant
film
plasma
silicon
substrate
Prior art date
Application number
KR1020190122916A
Other languages
English (en)
Other versions
KR20190126256A (ko
Inventor
아드리엔 라부아
마크 제이 새리
다니엘 모저
라제시 오데드라
라비 칸졸리아
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20190126256A publication Critical patent/KR20190126256A/ko
Application granted granted Critical
Publication of KR102145694B1 publication Critical patent/KR102145694B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 표면 상에 막을 성막하는 방법은, 반응 챔버에 기판을 제공하는 단계; 디-터트-부틸 디아지도실란, 비스(에틸메틸아미도)실란, 비스(디이소프로필아미노) 실란, 비스(터트-부틸히드라지도)디에틸실란, 트리스(디메틸아미도)실릴아지드, 트리스(디메틸아미도)실릴아미드, 에틸실리콘 트리아지드, 디이소프로필아미노실란, 및 헥사키스(디메틸아미도) 디실라잔으로 이루어진 전구체 그룹으로부터 실리콘 함유 반응물을 선택하는 단계; 실리콘 함유 반응물이 기판 표면에 흡착하는 것을 허용하는 조건들 하에서 반응 챔버 속에 기상의 실리콘 함유 반응물을 도입하는 단계; 실리콘 함유 반응물이 기판 표면에 흡착되는 동안 반응 챔버 속에 기상의 제 2 반응물을 도입하는 단계로서, 제 2 반응물은 반응 챔버 밖으로 실리콘 함유 반응물을 먼저 스위프시키지 않고서 도입되는, 제 2 반응물을 도입하는 단계; 및 막을 형성하도록 상기 기판 상에서 실리콘 함유 반응물 및 제 2 반응물 간에 반응을 추진하기 위하여 기판 표면을 플라즈마에 노출시키는 단계를 포함한다.

Description

플라즈마 활성화된 등각 막 성막을 위한 전구체들{PRECURSORS FOR PLASMA ACTIVATED CONFORMAL FILM DEPOSITION}
관련 출원들에 대한 상호 참조
본 출원은 2012년 2월 14일자로 출원된 미국 가출원 제61/598,547호의 우선권을 주장한다. 위의 출원의 전체 개시물은 본 명세서에 참조로 통합된다.
본 출원은 2011년 4월 11일자로 출원된 미국 특허출원 제13/084,399호, 2011년 4월 11일자로 출원된 미국 특허출원 제13/084,305호, 및 2011년 4월 11일자로 출원된 미국 특허출원 제13/083,827호에 관련되며, 그것들은 그 전체가 본 명세서에 참조로 통합된다.
배경
반도체 디바이스들을 위한 다양한 박막 층들은 원자 층 증착 (Atomic layer deposition; ALD) 프로세스들로 성막된다. 일부 조건들 하에서, 일부 ALD 프로세스들은 웨이퍼를 포화시킬 수 없을 수도 있어, 웨이퍼 상의 불완전한 막 성막, 막 섬화 (islanding), 및 막 두께 변동으로 이어질 수 있다. 불완전한 막 성막을 다루는 일부 접근법들은 웨이퍼 표면을 막 전구체로 포화시키기 위해 더 긴 도우징 시간들 (dosing times) 을 포함할 수도 있다. 그러나, 연장된 도우징 시간은 막 핵형성 (nucleation) 페이즈들 동안 값비싼 전구체를 낭비할 수도 있다. 프로세싱 시간을 연장하는 것의 부가적인 효과는 생산 라인을 지원하기 위해 부가적인 프로세스 도구들의 설치 및 유지보수를 요구하는 프로세스 도구 스루풋을 감소시킬 수도 있다. 게다가, 이러한 접근법들에 의해 생산된 막들은 불충분한 디바이스 성능을 제공하는 물리적, 화학적, 또는 전기적 특성들을 가질 수도 있다.
기판 표면 상에 막을 성막하는 방법은, 반응 챔버에 기판을 제공하는 단계; 디-터트-부틸 디아지도실란, 트리스(디메틸아미도)실릴아지드, 및 비스(터트-부틸히드라지도)디에틸실란으로 이루어지는 전구체 그룹으로부터 실리콘 함유 반응물을 선택하는 단계; 반응 챔버 속에 기상 (vapor phase) 의 실리콘 함유 반응물을 도입하는 단계; 및 반응 챔버 속에 기상의 제 2 반응물을 도입하는 단계를 포함한다.
다른 특징들에서, 이 방법은 실리콘 함유 반응물이 기판 표면에 흡착하는 것을 허용하는 조건들 하에서 실리콘 함유 반응물을 도입하는 단계 및 막을 형성하기 위해 기판 상에서 실리콘 함유 반응물 및 제 2 반응물간에 반응을 추진하도록 기판 표면을 플라즈마에 노출시키는 단계를 포함한다.
다른 특징들에서, 이 방법은 실리콘 함유 반응물이 기판 표면 상에 흡착되는 동안 그리고 반응 챔버 밖으로 실리콘 함유 반응물을 먼저 스위프시키지 않고서, 반응 챔버 속에 제 2 반응물을 도입하는 단계를 포함한다. 제 2 반응물은 일정한 유량 (flow rate), 일정하지 않은 유량 및 단속적 유량 중의 하나에서 기판 표면으로 흐른다.
다른 특징들에서, 막은 SiN을 포함하며, 막은 등각 구조 (conformal structure) 를 형성하고, 막의 성막은 400 ℃ 이하의 온도에서 수행된다.
기판 표면 상에 막을 성막하는 방법은, (a) 반응 챔버에 기판을 제공하는 단계; (b) 디-터트-부틸 디아지도실란, 비스(에틸메틸아미도)실란, 비스(디이소프로필아미노)실란, 비스(터트-부틸히드라지도)디에틸실란, 트리스(디메틸아미도)실릴아지드, 트리스(디메틸아미도)실릴아미드, 에틸실리콘 트리아지드, 디이소프로필아미노실란, 및 헥사키스(디메틸아미도)디실라잔으로 이루어지는 전구체 그룹으로부터 실리콘 함유 반응물을 선택하는 단계; (c) 실리콘 함유 반응물이 기판 표면에 흡착하는 것을 허용하는 조건들 하에서 반응 챔버 속에 기상의 실리콘 함유 반응물을 도입하는 단계; (d) 실리콘 함유 반응물이 기판 표면에 흡착되는 동안 반응 챔버 밖으로 실리콘 함유 반응물을 먼저 스위프시키지 않고서, 반응 챔버 속에 기상의 제 2 반응물을 도입하는 단계; 및 (e) 막을 형성하도록 기판 상에서 실리콘 함유 반응물 및 제 2 반응물 간에 반응을 추진하기 위하여 기판 표면을 플라즈마에 노출시키는 단계를 포함한다.
다른 특징들에서, 이 방법은 실리콘 함유 반응물 및 제 2 반응물 중 적어도 하나가 기체상인 한편 기판에 노출되는 동안에 플라즈마를 점화시키는 단계를 포함한다. 실리콘 함유 반응물 및 제 2 반응물은 기상에서 서로 반응하지 않는다.
다른 특징들에서, 상기 막은 SiN 막을 포함하고 성막은 400℃ 이하의 온도에서 수행된다. 제 2 반응물은 단계 (d) 동안 일정하지 않은 유량에서 기판 표면으로 흐른다. 이 방법은 단계 (e) 전에 기상에서 제 2 반응물을 스위프시키는 단계를 더 포함한다. 이 방법은 스위프 페이즈 (sweep phase) 후에 그러나 단계 (e) 전에 제 2 반응물에 기판 표면을 노출시키는 단계를 포함한다.
다른 특징들에서, 실리콘 함유 반응물 및 제 2 반응물은 기상에서 공존한다. 실리콘 함유 반응물 및 제 2 반응물은 단계 (e) 에서의 플라즈마에의 노출까지 서로 감지할 수 있을 정도로 (appreciably) 반응하지 않는다. 단계 (e) 에서 형성된 막은 등각 구조를 형성한다. 단계 (e) 후, 이 방법은 화학 기상 증착에 의해 막의 일 부분 직상에 추가의 막을 성막하는 단계를 포함한다. 제 2 반응물은 일정한 유량, 일정하지 않은 유량 및 단속적 유량 중의 하나에서 기판 표면으로 흐른다.
기판 상에 막을 성막하는 장치는 반응 챔버와 반응 챔버에 기체상 반응물들을 전달하는 입구 포트를 구비한다. 플라즈마 발생기가 반응 챔버에 플라즈마를 제공한다. 제어기는 실리콘 함유 반응물이 기판 표면에 흡착하는 것을 허용하는 조건들 하에서 반응 챔버 속에 기상의 실리콘 함유 반응물을 흐르게 하는 것을 개시하게 한다. 실리콘 함유 반응물은 디-터트-부틸 디아지도실란, 비스(에틸메틸아미도)실란, 비스(디이소프로필아미노)실란, 비스(터트-부틸히드라지도)디에틸실란, 트리스(디메틸아미도)실릴아지드, 트리스(디메틸아미도)실릴아미드, 에틸실리콘 트리아지드, 디이소프로필아미노실란, 및 헥사키스(디메틸아미도)디실라잔으로 이루어지는 전구체 그룹으로부터 선택된다. 제어기는 실리콘 함유 반응물이 기판 표면에 흡착되는 동안 그리고 반응 챔버 밖으로 실리콘 함유 반응물을 먼저 스위프시키지 않고서 반응 챔버 속에 기상의 제 2 반응물을 흐르게 하는 것을 개시하게 한다. 제어기는 막을 형성하도록 실리콘 함유 반응물 및 제 2 반응물 간에 반응을 추진하기 위하여 플라즈마 발생기로 하여금 반응 챔버에서 플라즈마를 발생시키게 한다.
다른 특징들에서, 제어기는 막의 일 부분 직상에 화학 기상 증착을 개시한다. 제어기는 막을 식각하는 것과 식각된 막 상에 추가의 막을 성막하는 것을 개시한다.
다른 특징들에서, 막은 SiN 막을 포함하며, 이 막은 등각 구조를 형성하고, 막의 성막은 400 ℃ 이하의 온도에서 수행된다.
이들 및 다른 특징들은 관련 도면들을 참조하여 아래에서 더 상세히 설명될 것이다.
도 1은 본 개시물의 일 예에 따른 일 예의 등각 막 성막 (conforma film deposition; CFD) 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
도 2는 본 개시물의 일 예에 따른 다른 예의 CFD 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
도 3은 본 개시물의 일 예에 따른 다른 예의 CFD 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
도 4는 본 개시물의 일 예에 따른 플라즈마 처리 사이클을 포함하는 일 예의 CFD 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
도 5는 본 개시물의 일 예에 따라 성막된 막들에 대한 습식 식각 레이트 비 및 성막 온도 사이의 일 예의 상관을 도시한다.
도 6은 본 개시물의 일 예에 따라 성막된 막들에 대한 습식 식각 레이트 비 및 막 스트레스 사이의 일 예의 상관을 도시한다.
도 7은 본 개시물의 일 예에 따라 성막된 막들에 대한 막 오염물 농도 및 성막 온도 사이의 일 예의 상관을 도시한다.
도 8은 복수의 갭들을 포함하는 비-평면 기판의 일 예의 단면도를 개략적으로 도시한다.
도 9는 본 개시물의 일 예에 따른 PECVD 프로세스로의 천이를 포함하는 일 예의 CFD 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
도 10은 키홀 보이드 (keyhole void) 를 포함하는 갭 충전물의 일 예의 단면 을 개략적으로 도시한다.
도 11은 본 개시물의 일 예에 따른 인시츄 식각 (in-situ etch) 을 포함하는 일 예의 CFD 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
도 12a는 요형 (re-entrant) 갭 충전 프로파일의 일 예의 단면을 개략적으로 도시한다.
도 12b는 본 개시물의 일 예에 따른 인시츄 식각 프로세스 동안에 도 12a의 요형 갭 충전 프로파일의 일 예의 단면을 개략적으로 도시한다.
도 12c는 본 개시물의 일 예에 따른 인시츄 식각 후의 성막 공정 동안에 도 12b의 갭 충전 프로파일의 일 예의 단면을 개략적으로 도시한다.
도 13은 본 개시물의 일 예에 따른 예의 프로세스 스테이션을 개략적으로 도시한다.
도 14는 본 개시물의 일 예에 따른 혼합 베셀 (vessel) 및 복수의 혼합 베셀 출구 밸브들을 구비한 일 예의 반응물 분배 시스템의 사시도를 도시한다.
도 15는 도 14에 도시된 반응물 분배 시스템의 측면도 예를 도시한다.
도 16은 본 개시물의 일 예에 따른 일 예의 반응물 분배 시스템에 대한 일 예의 타이밍 도를 개략적으로 도시한다.
도 17은 반응물 전달 시스템에 대한 예의 이동 및 스위프 시간 지연들을 개략적으로 도시한다.
도 18은 본 개시물의 일 예에 따른 프로세스 스테이션의 마이크로볼륨에 대한 일 예의 스커트 인클로저를 개략적으로 도시한다.
도 19는 본 개시물의 일 예에 따른 프로세스 스테이션에 대한 복수의 마이크로볼륨들을 구비한 일 예의 샤워헤드를 개략적으로 도시한다.
도 20은 본 개시물의 일 예에 따른 프로세스 스테이션에 구비된 복수의 마이크로볼륨들의 다른 예를 개략적으로 도시한다.
도 21은 본 개시물의 일 예에 따른 각종 압력들에서 듀얼-존 샤워헤드의 스위프 시간 및 스위프 유량 사이의 예의 상관들을 개략적으로 도시한다.
도 22는 본 개시물의 일 예에 따른 플라즈마 개시를 위한 신호 세기 및 시간 사이의 일 예의 상관을 개략적으로 도시한다.
도 23은 본 개시물의 일 예에 따른 플라즈마 개시 제어를 위한 신호 세기 및 시간 사이의 다른 예의 상관을 개략적으로 도시한다.
도 24는 본 개시물의 일 예에 따른 복수의 프로세스 스테이션들 및 제어기를 구비한 예의 프로세스 도구를 개략적으로 도시한다.
도 25는 본 개시물의 일 예에 따른 인시츄 식각을 포함하는 CFD 프로세스 동안의 실리콘 관통 비아 (through-silicon via) 의 일 예의 단면도를 개략적으로 도시한다.
도 26은 본 개시물의 일 예에 따라서 성막된 갭 충전물을 포함하는 비-평면 기판의 일 예의 단면을 개략적으로 도시한다.
도 27은 본 개시물의 일 예에 따른 PECVD 프로세스로의 천이를 포함하는 일 예의 CFD 프로세스에 대한 다른 타이밍 도를 개략적으로 도시한다.
도 28은 본 개시물의 일 예에 따른 PECVD 프로세스들로의 인시츄 천이들을 포함하는 두 개의 예의 CFD 프로세스들에 의해 처리된 비-평면 기판들의 일 예의 성막 레이트 상관을 도시하는 그래프를 도시하고 또한 예의 주사형 전자 현미경 (scanning electron microscope; SEM) 이미지들을 도시한다.
도 29는 본 개시물의 일 예에 따른, 동시 PECVD 및 CFD 성막 프로세스들을 포함하고 프로세스 스테이션에 대한 반응물 B의 불연속적 공급 및 플라즈마 활성화 사이에 양의 지속 시간을 갖는 스위프 페이즈를 포함하는 다른 예의 CFD 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
도 30은 본 개시물의 일 예에 따른, 동시 PECVD 및 CFD 성막 프로세스들을 포함하고 반응물 B의 불연속적 공급 및 플라즈마 활성화 사이에서 스위프 페이즈를 배제한 다른 예의 CFD 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
도 31은 본 개시물의 일 예에 따른, 동시 PECVD 및 CFD 성막 프로세스들을 포함하고 프로세스 스테이션에 대한 반응물 B의 공급 및 플라즈마 활성화 사이에 오버랩을 포함하는 다른 예의 CFD 프로세스에 대한 타이밍 도를 개략적으로 도시한다.
반도체 디바이스들의 제조는 전형적으로 통합된 제작 프로세스에서 비-평면 기판 상에 하나 이상의 박막들을 성막하는 것을 수반한다. 통합된 프로세스의 일부 양태들에서 기판 토포그래피에 합치 (conform) 하는 박막들을 성막하는 것이 유용할 수도 있다. 예를 들어, 실리콘 질화 막은 상승된 (elevated) 게이트 스택의 상부에 성막되어 경하게 (lightly) 도핑된 소스 및 드레인 지역들을 후속하는 이온 주입 프로세스들로부터 보호하기 위한 스페이서 층의 역할을 한다.
스페이서 층 성막 프로세스들에서, 화학 기상 증착 (chemical vapor deposition; CVD) 프로세스들은 비-평면 기판 상에 실리콘 질화 막을 형성하는데 이용될 수도 있으며, 이 실리콘 질화 막은 그 다음에 스페이서 구조를 형성하기 위해 이방성 식각된다. 그러나, 게이트 스택들 사이의 거리가 감소함에 따라, CVD 기체상 반응들의 질량 수송 (mass transport) 제한들은 "브레드-로핑 (bread-loafing)" 성막 효과를 초래할 수도 있다. 이러한 효과는 통상 게이트 스택들의 상부 (top) 표면들에서의 더 두꺼운 성막 및 게이트 스택들의 하부 (bottom) 코너들에서의 더 얇은 성막을 나타낸다. 게다가, 일부 다이 (die) 가 상이한 디바이스 밀도의 지역들을 가질 수도 있기 때문에, 웨이퍼 표면에 걸친 질량 수송 효과는 다이-내 (within-die) 및 웨이퍼-내 (within-wafer) 막 두께 변동을 야기할 수도 있다. 이들 두께 변동들은 일부 지역들의 오버 식각 (over-etching) 및 다른 지역들의 언더 식각 (under-etching) 을 야기할 수도 있다. 이는 디바이스 성능 및/또는 다이 수율을 떨어뜨릴 수도 있다.
이들 문제들을 다루는 일부 접근법들은 원자 층 증착 (ALD) 을 수반한다. 열적으로 활성화된 기체상 반응들이 막들을 성막시키는데 이용되는 CVD 프로세스와 대조적으로, ALD 프로세스들은 표면-매개 (surface-mediated) 성막 반응들을 이용하여 막들을 층 단위 기준 (layer-by-layer basis) 으로 성막시킨다. 하나의 예의 ALD 프로세스에서, 표면 액티브 사이트들의 전체 수를 포함한 기판 표면은, 제 1 막 전구체 (P1) 의 기체상 분배에 노출된다. P1의 일부 분자들은 P1의 화학흡착된 종들 및 물리흡착된 분자들을 포함하여, 기판 표면의 꼭대기에 응축된 상을 형성할 수도 있다. 그 다음 반응기는 화학흡착된 종들만이 남도록 기체상 및 물리흡착된 P1을 제거하기 위해 진공화된다. 그 다음 제 2 막 전구체 (P2) 가 P2의 일부 분자들이 기판 표면에 흡착하도록 반응기에 도입된다. 반응기는 이번에는 비결합된 (unbound) P2를 제거하기 위해 다시 진공화될 수도 있다. 그 후, 기판에 제공된 열 에너지는 P1 및 P2의 흡착된 분자들 사이의 표면 반응들을 활성화시켜, 박층을 형성한다. 마지막으로, 반응기는 반응 부산물들 및 아마도 반응되지 않은 P1 및 P2를 제거하기 위해 진공화되어, ALD 사이클을 종료한다. 부가적인 ALD 사이클들은 막 두께를 구축하기 위해 포함될 수도 있다.
전구체 도우징 단계들의 노출 시간 및 전구체들의 스티킹 (sticking) 계수들에 의존하여, 각각의 ALD 사이클은, 하나의 예에서, 1/2과 3 사이의 옹스트롬 두께의 박층을 성막할 수도 있다. 따라서, ALD 프로세스들은 막들을 몇 나노미터를 초과하는 두께로 성막하는 경우에 시간 낭비적일 수도 있다. 게다가, 일부 전구체들은 등각 막을 성막하는데 긴 노출 시간들을 가질 수도 있으며, 이는 또한 웨이퍼 스루풋 시간을 감소시킬 수도 있다.
등각 막들은 또한 평면 기판들 상에 성막될 수도 있다. 예를 들어, 리소그래픽 패터닝 애플리케이션들을 위한 반사방지 층들은 교번하는 막 유형들을 포함하는 평면 스택들로부터 형성될 수도 있다. 이러한 반사방지 층들은 대략 100 내지 1000 옹스트롬 두께일 수도 있어서, ALD 프로세스들을 CVD 프로세스들보다 덜 매력적이게 만든다. 그러나, 이러한 반사방지 층들은 또한 많은 CVD 프로세스들이 제공할 수도 있는 허용오차보다 웨이퍼-내 두께 변동에 대해 낮은 허용오차 (tolerance) 를 가질 수도 있다. 예를 들어, 600-옹스트롬 두께의 반사방지 층은 3 옹스트롬 미만의 두께 범위를 허용할 수도 있다.
따라서, 비-평면 및 평면 기판들 상에 플라즈마-활성화된 등각 막 성막 (CFD) 을 위한 프로세스들 및 장비를 제공하는 다양한 예들이 본원에서 제공된다. 이들 예들은 전부는 아닌 일부 CFD 프로세스들에서 채용된 다양한 특징들을 포함한다. 이들 특징들 중에는 (1) 반응 챔버로부터 하나 또는 양쪽 모두의 반응물들을 "스위프 (sweep)" 시키는데 필요한 시간을 소거하거나 또는 감소시키는 것, (2) 적어도 하나의 반응물의 연속 흐름을 다른 반응물이 반응 챔버 속으로 단속적으로 흐르게 되는 동안 제공하는 것, (3) 모든 반응물들이 반응 챔버로부터 제거되는 경우보다는, 반응물들 중의 하나가 기체상으로 존재하는 동안, 플라즈마를 점화하는 것, (4) 막 성질들을 변경하기 위해 성막된 CFD 막들을 플라즈마로 처리하는 것, (5) 통상 동일한 반응 챔버에서, CFD로 막의 제 1 부분을 성막한 후에 PECVD로 막의 일 부분을 성막하는 것, (6) CFD 스테이지들 사이에서 부분적으로 성막된 막을 식각하는 것, 및 (7) 도펀트 전달 사이클들을 막 전용 (only) 성막 사이클들과 섞는 것 (interspersing) 에 의해 CFD 막을 도핑하는 것이 있다. 물론, 이 목록은 완전하지 않다. 다양한 다른 CFD 특징들이 명세서의 나머지를 고려하는 경우에 명백하게 될 것이다.
CFD "사이클"의 개념은 본원에서의 여러 가지 예들의 논의에 관계가 있다. 일반적으로 사이클은 표면 성막 반응을 한 번 수행하는데 필요한 동작들의 최소 세트이다. 하나의 사이클의 결과는 기판 표면 상의 적어도 부분 박층의 생성이다. 통상적으로, CFD 사이클은 기판 표면에 각각의 반응물을 전달하고 흡착한 다음 그들 흡착된 반응물들을 반응시켜 막의 부분 층을 형성하기 위해 필요한 그러한 단계들만을 포함할 것이다. 물론, 사이클은 반응물들 또는 부산물들 중 하나를 스위프시키는 것 및/또는 성막된 그대로의 부분 막을 처리하는 것과 같은 특정 보조 단계들을 포함할 수도 있다. 일반적으로, 사이클은 동작들의 고유 시퀀스의 하나의 인스턴스만을 포함한다. 일 예로, 사이클은 다음의 동작들을 포함할 수도 있다: (i) 반응물 A의 전달/흡착, (ii) 반응물 B의 전달/흡착, (iii) 반응 챔버 밖으로의 B 스위프, 및 (iv) 표면 상에 부분 박층을 형성하기 위하여 A 및 B의 표면 반응을 추진하도록 플라즈마 인가.
7개의 위에서 언급된 특징들이 이제 추가로 설명될 것이다. 다음의 설명들에서, 하나 이상의 반응물들이 기판 표면에 흡착된 다음 플라즈마와의 상호작용에 의해 표면에 막을 형성하기 위해 반응하는 CFD 반응을 고려한다.
특징 1 (반응물의 연속 흐름) - 반응물이 기존의 ALD에서 정상적으로 흐르지 않을 경우에 CFD 사이클의 하나 이상의 부분들 동안 반응물 A는 반응 챔버로 계속 흐른다. 기존의 ALD에서, 반응물 A는 기판 표면에의 반응물 흡착을 가질 목적을 위해서만 흐른다. ALD 사이클의 다른 페이즈들에, 반응물 A는 흐르지 않는다. 그러나, 본원에서 설명되는 특정 CFD 예들에 따라서, 반응물 A는 그것의 흡착에 연관된 페이즈들 동안 뿐만 아니라 A의 흡착과는 다른 동작들을 수행하는 CFD 사이클의 페이즈들 동안에도 흐른다. 예를 들어, 많은 예들에서, 반응물 A는 장치가 제 2 반응물 (여기서는 반응물 B) 을 도우징하는 동안 반응기 속으로 흐른다. 따라서, CFD 사이클의 적어도 일 부분 동안, 반응물들 A 및 B는 기체상으로 공존한다. 게다가, 반응물 A는 플라즈마가 기판 표면에서 반응을 하게 하기 위해 인가되는 동안 흐를 수도 있다. 연속적으로 흐르는 반응물은 캐리어 기체- 예컨대, 아르곤과 함께 반응 챔버에 전달될 수도 있다는 점에 주의한다.
연속 흐름 예의 하나의 이점은 확립된 흐름이 흐름을 턴 온 및 오프 하는 것에 연관된 흐름의 일시적 초기화 및 안정화에 의해 야기된 지연들 및 흐름 변동들을 피한다는 것이다.
특정 예로서, 산화막은 주요 반응물 (때때로 "고체 성분" 전구체 또는, 이 예에서, 간단히 "반응물 B"라고 지칭됨) 을 이용하여 등각 막 성막 공정에 의해 성막될 수도 있다. 비스 (터트-부틸아미노) 실란 (BTBAS) 은 하나의 이러한 주요 반응물이다. 이 예에서, 산화물 성막 공정은 별개의 노출 페이즈들에서의 주요 반응물의 전달 동안에 초기에 그리고 연속적으로 흐르는 산소 또는 아산화 질소와 같은 산화제의 전달을 수반한다. 이 산화제는 또한 별개의 플라즈마 노출 페이즈들 동안에 계속 흐른다. 예를 들어 도 1에 도시된 시퀀스를 참조한다. 비교를 위해, 기존의 ALD 프로세스에서, 산화제의 흐름은 고체 성분 전구체가 반응기로 전달되는 경우에 중지될 것이다. 예를 들어, 반응물 A의 흐름은 반응물 B가 전달되는 경우에 중지할 것이다.
일부 특정한 예들에서, 연속적으로 흐르는 반응물은 "보조" 반응물이다. 본원에서 사용되는 바와 같이, "보조" 반응물은 주 반응물이 아닌 임의의 반응물이다. 위에서 제안된 바와 같이, 주요한 반응물은 실온에서 고체인 원소 (element) 를 포함하며, 그 원소는 CFD에 의해 형성된 막에 기여한다. 이러한 원소들의 예들은 금속들 (예컨대, 알루미늄 및 티타늄), 반도체들 (예컨대, 실리콘 및 게르마늄), 및 비-금속들 또는 준금속들 (예컨대, 붕소) 이다. 보조 반응물들의 예들은 산소, 오존, 수소, 일산화 탄소, 아산화 질소, 암모니아, 알킬 아민들 등등이다.
연속적으로 흐르는 반응물은 일정한 유량으로 또는 가변되지만 제어되는 유량으로 제공될 수도 있다. 이 후자의 경우에, 일 예로서, 보조 반응물의 유량은 1차 반응물 (primary reactant) 이 전달되는 경우인 노출 페이즈 동안 떨어질 수도 있다. 예를 들어, 산화물 성막에서, 산화제 (예컨대, 산소 또는 아산화 질소) 는 전체 성막 시퀀스 동안 연속적으로 흐를 수도 있지만, 그것의 유량은 1차 반응물 (예컨대, BTBAS) 이 전달되는 경우에 떨어질 수도 있다. 이는 BTBAS의 부분 압력을 그것의 도우징 동안에 증가시키며, 이에 의해 기판 표면을 포화시키기 위해 필요한 노출 시간을 감소시킨다. 플라즈마를 점화하기 직전에, 산화제의 흐름은 BTBAS가 플라즈마 노출 페이즈 동안 존재할 가능성 (likelihood) 을 감소시키기 위해 증가될 수도 있다. 일부 예들에서, 연속적으로 흐르는 반응물은 둘 이상의 성막 사이클들 동안 가변된 유량으로 흐른다. 예를 들어, 반응물은 제 1 CFD 사이클 동안 제 1 유량으로 그리고 제 2 CFD 사이클 동안 제 2 유량으로 흐를 수도 있다.
다수의 반응물들이 채용되고 그것들의 하나의 흐름이 연속적인 경우, 그것들 중의 적어도 2개는 CFD 사이클의 일 부분 동안 기체상으로 공존할 것이다. 마찬가지로, 제 1 반응물의 전달 후에 퍼지 (purge) 단계가 수행되지 않는 경우, 두 개의 반응물들은 공존할 것이다. 그러므로, 활성화 에너지의 인가 없이 기체상에서 서로 감지할 수 있을 정도로 반응하지 않는 반응물들을 채용하는 것이 중요할 수도 있다. 통상적으로, 반응물들은 기판 표면에 존재하고 플라즈마 또는 또 다른 적절한 비-열적 활성화 조건에 노출되기까지 반응하지 않아야 한다. 이러한 반응물들을 선택하는 것은 적어도 (1) 소망의 반응의 열역학적 친화도 (favorability) (깁스 자유 에너지 <0), 및 (2) 소망의 성막 온도에서 무시할 수 있는 반응이 존재하도록 충분히 커야 하는, 반응을 위한 활성화 에너지의 고려를 수반한다.
특징 2 (스위프 단계를 감소 또는 배제) - 특정 예들에서, 프로세스는 기존의 ALD에서 정상적으로 수행될 스위프 단계에 연관된 시간을 필요 없게 하거나 또는 감소시킨다. 기존의 ALD에서, 별개의 스위프 단계는 반응물이 전달되고 기판 표면에 흡착된 후에 수행된다. 흡착 또는 반응은 기존의 ALD 스위프 단계에서 전혀 또는 거의 일어나지 않는다. CFD 사이클에서, 스위프 단계는 반응물들 중의 적어도 하나의 전달 후에 감소되거나 또는 배제된다. 스위프 단계가 제거되는 프로세스 시퀀스의 일 예는 도 1에서 제시된다. 반응 챔버로부터 반응물 A를 스위프시키는 스위프 단계는 수행되지 않는다. 일부 경우들에서, CFD 사이클에서의 제 1 반응물의 전달 후에 스위프 단계는 수행되지 않지만 스위프 단계는 옵션으로는 제 2 또는 마지막 전달된 반응물의 전달 후에 수행된다.
CFD "스위프" 단계 또는 페이즈의 개념은 본원에서의 다양한 예들의 논의에서 나타난다. 일반적으로, 스위프 페이즈는 기상 반응물의 하나를 반응 챔버로부터 제거하거나 또는 퍼지 (purge) 하고 통상 이러한 반응물의 전달이 완료된 후에만 일어난다. 다르게 말하면, 그 반응물은 스위프 페이즈 동안에 반응 챔버로 더 이상 전달되지 않는다. 그러나, 반응물은 스위프 페이즈 동안에 기판 표면 상에 흡착된 채로 남아 있다. 통상적으로, 스위프는 반응물이 소망의 레벨로 기판 표면 상에 흡착된 후에 챔버에서의 임의의 잔여 기상 반응물을 제거하는 역할을 한다. 스위프 페이즈는 또한 약하게 흡착된 종 (예컨대, 특정 전구체 리간드들 또는 반응 부산물들) 을 기판 표면으로부터 제거할 수도 있다. ALD에서, 스위프 페이즈는 표면 반응을 위한 열적, 플라즈마 또는 다른 추진력 (driving force) 을 이용한 2 개의 반응물들의 기체상 상호작용 또는 하나의 반응물의 상호작용을 방지하는데 필요한 것으로서 보여진다. 일반적으로, 그리고 본원에서 다르게 명시되지 않는 한, 스위프 페이즈는 (i) 반응 챔버를 진공화하는 것, 및/또는 (ii) 반응 챔버를 통한 스위프될 종들을 포함하지 않는 기체를 흐르게 하는 것에 의해 달성될 수도 있다. (ii) 의 경우에, 이러한 기체는, 예를 들어, 연속적으로 흐르는 보조 반응물과 같은 불활성 기체 또는 보조 반응물일 수도 있다.
스위프 페이즈의 배제는 다른 반응물의 연속 흐름으로 또는 연속 흐름 없이 달성될 수도 있다. 도 1에 도시된 예에서, 반응물 A는 기판 표면 상에 그것의 흡착이 완료된 (도면에서 참조 번호 130에 의해 예시됨) 후에 스위프되지 않고 계속 흐른다.
둘 이상의 반응물들이 채용되는 다양한 예들에서, 그것의 스위프 단계가 제거되거나 또는 감소된 반응물은 보조 반응물이다. 일 예로서, 보조 반응물은 산화제 또는 질소 소스이고 1차 반응물은 실리콘, 붕소, 또는 게르마늄 함유 전구체이다. 물론, 주요 반응물의 스위프는 또한 감소되거나 또는 제거될 수도 있다. 일부 예들에서, 스위프 단계는 보조 반응물의 전달 후에 수행되지 않지만 스위프 단계는 옵션으로 주요 반응물의 전달 후에 수행된다.
언급된 바와 같이, 스위프 페이즈는 완전히 배제될 필요는 없고 기존의 ALD 프로세스들에서의 스위프 페이즈들과 비교하여 단순히 지속시간이 감소된다. 예를 들어, CFD 사이클 동안에 보조 반응물과 같은 반응물의 스위프 페이즈는 약 0.2 초 이하 동안, 예컨대, 약 0.001 내지 0.1 초 동안 수행될 수도 있다.
특징 3 (반응물들 중의 하나가 기체상으로 존재하는 동안의 플라즈마 점화) - 이 특징으로, 플라즈마는 모든 반응물들이 반응 챔버로부터 클리어되기 전에 점화된다. 이는 기상 반응물들이 반응 챔버에 더 이상 존재하지 않은 후에만 플라즈마 활성화 또는 다른 반응 추진 동작이 제공되는 기존의 ALD와 대조적이다. 이 특징은 도 1에 도시된 바와 같은 CFD 사이클의 플라즈마 부분 동안 반응물 A가 연속적으로 흐르는 경우에 반드시 발생할 것이라는 점에 주의한다. 그러나, 개시된 예들은 이 방식으로 제한되지 않는다. 하나 이상의 반응물들은 CFD 사이클의 플라즈마 페이즈 동안에 흐를 수도 있지만 CFD 사이클 동안에 연속적으로 흐를 필요는 없다. 게다가, 플라즈마 활성화 동안에 기상으로 존재하는 반응물은 (2 개 이상의 반응물들이 CFD 사이클에 채용되는 경우에) 주 반응물 또는 보조 반응물일 수도 있다.
예를 들어, 시퀀스는 (i) 반응물 A를 도입, (ii) A를 퍼지, (iii) 반응물 B를 도입하고 B가 흐르는 동안 플라즈마를 스트라이크하고, 및 (iv) 퍼지할 수도 있다. 이러한 예들에서, 프로세스는 플라즈마 활성화된 반응물 종들을 기체상으로부터 채용한다. 이는 CFD가 순차적 단계들의 시퀀스로 속박되지 않는 일반적인 예이다.
고체 성분 전구체 (1차 반응물) 가 반응기에 공급되는 시간 동안에 활성화 플라즈마가 제공된다면, 스텝 피복성 (step coverage) 은 덜 등각화될 수도 있지만, 성막 레이트는 보통 증가할 것이다. 그러나 플라즈마 활성화가 하나의 보조 반응물의 전달 동안에만 일어난다면 이는 반드시 그런 경우는 아니다. 플라즈마는 기상 보조 성분을 활성화하여 그것을 더 반응성이 있게 만들고 이에 의해 그의, 등각 막 성막 반응에서의 반응성을 증가시킬 수 있다. 특정 예들에서, 이 특징은 산화물, 질화물, 또는 탄화물과 같은 실리콘 함유 막을 성막하는 경우에 채용된다.
특징 4 (성막된 CFD 막들의 플라즈마 처리) - 이들 예들에서, 플라즈마는 등각 막 성막 공정에서 둘 이상의 역할들을 제공할 수도 있다. 그것의 역할들 중 하나는 각각의 CFD 사이클 동안에 막 형성 반응을 활성화시키거나 또는 추진하는 것이다. 그것의 다른 역할은 하나 이상의 CFD 사이클들을 따라 CFD 막이 부분적으로 또는 완전히 성막된 후에 막을 처리하는 것이다. 플라즈마 처리는 하나 이상의 막 성질들을 변경하기 위해 의도된다. 통상적으로, 반드시 그렇지는 않지만, 플라즈마 처리 페이즈는 막 형성 반응을 활성화시키기 위해 (즉, 막 형성 반응을 추진하기 위해) 채용된 것들과는 상이한 조건들 하에서 행해진다. 일 예로, 플라즈마 처리는 환원 또는 산화 환경의 존재 하에 (예컨대, 수소 또는 산소의 존재 하에) 수행될 수도 있지만, 이는 CFD 사이클의 활성화 부분 동안에는 그럴 필요는 없다.
플라즈마 처리 동작은 CFD 프로세스의 매 사이클 동안에, 한 사이클 씩 걸러, 또는 다소 덜 빈번한 기준으로 수행될 수도 있다. 이 처리는 고정된 수의 CFD 사이클들에 관련 있는 규칙적인 간격들로 수행될 수도 있거나, 또는 그것은 가변적으로 (예컨대, CFD 사이클들의 가변적인 간격들로) 또는 심지어 랜덤하게 수행될 수도 있다. 통상적인 예에서, 막 성막은 적절한 막 두께에 도달하기 위해 몇몇 CFD 사이클들 동안 수행된 다음, 플라즈마 처리가 채용된다. 그 후, 막 성막은 다수의 CFD 사이클들 동안 플라즈마 처리 없이 그 플라즈마 처리가 다시 수행되기 전에 다시 수행된다. 플라즈마 처리 (막 변경) 가 뒤따르는 x 개의 CFD 사이클들의 이 슈퍼-시퀀스는, 막이 CFD에 의해 완전히 형성되기까지 반복될 수도 있다.
특정 예들에서, 플라즈마 처리는 CFD 사이클링의 개시 전에, CFD 막이 성막되는 표면의 하나 이상의 성질들을 변경하기 위해 수행될 수도 있다. 다양한 예들에서, 표면은 (도핑된 또는 도핑되지 않은) 실리콘 또는 실리콘 함유 재료로 만들어진다. 변경된 표면은 후속하여 성막되는 CFD 막과의 고품질 계면을 더 잘 생성가능할 수도 있다. 그 계면은, 예컨대, 양호한 접착, 신뢰성있는 전기적 성질들을, 예컨대, 결함 감소 등을 통해 제공할 수도 있다.
CFD 전의 기판의 전처리는 임의의 특정한 플라즈마 처리로 제한되지 않는다. 특정 예들에서, 전처리는 헬륨, 수소, 아르곤, 질소, 수소/질소-포밍 기체, 및/또는 암모니아의 존재 하에 수소-플라즈마, 질소-플라즈마, 질소/수소-플라즈마, 암모니아-플라즈마, 아르곤-플라즈마, 헬륨-플라즈마, 헬륨 어닐, 수소-어닐, 암모니아-어닐, 및 UV-경화에 대한 노출을 수반한다. 플라즈마 프로세싱은 이 기술분야에서 알려진 마이크로파, ICP-원격, 직접 및 다른 것들을 포함하지만 그것들로 제한되지는 않는 다양한 플라즈마 발생기들로 가능하게 될 수도 있다.
전반적으로, 처리는 CFD 사이클링 전에, 동안에, 그리고 후에 일어날 수도 있다. CFD 사이클링 동안에 일어나는 경우, 처리의 빈도 (frequency) 는 적절한 성막 조건들을 위해 선택될 수도 있다. 통상적으로, 처리는 사이클 당 한번 보다 더 자주 일어나지 않을 것이다.
일 예로, 일부 탄소가 존재하는 전구체들로부터 실리콘 질화물을 형성하는 프로세스를 고려한다. 이러한 전구체들의 예들은 BTBAS를 포함한다. 탄소가 전구체에 존재하는 결과로서, 성막된 그대로의 (as deposited) 질화막은 질화물의 전기적 성질들을 떨어뜨릴 수도 있는 일부 탄소 불순물을 포함한다. 이 문제에 대처하기 위해, 탄소 함유 전구체를 이용하는 몇몇 CFD 사이클들 후에, 부분적으로 성막된 막은 탄소 불순물을 감소시키고 궁극적으로 제거하기 위해 플라즈마의 존재 하에 수소에 노출된다.
막 표면을 변경하기 위해 채용된 플라즈마 조건들은 막 성질들 및/또는 조성에서의 소망의 변화를 유효하게 하기 위해 선택될 수도 있다. 소망의 변경을 위해 선택 및/또는 맞춤될 수 있는 플라즈마 조건들은 산화 조건들, 환원 조건들, 식각 조건들, 플라즈마를 발생하기 위해 이용된 전력, 플라즈마를 발생하기 위해 이용된 주파수, 플라즈마를 발생하기 위해 이용된 둘 이상의 주파수들의 이용, 플라즈마 밀도, 플라즈마와 기판 사이의 거리 등이 있다. 플라즈마 처리에 의해 변경될 수 있는 CFD 막 성질들은, 내부 막 스트레스, 식각 저항, 밀도, 경도 (hardness), 광학 성질들 (굴절율, 반사율, 광학 밀도 등), 유전 상수, 탄소 함량, 전기적 성질들 (Vfb 스프레드 (spread) 등) 등을 포함한다.
일부 예들에서, 플라즈마 처리 외의 처리가 성막된 그대로의 막 (as deposited film) 의 성질들을 변경하기 위해 채용된다. 이러한 처리들은 전자기 방사 처리들, 열 처리들 (예컨대, 어닐들 또는 고온 펄스들) 등을 포함한다. 이들 처리들 중의 임의의 것은 단독으로 또는 플라즈마 처리를 포함하여, 다른 처리와 조합하여 수행될 수도 있다. 임의의 이러한 처리는 위에서 설명된 플라즈마 처리들 중의 임의의 것에 대한 대용으로서 채용될 수도 있다. 구체적인 예에서, 처리는 자외선 방사에 막을 노출시키는 것을 수반한다. 아래에서 설명되는 바와 같이, 구체적인 예에서, 이 방법은 산화물의 인시츄 (즉 막의 형성 동안) 또는 성막 후에 산화물 CFD 막에의 UV-방사의 적용을 수반한다. 이러한 처리는 결함 구조를 감소시키거나 또는 제거하는 역할을 하고 개선된 전기적 성능을 제공한다.
특정 구체적 예들에서, UV 처리는 플라즈마 처리와 커플링될 수 있다. 이들 2 개의 동작들은 동시에 또는 순차적으로 수행될 수 있다. 순차적 옵션에서, UV 동작은 옵션으로 먼저 일어난다. 동시 옵션에서, 두 개의 처리들은 별개의 소스들 (예컨대, 플라즈마를 위한 RF 전력 소스 및 UV를 위한 램프) 로부터 또는 UV 방사를 부산물로서 생산하는 헬륨 플라즈마와 같은 단일 소스로부터 제공될 수도 있다.
특징 5 (CFD에 의한 성막과 다음의 PECVD로의 천이) - 이러한 예들에서, 완성된 막은 CFD에 의해 부분적으로 그리고 PECVD와 같은 CVD 프로세스에 의해 부분적으로 발생된다. 통상적으로, 성막 공정의 CFD 부분이 먼저 수행되고 PECVD 부분이 두 번째로 수행되지만, 이는 그럴 필요는 없다. 혼재된 CFD/CVD 프로세스들은 CVD 단독으로 보이는 스텝 피복성을 개선할 수 있고 부가적으로 CFD 단독으로 보이는 성막 레이트를 개선할 수 있다. 일부 경우들에서, 플라즈마 또는 다른 활성화는 기생 CVD 동작들을 생성하기 위하여 하나의 CFD 반응물이 흐르고 있는 동안에 적용되고 이에 의해 더 높은 성막 레이트들, 다른 클래스의 막들 등을 달성한다.
특정 예들에서, 둘 이상의 CFD 페이즈들이 채용될 수도 있고 그리고/또는 둘 이상의 CVD 페이즈들이 채용될 수도 있다. 예를 들어, 막의 초기 부분은 CFD에 의해 성막될 수도 있으며, 다음으로 막의 중간 부분이 CVD에 의해 성막되고, 막의 최종 부분이 CFD에 의해 성막된다. 이러한 예들에서, 막의 나중 부분을 CFD에 의해 성막하기 전에, 막의 CVD 부분을 플라즈마 처리 또는 식각에 의해서인 것처럼 변경하는 것이 바람직할 수도 있다.
천이 페이즈가 CFD 및 CVD 페이즈들 사이에 채용될 수도 있다. 이러한 천이 페이즈 동안에 채용되었던 조건들은 CFD 페이즈 또는 CVD 페이즈 중 어느 하나에 채용된 것들과 상이하다. 통상적으로, 반드시 그렇지는 않지만, 그 조건들은 동시 CFD 표면 반응들 및 CVD 형 기체상 반응을 허용한다. 천이 페이즈는 예를 들어 펄스식일 수도 있는 플라즈마에 대한 노출을 보통 수반한다. 게다가, 천이 페이즈는 낮은 유량, 즉, 프로세스의 대응하는 CFD 페이즈에 채용된 것보다 상당히 낮은 레이트의 하나 이상의 반응물들의 전달을 수반한다.
특징 6 (CFD에 의한 성막, 식각, 및 그 후의 CFD에 의한 추가 성막) - 이러한 예들에서, CFD 성막이 하나 이상의 사이클들 (통상 다수의 사이클들) 동안 수행된 다음 결과적인 막은, 예를 들어, 함요부 입구 (커스프 (cusp)) 에 있는 또는 그 근처의 일부 과잉 막을 제거하기 위해 식각되고, 다음으로 CFD 성막의 추가의 사이클들이 이루어진다. 성막된 막에서의 구조적 특징들의 다른 예들은 유사한 방식으로 식각될 수도 있다. 이 프로세스를 위해 선택된 식각제는 식각될 재료에 의존할 것이다. 일부 경우들에서, 식각 동작은 불소 함유 식각제 (예컨대, NF3) 또는 수소로 수행될 수도 있다.
특정 예들에서, 원격 플라즈마는 식각제를 생산하기 위해 채용된다. 일반적으로, 원격 플라즈마는 직접 플라즈마보다 더 등방성 형태로 식각한다. 원격 플라즈마는 일반적으로 비교적 높은 분율의 라디칼을 기판에 제공한다. 이들 라디칼들의 반응도는 함요부 내의 수직 위치에 따라 가변할 수도 있다. 특징부의 상부에서, 라디칼들은 더 농축되고 결과적으로 더 높은 레이트로 식각될 것인 반면, 함요부의 더 아래쪽과 하부에서는, 일부 라디칼들은 손실될 것이고 그러므로 더 낮은 레이트로 식각될 것이다. 이는 물론 함요부 개구부에서 너무 많은 성막이 발생하는 문제를 다루기 위한 바람직한 반응도 프로파일이다. 원격 플라즈마를 식각 시에 이용하는 부가적인 장점은 플라즈마가 비교적 온화 (gentle) 하고 그래서 기판 층을 손상시키지 않을 것 같다는 것이다. 이는 아래 놓인 기판 층이 민감성 산화 또는 다른 손상이 있는 경우에 특히 유익할 수 있다.
특징 7 (부가적인 반응물로 막 조성을 맞춤) - 본원에서 제시된 예들의 대부분이 하나 또는 두 개의 반응물들을 채용하는 CFD 프로세스들에 관련된다. 게다가, 그 예들의 대부분은 동일한 반응물들을 매 CFD 사이클에서 채용한다. 그러나, 이는 그럴 필요는 없다. 먼저, 많은 CFD 프로세스들은 3 개 이상의 반응물들을 채용할 수도 있다. 예들은 (i) 반응물들로서 디보란, 텅스텐 헥사플루오르화물, 및 수소를 이용한 텅스텐의 CFD, 및 (ii) 반응물들로서 디보란, BTBAS, 및 산소를 이용한 실리콘 산화물의 CFD을 포함한다. 디보란은 성장하는 막으로부터 제거될 수 있거나 또는 그것은 적절하다면 막에 포함될 수 있다.
게다가, 일부 예들은 일부 CFD 사이클들에서만 부가적인 반응물들을 채용할 수도 있다. 이러한 예들에서, 기본 CFD 프로세스 사이클은 베이스 막 조성물 (예컨대, 실리콘 산화물 또는 탄화 규소) 을 생성하는 반응물들만을 채용한다. 이 기본적인 프로세스는 모든 또는 거의 모든 CFD 사이클들에서 수행된다. 그러나, CFD 사이클들의 일부는 변형 (variant) 사이클들로서 실행되고 그것들은 정상적인 성막 사이클들의 조건들로부터 벗어난다. 예를 들어, 그것들은 하나 이상의 부가적인 반응물들을 채용할 수도 있다. 이들 변형 사이클들은 또한 기본적인 CFD 프로세스에서 채용된 동일한 반응물들을 채용할 수도 있지만, 이는 그럴 필요는 없다.
이러한 CFD 프로세스들은 도핑된 산화물들 또는 다른 도핑된 재료들을 CFD 막들로서 준비할 때에 특히 유익하다. 일부 구현예들에서, 도펀트 전구체들은 CFD 사이클들의 작은 부분 (fraction) 에서만 "부가적인" 반응물로서 포함된다. 도펀트를 추가하는 빈도는 도펀트의 소망의 농도에 의해 좌우된다. 예를 들어, 도펀트 전구체는 베이스 재료 성막의 매 10번째 사이클에서 포함될 수도 있다.
많은 다른 성막 프로세스들, 특히 열적 활성화를 요구하는 것들과는 달리, CFD 프로세스는 비교적 낮은 온도에서 수행될 수도 있다. 일반적으로, CFD 온도는 약 20 및 400 ℃ 사이일 것이다. 일부 경우들에서, 400-480 ℃ 사이의 온도들이 바람직하다. 이러한 온도는 포토레지스트 코어 상의 성막과 같은 온도 민감성 프로세스의 맥락에서 성막을 허용하도록 선택될 수도 있다. 특정 예에서, 약 20 및 100 ℃ 사이의 온도는 (예컨대, 포토레지스트 코어들을 이용하는) 이중 패터닝 애플리케이션들에 이용된다. 다른 예에서, 약 200 및 350 ℃ 사이의 온도는 메모리 제작 프로세싱을 위해 채용된다.
위에서 제안된 바와 같이, CFD는 진보된 (advanced) 기술 노드들에서 막들을 성막하는데 잘 맞는다. 따라서, 예를 들어, CFD 프로세싱은 32 nm 노드, 22 nm 노드, 16 nm 노드, 11 nm 노드, 및 이것들 중의 임의의 것을 넘어서는 노드에서 프로세스들에 통합될 수도 있다. 이들 노드들은 많은 해 동안 마이크로전자공학 기술 요구사항들에 대한 업계 합의인 국제 반도체 기술 로드맵 (International Technology Roadmap for Semiconductors; ITRS) 에 기재되어 있다. 일반적으로 그것들은 메모리 셀의 절반 피치를 참조한다. 특정 예에서, CFD 프로세싱은 (20-29 nm의 영역에서의 디바이스 특징들을 가지는) "2X" 디바이스들과 그 이상의 디바이스들에 적용된다.
본원에서 제시된 CFD 막들의 대부분의 예들이 실리콘 계 마이크로전자 디바이스들에 관계가 있지만, 그 막들은 또한 다른 영역들에서 애플리케이션을 찾을 수도 있다. GaAs와 같은 비-실리콘 반도체들 및 다른 III-V 반도체들과, HgCdTe와 같은 II-VI 재료들을 이용하는 마이크로전자공학 또는 광전자공학은 본원에서 개시되는 CFD 프로세스들을 이용하는 것으로부터 이익을 얻을 수도 있다. 광기전 디바이스들과 같은 태양 에너지 분야에서, 일렉트로크로믹 분야, 및 다른 분야들에서 등각 유전체 막들을 위한 애플리케이션이 가능하다.
도 1은 플라즈마-활성화된 CFD 프로세스의 일 예를 위한 타이밍 도 (100) 를 개략적으로 도시한다. 두 개의 완전한 CFD 사이클들이 도시된다. 도시된 바와 같이, 각각은 반응물 A에 대한 노출 페이즈 (120), 직후에 반응물 B에 대한 노출 페이즈 (140), 반응물 B의 스위프 페이즈 (160), 그리고 최종적으로 플라즈마 활성화 페이즈 (180) 를 포함한다. 플라즈마 활성화 페이즈들 (180A 및 180B) 동안에 제공된 플라즈마 에너지는 표면 흡착된 반응물 종들인 A 및 B 사이의 반응을 활성화시킨다. 도시된 예들에서, 스위프 페이즈는 하나의 반응물 (반응물 A) 이 전달된 후에 수행되지 않는다. 사실, 이 반응물은 막 성막 공정 동안에 연속적으로 흐른다. 따라서, 플라즈마는 반응물 A가 기체상에 있는 동안 점화된다. 위의 특징들 1-3은 도 1의 일 예에서 구체화된다.
도시된 예에서, 반응물 기체들인 A 및 B는 반응하지 않고 기체상으로 공존할 수도 있다. 따라서, ALD 프로세스에서 설명된 프로세스 단계들 중의 하나 이상은 이 예의 CFD 프로세스에서 단축되거나 또는 제거될 수도 있다. 예를 들어, A 노출 페이즈들 (120A 및 120B) 후의 스위프 단계들은 제거될 수도 있다.
CFD 프로세스는 막의 다수의 다른 유형들 중의 임의의 것을 성막하기 위해 채용될 수도 있다. 본원에서 제시된 예들의 대부분이 유전체 재료들에 관계하지만, 개시된 CFD 프로세스들은 도전성 및 반도체 재료들의 막들도 형성하기 위해 채용될 수도 있다. 질화물들 및 산화물들이 특징적인 유전체 재료들이지만, 탄화물들, 옥시질화물들, 탄소-도핑된 산화물들, 붕소화물들 등이 또한 형성될 수도 있다. 산화물들은 도핑되지 않은 규산염 유리 (USG), 도핑된 규산염 유리를 포함한 넓은 범위의 재료들을 포함한다. 도핑된 유리들의 예들은 붕소 도핑된 규산염 유리 (BSG), 인 도핑된 규산염 유리 (PSG), 및 붕소 인 도핑된 규산염 유리 (BPSG) 를 포함하였다.
일부 예들에서, 실리콘 질화 막은 실리콘 함유 반응물과, 질소-함유 반응물 및/또는 질소-함유 반응물 블렌드 중의 하나 이상의 반응에 의해 형성될 수도 있다. 예의 실리콘 함유 반응물들은, 비스(터셔리부틸아미노)실란 (SiH2(NHC (CH3)3)2 또는 BTBAS), 디클로로실란 (SiH2Cl2), 및 클로로실란 (SiH3Cl) 을 포함하지만 그것들로 제한되지는 않는다. 예의 질소 함유 반응물들은 암모니아, 질소, 및 터트-부틸 아민 ((CH3)3CNH2 또는 t-부틸 아민) 을 포함하지만 그것들로 제한되지는 않는다. 일 예의 질소 함유 반응물 블렌드는, 질소 및 수소의 블렌드를 포함하지만 그것으로 제한되지는 않는다.
하나 이상의 반응물들의 선택은 다양한 막 및/또는 하드웨어 고려들에 의해 추진될 수도 있다. 예를 들어, 일부 예들에서, 실리콘 질화 막은 디클로로실란 및 플라즈마-활성화된 질소의 반응으로 형성될 수도 있다. 디클로로실란의 실리콘 질화물 표면에 대한 화학흡착은 염화 수소 (HCl) 를 유리시키는 실리콘-수소 말단화된 (terminated) 표면을 생성할 수도 있다. 이 화학흡착 반응의 일 예는 반응 1에서 개략적으로 도시된다.
반응 1:
Figure 112019101417345-pat00001
반응 1에 도시된 고리형 중간체는 그 다음에 플라즈마-활성화된 질소와의 반응을 통해 실리콘 아민 말단화된 표면으로 변형될 수도 있다.
그러나, 디클로로실란의 일부 분자들은 대안적 메커니즘들에 의해 화학흡착될 수도 있다. 예를 들어, 표면 형태 (morphology) 는 반응 1로 도시되는 고리형 중간체의 형성을 방해할 수도 있다. 다른 화학흡착 메커니즘의 일 예는 반응 2에서 개략적으로 도시된다.
반응 2:
Figure 112019101417345-pat00002
질소의 후속 플라즈마 활성화 동안, 반응 2에 나타나 있는 중간 종들의 남아 있는 염소 원자는 유리될 수도 있고 플라즈마에 의해 활성화될 수도 있다. 이는 실리콘 질화물 표면의 식각을 유발할 수도 있으며, 잠재적으로 실리콘 질화 막이 거칠거나 또는 흐려 (hazy) 지게 할 수도 있다. 게다가, 잔여 염소 원자는, 물리적으로 및/또는 화학적으로 재흡착하여, 잠재적으로는 성막된 막을 오염시킬 수도 있다. 이 오염은 실리콘 질화 막의 물리적 및/또는 전기적 성질들을 변경시킬 수도 있다. 더욱 더, 활성화된 염소 원자는 프로세스 스테이션 하드웨어의 부분들에 대한 식각 손상을 초래하여, 잠재적으로는 프로세스 스테이션의 부분들의 서비스 수명을 감소시킬 수도 있다.
따라서, 일부 예들에서, 클로로실란은 디클로로실란을 대신할 수도 있다. 이는 막 오염, 막 손상, 및/또는 프로세스 스테이션 손상을 감소시킬 수도 있다. 클로로실란의 화학흡착의 일 예는 반응 3에서 개략적으로 도시된다.
반응 3:
Figure 112019101417345-pat00003
반응 3에서 도시된 일 예는 클로로실란을 실리콘 함유 반응물로서 사용하지만, 임의의 적합한 모노-치환된 (substituted) 할로실란이 사용될 수도 있다는 것이 이해될 것이다.
위에서 설명된 바와 같이, 도시된 중간체 구조들은 실리콘 질화물의 실리콘 아민 말단화된 표면을 형성하기 위해 질소 소스와 반응할 수도 있다. 예를 들어, 암모니아는 플라즈마에 의해 활성화되어, 다양한 암모니아 라디칼 종들을 형성할 수도 있다. 라디칼 종들은 중간체와 반응하여, 실리콘 아민 말단화된 표면을 형성한다.
그러나, 암모니아는 반응물 전달 라인들, 프로세스 스테이션, 및 배기 배관의 표면들에 강하게 물리흡착할 수도 있으며, 이는 연장된 퍼지 및 진공화 시간들로 이어질 수도 있다. 게다가, 암모니아는 일부 기체상 실리콘 함유 반응물들과 높은 반응성을 가질 수도 있다. 예를 들어 디클로로실란 (SiH2Cl2) 및 암모니아의 기체상 혼합물들은 디아미노실란 (SiH2(NH2)2) 과 같은 불안정한 종들을 만들 수도 있다. 이러한 종들은 기체상에서 분해되어, 작은 입자들을 핵형성할 수도 있다. 작은 입자들은 또한 암모니아가 할로실란의 화학흡착 동안에 발생된 염화 수소와 반응한다면 형성될 수도 있다. 이러한 입자들은 프로세스 스테이션에 축적될 수도 있으며 프로세스 스테이션에서 그 입자들은 기판 표면들을 오염시켜, 잠재적으로는 통합된 디바이스 결함들로 이어질 수도 있고, 프로세스 스테이션에서 그 입자들은 프로세스 스테이션 하드웨어를 오염시켜, 잠재적으로는 도구 다운 시간 및 세정 (cleaning) 으로 이어질 수도 있다. 작은 입자들은 또한 배기 배관에 축적될 수도 있으며, 펌프들 및 송풍기들을 막을 수도 있고, 특수한 환경 배기 스크러버들 및/또는 콜드 트랩들에 대한 필요성을 초래할 수도 있다.
따라서, 일부 예들에서, 치환된 아민은 질소 함유 반응물로서 이용될 수도 있다. 예를 들어, 알킬 치환된 아민, 이를테면 t-부틸 아민의 플라즈마 활성화로 형성된 다양한 라디칼들은, 프로세스 스테이션에 공급될 수도 있다. t-부틸 아민과 같은 치환된 아민들은 암모니아보다 프로세스 하드웨어에 대한 낮은 흡착 계수를 가질 수도 있으며, 이는 비교적 낮은 물리흡착 레이트들 및 비교적 낮은 프로세스 퍼지 시간을 야기할 수도 있다.
게다가, 이러한 질소 함유 반응물들은 염화 암모늄보다 비교적 더 휘발성인 할로겐화된 염들을 형성할 수도 있다. 예를 들어, t-부틸염화 암모늄은 염화 암모늄보다 더 휘발성일 수도 있다. 이는 도구 다운 시간, 디바이스 결함 생성, 및 환경적 경감 비용 (environmental abatement expense) 을 감소시킬 수도 있다.
게다가 또한, 이러한 질소-함유 반응물들은 다른 아민 전구체들을 다양한 부산물 반응들을 통해 형성할 수도 있다. 예를 들어, t-부틸 아민의 디클로로실란과의 반응은 BTBAS를 형성할 수도 있다. 따라서, 부산물들은 실리콘 질화물을 형성하는 대체 루트들을 제공하여, 잠재적으로는 막 수율을 증가시킬 수도 있다. 다른 예에서, 치환된 아민들은 실리콘 질화 막들에 대한 저온 열적으로 활성화된 루트들을 제공할 수도 있다. 예를 들어, t-부틸 아민은 300℃를 초과하는 온도에서 열적으로 분해되어 이소부틸렌 및 암모니아를 형성한다.
위에서 제공된 예시적인 예가 t-부틸 아민을 이용한 실리콘 질화 막 형성을 설명하지만, 임의의 적합한 치환된 아민이 본 개시물의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다. 적합한 치환된 아민들은, 일부 예들에서, 반응물의 열역학적 특성들 및/또는 반응성 특성들에 기초하여 선택될 수도 있다. 예를 들어, 반응물로부터 형성된 할로겐화된 염들의 상대적 휘발성이 고려될 수도 있으며, 관련 온도들에서 다양한 열 분해 경로들의 존재와 선택도가 그럴 수도 있다.
게다가, 위에서 제공된 예들이 실리콘 질화 막들의 성막을 설명하지만, 위에서 논의된 원리들이 일반적으로 다른 막들의 성막에 적용될 수 있다는 것이 이해될 것이다. 예를 들어, 일부 예들은 적합한 할로실란들을 적합한 산소 함유 반응물 종들, 이를테면 산소 플라즈마와 조합하여 이용하여, 실리콘 산화물들을 성막시킬 수도 있다.
반응물들, 생성 막들, 그리고 막 및 프로세스 속성 범위들의 비제한적 목록이 표 1에서 제공된다.
Figure 112019101417345-pat00004
도 1은 또한 다양한 CFD 프로세스 파라미터들에 대한 예의 CFD 프로세스 페이즈들의 시간적 진행의 일 예를 도시한다. 도 1은 두 개의 예의 성막 사이클들 (110A 및 11OB) 을 도시하지만, 임의의 적합한 수의 성막 사이클들이 소망의 막 두께를 성막하기 위해 CFD 프로세스에 포함될 수도 있다는 것이 인식될 것이다. 예의 CFD 프로세스 파라미터들은, 불활성 및 반응물 종들에 대한 유량들, 플라즈마 전력 및 주파수, 기판 온도, 및 프로세스 스테이션 압력을 포함하지만 그것들로 제한되지는 않는다. BTBAS 및 산소를 이용하는 일 예의 실리콘 이산화물 성막 사이클에 대한 비제한적 파라미터 범위들이 표 2에서 제공된다.
Figure 112019101417345-pat00005
CFD 사이클은 통상 각각의 반응물에 대한 노출 페이즈를 포함한다. 이 "노출 페이즈" 동안, 반응물은 기판 표면 상에 반응물의 흡착을 유발하기 위해 공정 챔버로 전달된다. 통상적으로, 노출 페이즈의 시작시, 기판 표면은 임의의 감지할 수 있는 양의 흡착된 반응물을 가지지 않는다. 도 1에서, 반응물 A 노출 페이즈들 (120A 및 120B) 에서, 반응물 A는 기판의 노출된 표면들을 포화시키기 위해 제어된 유량으로 프로세스 스테이션에 공급된다. 반응물 A는 임의의 적합한 성막 반응물; 예컨대, 주 반응물 또는 보조 반응물일 수도 있다. CFD가 실리콘 이산화물 막을 생성하는 하나의 예에서, 반응물 A는 산소일 수도 있다. 도 1에 도시된 예에서, 반응물 A는 성막 사이클들 (110A 및 110B) 전처에 걸쳐 연속적으로 흐른다. 막 전구체 노출들이 기체상 반응을 방지하기 위해 분리되는 통상적인 ALD 프로세스와는 달리, 반응물들인 A 및 B는 CFD 프로세스의 일부 예들의 기체상에서 섞이는 것이 허용된다. 위에서 나타낸 바와 같이, 일부 예들에서 반응물들 A 및 B는 플라즈마 에너지의 인가 또는 표면 반응의 활성화 전에 반응기에서 직면하는 조건들 하에서 서로 감지할 수 있을 정도로 반응하지 않고 기체상으로 공존할 수 있도록 선택된다. 일부 경우들에서, 반응물들은 (1) 그것들 사이의 반응이 열역학적으로 유리하고 (즉, 깁스 자유 에너지 <0) 그리고 (2) 그 반응이 소망의 성막 온도에서 반응을 무시할 수 있는 충분히 높은 활성화 에너지를 가지도록 선택된다. 이들 기준들을 만족하는 다양한 반응물 조합들은 이 개시물에서의 다른 위치들에서 식별된다. 많은 이러한 조합들은 실온에서 고체인 엘리먼트에 기여하는 1차 반응물, 및 그렇지 않는 보조 반응물을 포함한다. 일부 조합들에서 사용되는 보조 반응물들의 예들은 산소, 질소, 알킬 아민들, 및 수소를 포함한다.
반응물 A를 프로세스 스테이션에 연속적으로 공급하는 것은, 반응물 A가 먼저 턴온된 다음 안정화되고 기판에 노출된 후에 턴오프되고 마지막으로 반응기로부터 제거되는 ALD 프로세스에 비교하여, 반응물 A 유량 턴온 및 안정화 시간을 감소시키거나 또는 제거할 수도 있다. 도 1에 도시된 예는 일정한 유량을 갖는 것으로서 반응물 A 노출 페이즈들 (120A 및 120B) 을 도시하지만, 가변하는 흐름을 포함한 반응물 A의 임의의 적합한 흐름이 본 개시물의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다. 게다가, 도 1은 전체 CFD 사이클 (성막 사이클 110A) 동안에 일정한 유량을 갖는 반응물 A을 도시하지만, 이는 그럴 필요는 없다. 예를 들어, 반응물 A의 유량은 B 노출 페이즈들 (140A 및 140B) 동안에 감소할 수도 있다. 이는 B의 부분 압력을 증가시키고 이에 의해 기판 표면에 흡착하는 반응물 B의 추진력을 증가시킬 수도 있다.
일부 예들에서, 반응물 A 노출 페이즈 (120A) 는 반응물 A에 대한 기판 표면 포화 시간을 초과하는 지속시간을 가질 수도 있다. 예를 들어, 도 1의 예는 반응물 A 노출 페이즈 (120A) 에서 반응물 A 후-포화 노출 시간 (130) 을 포함한다. 옵션으로, 반응물 A 노출 페이즈 (120A) 는 불활성 기체의 제어된 유량을 포함한다. 예의 불활성 기체들은 질소, 아르곤, 및 헬륨을 포함하지만 그것들로 제한되지는 않는다. 불활성 기체는 프로세스 스테이션의 압력 및/또는 온도 제어, 액상 전구체의 증발, 전구체의 더 신속한 전달을 지원하기 위해 그리고/또는 프로세스 스테이션 및/또는 프로세스 스테이션 배관으로부터 프로세스 기체들을 제거하기 위한 스위프 기체로서 제공될 수도 있다.
도 1에 도시된 예의 반응물 B 노출 페이즈 140A에서, 반응물 B는 노출된 기판 표면을 포화시키기 위해 프로세스 스테이션에 제어된 유량으로 공급된다. 하나의 예의 실리콘 이산화물 막에서, 반응물 B는 BTBAS일 수도 있다. 도 1의 예는 일정한 유량을 갖는 것으로서 반응물 B 노출 페이즈 (140A) 를 도시하지만, 가변하는 흐름을 포함한 반응물 B의 임의의 적합한 흐름이 본 개시물의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다. 게다가, 반응물 B 노출 페이즈 (140A) 는 임의의 적합한 지속시간을 가질 수도 있다는 것이 이해될 것이다. 일부 예들에서, 반응물 B 노출 페이즈 (140A) 는 반응물 B에 대한 기판 표면 포화 시간을 초과하는 지속시간을 가질 수도 있다. 예를 들어, 도 1에 도시된 예는 반응물 B 노출 페이즈 (140A) 에 포함된 반응물 B 후-포화 노출 시간 (150) 을 도시한다. 옵션으로, 반응물 B 노출 페이즈 (140A) 는 적합한 불활성 기체의 제어된 흐름을 포함할 수도 있으며, 이는, 위에서 설명된 바와 같이, 프로세스 스테이션의 압력 및/또는 온도 제어, 액상 전구체의 증발, 전구체의 더 급속한 전달을 지원할 수도 있고 프로세스 스테이션 기체들의 후방-확산을 방지할 수도 있다. 도 11에 보인 예에서, 불활성 기체는 반응물 B 노출 페이즈 (140A) 전체에 걸쳐 프로세스 스테이션에 계속해서 공급된다.
일부 예들에서, 성막 반응들의 플라즈마 활성화는 열적으로 활성화된 반응들에서보다 더 낮은 성막 온도들을 초래하고, 잠재적으로는 통합된 프로세스의 이용가능한 열 버짓 (budget) 의 소비를 감소시킬 수도 있다. 예를 들어, 일부 예들에서, 플라즈마 활성화된 CFD 프로세스는 실온에서 일어날 수도 있다.
도 1에서 도시된 CFD 프로세스 예는 플라즈마 활성화되지만, 다른 비-열 (non-thermal) 에너지 소스들이 본 개시물의 범위 내에서 이용될 수도 있다는 것이 이해될 것이다. 비-열 에너지 소스들의 비제한적 예들은, 자외선 램프들, 다운스트림 또는 원격 플라즈마 소스들, 유도 결합 플라즈마들, 및 마이크로파 표면 파 플라즈마들을 포함하지만 그것들로 제한되지는 않는다.
게다가, 위에서 논의된 많은 예들이 두 개의 반응물들 (A 및 B) 을 포함하지만, 반응물들의 임의의 적합한 수가 본 개시물의 범위내에서 채용될 수도 있다는 것이 이해될 것이다. 일부 예들에서, 반응물의 표면 분해 반응을 위해 플라즈마 에너지를 공급하는데 이용된 단일 반응물 및 불활성 기체가 사용될 수도 있다. 대안으로, 특징 7의 맥락에서 위에서 논의된 바와 같이, 일부 예들은 막을 성막하기 위해 3 개 이상의 반응물들을 이용할 수도 있다.
일부 시나리오들에서, 표면 흡착된 B 종들은 기판 표면 상에 불연속적인 섬 (discontinuous island) 으로서 존재하여, 반응물 B의 표면 포화를 달성하는 것을 어렵게 만들 수도 있다. 다양한 표면 조건들은 기판 표면 상의 반응물 B의 핵형성 및 포화를 지연시킬 수도 있다. 예를 들어, 반응물들 A 및/또는 B의 흡착에 대해 해제된 리간드들은 일부 표면 활성 사이트들을 차단하여, 반응물 B의 추가의 흡착을 방지할 수도 있다. 따라서, 일부 예들에서, 반응물 B의 연속하는 흡착층들은 반응물 B 노출 페이즈 (140A) 동안에 프로세스 스테이션 속으로 반응물 B의 흐름을 조정하거나 및/또는 이산적으로 펄싱 (pulsing) 함으로써 제공될 수도 있다. 이는 상수 흐름 시나리오에 비교하여 반응물 B를 보존하면서도 표면 흡착 및 탈리 (desorption) 프로세스들에 대한 추가의 시간을 제공할 수도 있다.
부가적으로 또는 대안으로, 일부 예들에서, 하나 이상의 스위프 페이즈들은 반응물 B의 연속적인 노출들 사이에 포함될 수도 있다. 예를 들어, 도 2의 예는 성막 사이클 (210) 동안의 일 예의 CFD 프로세스 타이밍 도 (200) 를 개략적으로 도시한다. 반응물 B 노출 페이즈 (240A) 에서, 반응물 B는 기판 표면에 노출된다. 후속하여, 스위프 페이즈 (260A) 에서, 반응물 B는 턴오프되고, 반응물 B의 기체상 종들은 프로세스 스테이션에서 제거된다. 하나의 시나리오에서, 기체상 반응물 B는 반응물 A 및/또는 불활성 기체의 연속 흐름에 의해 변위될 수도 있다. 다른 시나리오에서, 기체상 반응물 B는 프로세스 스테이션을 진공화함으로써 제거될 수도 있다. 기체상 반응물 B의 제거는 흡착/탈리 프로세스 평형을 이동시켜, 리간드들을 탈리시키며, 흡착된 B의 표면 재배열을 촉진시켜 흡착된 B의 불연속 섬들을 병합시킬 수도 있다. 반응물 B 노출 페이즈 (240B) 에서, 반응물 B는 다시 기판 표면에 노출된다. 도 2에 보인 예가 반응물 B 스위프 및 노출 사이클의 하나의 인스턴스 (instance) 를 포함하지만, 교번하는 스위프 및 노출 사이클들의 임의의 적합한 수의 반복들이 본 개시물의 범위 내에서 채용될 수도 있다는 것이 인식될 것이다.
도 1의 예로 돌아가서, 180A에서의 플라즈마에 의한 활성화 전에, 기체상 반응물 B는 일부 예들에서의 스위프 페이즈 (160A) 에서 프로세스 스테이션으로부터 제거될 수도 있다. CFD 사이클은 위에서 설명된 노출 페이즈들 외에도 하나 이상의 스위프 페이즈들을 포함할 수도 있다. 프로세스 스테이션을 스위프시키는 것은 반응물 B가 플라즈마 활성화에 민감한 기체상 반응들을 피할 수도 있다. 게다가, 프로세스 스테이션을 스위프시키는 것은 그렇지 않으면 남아있고 막을 오염시킬 수도 있는 표면 흡착된 리간드들을 제거할 수도 있다. 예들인 스위프 기체들은 아르곤, 헬륨, 및 질소를 포함하지만 그것들로 제한되지는 않는다. 도 1에 보인 예에서, 스위프 페이즈 (160A) 를 위한 스위프 기체는 불활성 기체 스트림에 의해 공급된다. 일부 예들에서, 스위프 페이즈 (160A) 는 프로세스 스테이션을 진공화시키기 위한 하나 이상의 진공화 서브페이즈들을 포함할 수도 있다. 대안으로, 스위프 페이즈 (160A) 는 일부 예들에서 생략될 수도 있다는 것이 이해될 것이다.
스위프 페이즈 (160A) 는 임의의 적합한 지속시간을 가질 수도 있다. 일부 예들에서, 하나 이상의 스위프 기체들의 유량을 증가시키는 것은 스위프 페이즈 (160A) 의 지속시간을 감소시킬 수도 있다. 예를 들어, 스위프 기체 유량은 스위프 페이즈 (160A) 의 지속시간을 조정하기 위해 프로세스 스테이션 배관 및/또는 프로세스 스테이션의 다양한 반응물 열역학적 특성들 및/또는 기하학적 특성들에 따라 조절될 수도 있다. 하나의 비제한적 예에서, 스위프 페이즈의 지속시간은 스위프 기체 유량의 조절에 의해 최적화될 수도 있다. 이것은 성막 사이클 시간을 감소킬 수도 있으며, 이는 기판 스루풋을 향상시킬 수도 있다.
CFD 사이클은 통상, 위에서 설명된 노출 및 옵션적인 스위프 페이즈들 외에도 "활성화 페이즈"를 포함한다. 활성화 페이즈는 기판 표면에 흡착된 하나 이상의 반응물들의 반응을 추진하는 역할을 한다. 도 1에 보인 예의 플라즈마 활성화 페이즈 (180A) 에서, 플라즈마 에너지는 표면 흡착된 반응물들 A 및 B 사이의 표면 반응들을 활성화시키기 위해 제공된다. 예를 들어, 플라즈마는 반응물 A 라디칼들을 형성하기 위해 반응물 A의 기체상 분자들을 직접적으로 또는 간접적으로 활성화시킬 수도 있다. 이들 라디칼들은 그 다음에 표면 흡착된 반응물 B와 상호작용하여, 막-형성 표면 반응들을 초래한다. 플라즈마 활성화 페이즈 (180A) 는 성막 사이클 (110A) 을 끝내며, 도 1의 예에서 그 성막 사이클 다음에 반응물 A 노출 페이즈 (120B) 와 함께 시작되는 성막 사이클 (110B) 이 뒤따른다.
일부 예들에서, 플라즈마 활성화 페이즈 (180A) 에서 점화된 플라즈마는 기판 표면 위쪽에 직접 형성될 수도 있다. 이는 반응물들 A 및 B 사이에 더 큰 플라즈마 밀도와 향상된 표면 반응 속도를 제공할 수도 있다. 예를 들어, CFD 프로세스들을 위한 플라즈마들은 2 개의 용량 결합된 플레이트들을 이용하여 무선 주파수 (RF) 필드를 저압 기체에 인가함으로써 발생될 수도 있다. 대안적 예들에서, 원격으로 발생된 플라즈마는 주 반응 챔버 외부에서 발생될 수도 있다.
임의의 적합한 기체는 플라즈마를 형성하는데 이용될 수도 있다. 제 1 예에서, 그리고 아르곤 또는 헬륨 또는 질소와 같은 불활성 기체가 플라즈마를 형성하는데 이용될 수도 있다. 제 2 예에서, 산소 또는 암모니아와 같은 반응물 기체가 플라즈마를 형성하는데 이용될 수도 있다. 제 3 예에서, 질소와 같은 스위프 기체가 플라즈마를 형성하는데 이용될 수도 있다. 물론, 기체들의 이들 카테고리들의 조합들이 채용될 수도 있다. RF 필드에 의한 플레이트들 사이의 기체의 이온화는 플라즈마를 점화시켜, 플라즈마 방전 지역에서 자유 전자들을 만든다. 이들 전자들은 RF 필드에 의해 가속되고, 기체상 반응물 분자들와 충돌할 수도 있다. 이들 전자들의 반응물 분자들과의 충돌은 성막 공정에 참여하는 라디칼 종들을 형성할 수도 있다. RF 필드가 임의의 적합한 전극들을 통해 커플링될 수도 있다는 것이 이해될 것이다. 전극들의 비제한적 예들은 프로세스 기체 분배 샤워헤드들 및 기판 지지 페데스탈들을 포함한다. CFD 프로세스들을 위한 플라즈마들은 기체에의 RF 필드의 용량성 결합 외의 다른 하나 이상의 적합한 방법들에 의해 형성될 수도 있다.
플라즈마 활성화 페이즈 (180A) 는 임의의 적합한 지속시간을 가질 수도 있다. 일부 예들에서, 플라즈마 활성화 페이즈 (180A) 는 모든 노출된 기판 표면들 및 흡착물들과 반응하여, 기판 표면 상부에 연속 막을 형성하기 위해 플라즈마-활성화된 라디칼들을 위한 시간을 초과하는 지속시간을 가질 수도 있다. 예를 들어, 도 1에 보인 예는 플라즈마 활성화 페이즈 (180A) 에서 플라즈마 후-포화 노출 시간 (190) 을 포함한다.
아래에서 더 충분히 설명되는 바와 같이, 그리고 위의 특징 4의 논의에서 제안된 바와 같이, 플라즈마 노출 시간을 연장하는 것 및/또는 복수의 플라즈마 노출 페이즈들을 제공하는 것은 성막된 막의 벌크 및/또는 근접-표면 부분들의 후-반응 처리를 제공할 수도 있다. 하나의 시나리오에서, 표면 오염을 플라즈마 처리에 의해 감소시키는 것은 반응물 A의 흡착을 위한 표면을 준비할 수도 있다. 예를 들어, 실리콘 함유 반응물 및 질소-함유 반응물의 반응으로 형성된 실리콘 질화 막은 후속 반응물들의 흡착에 저항하는 표면을 가질 수도 있다. 실리콘 질화물 표면을 플라즈마로 처리하는 것은 후속하는 흡착 및 반응 이벤트들을 용이하게 하는 수소 결합들을 만들 수도 있다.
일부 예들에서, 막 성질들, 이를테면 막 스트레스, 유전 상수, 굴절율, 식각 레이트는 플라즈마 파라미터들을 가변함으로써 조절될 수도 있으며, 이는 아래에서 더 상세히 논의될 것이다. 표 3은 섭씨 400도에서 성막된 3 개의 예의 CFD 실리콘 이산화물 막들에 대한 여러 가지 막 성질들의 일 예의 목록을 제공한다. 참조 목적을 위해, 표 3은 또한 섭씨 400 도에서 성막된 일 예의 PECVD 실리콘 이산화물 막에 대한 막 정보를 포함한다.
Figure 112019101417345-pat00006
예를 들어, 도 3은 성막 페이즈 (310) 와 다음에 플라즈마 처리 페이즈 (390) 를 포함하는 CFD 프로세스 타이밍 도 (300) 의 일 예를 개략적으로 도시한다. 임의의 적합한 플라즈마는 플라즈마 처리 페이즈 동안 사용될 수도 있다는 것이 이해될 것이다. 제 1 시나리오에서, 제 1 플라즈마 기체는 성막 사이클에서 활성화 동안에 이용될 수도 있고 제 2의, 상이한 플라즈마 기체는 플라즈마 처리 페이즈 동안에 사용될 수도 있다. 제 2 시나리오에서, 제 2의, 상이한 플라즈마 기체가 플라즈마 처리 페이즈 동안에 제 1 플라즈마 기체를 보충할 수도 있다. 일 예의 인시츄 플라즈마 처리 사이클을 위한 비제한적 파라미터 범위들은 표 4에서 제공된다.
Figure 112019101417345-pat00007
도 3에 보인 플라즈마 활성화 페이즈 (380) 에서, 기판 표면은 막 성막 반응을 활성화시키기 위해 플라즈마에 노출된다. 도 3에 보인 예에서 도시된 바와 같이, 프로세스 스테이션에는, 예를 들면 산소와 같은 보조 반응물일 수도 있는 반응물 A의 연속 흐름, 및 불활성 기체가 플라즈마 처리 스위프 페이즈 (390A) 에서 제공된다. 프로세스 스테이션을 스위프시키는 것은 프로세스 스테이션으로부터 휘발성 오염물들을 제거할 수도 있다. 스위프 기체가 도 3에서 보여졌지만, 임의의 적합한 반응물 제거 방법이 본 개시물의 범위 내에서 이용될 수도 있다는 것이 이해될 것이다. 플라즈마 처리 활성화 페이즈 (390B) 에서, 플라즈마는 새로이 성막된 막의 벌크 및/또는 근접-표면 지역을 처리하기 위해 점화된다.
도 3의 일 예가 플라즈마 처리 페이즈를 포함한 CFD 사이클의 하나의 인스턴스를 포함하지만, 임의의 적합한 수의 반복들이 본 개시물의 범위 내에서 채용될 수도 있다는 것이 인식될 것이다. 게다가, 하나 이상의 플라즈마 처리 사이클들은 정상적인 성막 사이클들 사이의 간격들에 (규칙적으로 또는 다른 방법으로) 삽입될 수도 있다는 것이 인식될 것이다. 예를 들어, 도 4는 2 개의 성막 사이클들 사이에 삽입된 플라즈마 처리 페이즈를 포함하는 CFD 프로세스 타이밍 도 (400) 의 일 예를 도시한다. 도 4의 예가 2 개의 성막 사이클들 사이에 삽입된 플라즈마 처리 사이클을 포함하지만, 성막 사이클들의 임의의 적합한 수가 하나 이상의 플라즈마 처리 사이클들에 선행하거나 또는 후행할 수도 있다는 것이 이해될 것이다. 예를 들어, 플라즈마 처리가 막 밀도를 변경하는데 이용되는 시나리오에서, 플라즈마 처리 사이클은 매 10번째 성막 사이클 후에 삽입될 수도 있다. 플라즈마 처리가 흡착 및 반응 이벤트들을 위한 표면을 준비하는데 이용되는 시나리오에서, 플라즈마 처리 페이즈는, 예컨대, 각각의 CFD 성막 페이즈 후에, 매 CFD 사이클에서 포함될 수도 있다.
성막된 막의 플라즈마 처리는 막의 하나 이상의 물리적 특성들을 변경시킬 수도 있다. 하나의 시나리오에서, 플라즈마 처리는 새로이 성막된 막을 치밀화할 수도 있다. 치밀화된 (Densified) 막들은 비-치밀화된 막들보다 더 식각 저항성이 있을 수도 있다. 예를 들어, 도 5는 열적으로 성장된 실리콘 이산화물 막들에 대하여 예의 CFD 가공된 실리콘 이산화물 막들의 식각 레이트들의 비교 (500) 의 일 예를 도시한다. 도 5의 예의 막 예들은 CFD 프로세스들 (502 및 504) 에 의해 섭씨 50 내지 400 도의 온도들의 범위에서 성막되었다. 참조를 위해, 플라즈마-강화된 CVD 프로세스들에 의해 성막된 실리콘 이산화물 스페이서 층들 및 도핑되지 않은 규산염 유리 (USG) 에 대한 상대 식각 레이트들이 도 5에서 표시되어 있다. 각각의 성막 사이클에서 1-초 고주파 산소 플라즈마 활성화 페이즈를 포함했던 프로세스 (502) 에 의해 생성된 막은, 각각의 성막 사이클에서 10-초 고주파수 산소 플라즈마 활성화 페이즈를 포함했던 막 (504) 에 비해 희석 불화수소산 습식 식각 (100:1 H20:HF) 에 대한 대략 절반의 저항성이 있었다. 따라서, 플라즈마 활성화 페이즈의 하나 이상의 양태들을 가변시키는 것 및/또는 하나 이상의 플라즈마 처리 사이클들을 포함시키는 것은 성막된 막의 식각 레이트을 가변시킬 수도 있다는 것이 인식될 것이다.
다른 시나리오에서, 막의 플라즈마 처리는 막의 스트레스 특성들을 가변시킬 수도 있다. 예를 들어, 도 6은 예의 CFD 실리콘 이산화물 막들에 대한 습식 식각 레이트 비 및 막 스트레스 사이의 상관 (600) 의 일 예를 도시한다. 도 6에 보인 예에서, 예를 들어, 플라즈마 노출 시간을 연장시키는 것에 의한 습식 식각 레이트 비에서의 감소들은 압축성 막 스트레스를 증가시킬 수도 있다.
또 다른 시나리오에서, 성막된 막의 플라즈마 처리는 다른 막 성분들 (예컨대, 일 예의 실리콘 이산화물 막에서의 실리콘 및/또는 산소) 에 비하여 미량 (trace) 의 막 오염물들 (예컨대, 일 예의 실리콘 이산화물 막에서의 수소, 질소 및/또는 탄소) 의 일시적 차이 제거를 제공할 수도 있다. 예를 들어, 도 7은 성막 온도, 플라즈마 노출 시간, 및 막 오염물 농도들 사이의 상관 (700) 의 일 예를 도시한다. 도 7에 보인 예에서, 섭씨 50 도에서 성막되고 10-초 산소 플라즈마 활성화 페이즈를 갖는 CFD 실리콘 이산화물 막 (704) 은, 동일한 온도에서 성막되지만 1-초 산소 플라즈마 활성화 페이즈를 갖는 CFD 실리콘 이산화물 막 (702) 보다 낮은 수소 및 탄소의 농도들을 나타낸다. 막에서의 오염물 농도들을 변경하는 것은 막의 전기 및/또는 물리적 성질들을 변경시킬 수도 있다. 예를 들어, 탄소 및/또는 수소 함량을 조정하는 것은 막 유전 상수 및/또는 막 식각 레이트를 조정할 수도 있다. 따라서, 플라즈마 활성화 페이즈의 하나 이상의 양태들을 가변시키는 것 및/또는 하나 이상의 플라즈마 처리 사이클들을 포함시키는 것은 막 조성을 가변시키는 접근법을 제공할 수도 있다는 것이 인식될 것이다.
위에서 논의된 플라즈마 처리가 산소 플라즈마 처리에 관련되지만, 임의의 적합한 플라즈마 처리가 현재 예의 범위로부터 벗어남 없이 채용될 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 예들에서 치환된 아민은 적합한 CFD 프로세스에서 NH3 대신 질소 함유 반응물로서 채용될 수도 있다. 등각 SiN 성막을 위해 치환된 아민 (예컨대, t-부틸 아민과 같은 알킬 아민) 으로 NH3를 대체하는 것이 다수의 유익들을 제공할 수도 있지만, 일부 경우들에서, 성막된 막은 알킬 아민 반응물로부터 비롯되는 탄소 잔류물 (예컨대, 각각의 t-부틸 아민 분자 (NH2-(CH3)3)) 를 포함했던 3개의 메틸기들로부터의 탄소 잔류물) 을 포함할 수도 있다. 이 막 내 탄소는 전기 누설을 초래할 수 있고 그 막을 일부 유전체 장벽 애플리케이션들에 대해 사용가능하지 않게 만들 수도 있다.
따라서, 일부 예들에서, SiN 막 성막 동안에 수소 플라즈마를 점화시키는 것은 SiN 막에서의 탄소 잔류물을 감소시킬 수도 있으며, 이는 막의 절연 특성을 상당히 향상시킬 수도 있다. 일부 예들에서, 탄소 잔류물의 감소는 FTIR 스펙트럼들에서 쉽사리 관측가능할 수도 있다. 예를 들어, SiN:C-H 레벨들은 대략 10 원자%로부터 대략 1 원자%까지 감소될 수도 있다.
그러므로, 일부 예들에서, 실리콘 질화 막은 질소-함유 반응물에 포함된 알킬 아민 또는 알킬 아민들의 혼합물 및 수소 플라즈마 처리의 하나 이상의 인스턴스들을 이용하여 CFD 프로세스로 성막될 수도 있다. 임의의 적합한 수소 플라즈마가 본 개시물의 범위로부터 벗어남 없이 채용될 수도 있다는 것이 이해될 것이다. 따라서, 일부 예들에서, H2와 기체 이를테면 He 또는 Ar의 혼합물, 또는 다른 H-함유 기체들, 또는 원격 플라즈마 소스에 의해 생성된 활성 H 원자들이, 성막된 막을 처리하는데 이용될 수도 있다. 게다가, 일부 예들에서, 막의 탄소 함량은 처리 펄스들의 수 및 그것들의 지속시간, 처리 플라즈마의 세기, 기판 온도, 및 처리 기체 조성 중 하나 이상을 가변시킴으로써 임의의 적합한 농도로 조정될 수도 있다.
위에서 논의된 수소 플라즈마 처리가 실리콘 질화 막에 관련되지만, 적합한 수소 플라즈마 처리의 적용은 SiOx, GeOx, 및 SiOxNy를 포함하지만 그것들로 제한되지는 않는 다른 CFD 성막된 막들의 탄소 함량을 조절하기 위해 사용될 수도 있다는 것이 인식될 것이다.
본원에서 개시된 특정 예들은 산화물 CFD 막들의 (플라즈마 처리를 이용하거나 또는 이용하지 않는) 자외선 처리와 관련된다. 그 처리는 산화물에서의 결함들을 완화시키고 게이트 유전체의 CV 특성들과 같은 전기적 성질들을 향상시킬 수도 있다. 이러한 처리로부터 유익할 수 있는 CFD 산화물들을 채용하는 디바이스 및 패키지 애플리케이션들은, 실리콘 관통 (thru-silicon) 비아들, 게이트 산화물들을 채용하는 로직 기술, 얕은 트렌치 분리 (STI), STI-포토레지스트 박리 후에 형성된 얇은 열 산화, P-웰 임플란트 전의 희생 산화물 (예컨대, ~60A), 포스트 "웰" 열 산화물 성장, 게이트/채널 산화물, DRAM PMD PECVD 산화물을 포함한다.
일부 경우들에서, 처리되지 않은 CFD 산화물 막들은, 생각되기로는 성막 시 막에서의 고정된 전하로 인해, 비교적 열악한 전기적 성능을 가질 것이 관측되었다. 예를 들어, 일부 막들은 상당한 웨이퍼-내 Vfb 변동들을 가진다는 것을 알아냈다. 이러한 문제들은 수소의 존재 하의 UV -방사 및/또는 열 어닐과 함께 후-성막 처리를 이용함으로써 해결되었다. 이 프로세스는 (1) 산화물 대 실리콘 계면에서 또는 (2) 성막된 유전체 막 내에서 또는 (3) 공기 대 산화물 표면 (표면 전하) 에서 고정된 전하에 관련된 결함들을 페시베이트 (passivate) 하고 및/또는 완화시킨다고 생각된다. 이러한 처리를 이용하여, 성막된 그대로의 산화물에 대한 Vfb 스프레드는 UV 경화 후에 8.3V로부터 약 1.5V로 강화되었다.
이들 예들이 주로 산화 막들을 개량하는 것에 관련되지만, 개시된 방법은 일반적으로 유전체들의 성장, 금속들, 금속 대 유전체 계면 엔지니어링에 적용될 수도 있다. 구체적인 유전체 재료들은, 예를 들어, 도핑된 실리콘 산화물들을 포함하는 실리콘 산화물, 실리콘 탄화물들, 실리콘 옥시탄화물들, 실리콘 질화물들, 실리콘 옥시질화물들, 및 애쉬가능 (ashable) 하드 마스크 재료들을 포함한다.
유전체 성질들을 개선하기 위해 적용될 수도 있는 처리들의 예들은 다음을 포함한다:
(A) UV 경화 및 그 후의 수소-어닐로 CFD에 의해 합성된 유전체 막들의 후-성막 처리. 가장 간단한 예에서, UV 처리는 고정된 전하를 줄이기 위해 단독으로 이용될 수도 있다.
(B) He, H2, Ar, N2, H2/N2-포밍 기체, NH3의 존재 하의 H2-플라즈마, N2-플라즈마, N2/H2-플라즈마, NH3-플라즈마, Ar-플라즈마, He-플라즈마, He 어닐, H2-어닐, NH3-어닐, 및 UV 경화를 포함한 처리들을 이용한, CFD-유전체 막 성막 전의 기판의 전-처리. 플라즈마 프로세싱은 마이크로파, ICP-원격, 직접 (direct) 등을 포함하지만 그것들로 한정되지는 않는 다양한 플라즈마 발생기들로 가능하게 될 수도 있다.
(C) He, H2, Ar, N2, H2/N2-포밍 기체, NH3의 존재 하의 H2- 플라즈마, N2-플라즈마, N2/H2-플라즈마, NH3-플라즈마, Ar-플라즈마, He-플라즈마, He 어닐, H2-어닐, NH3-어닐, 및 UV 경화를 포함한 처리들을 이용한 동시 처리 (성막 동안의 경화). 플라즈마 프로세싱은 당업자에서 알려진 마이크로파, ICP-원격, 직접 및 다른 것들을 포함하지만 그것들로 제한되지는 않는 다양한 플라즈마 발생기들로 구현될 수도 있다. 등방성 및 방향성 프로세싱은, 원격 플라즈마, UV 노출, 직접 플라즈마, 및 마이크로파 플라즈마로 한정되지는 않지만 그것들을 포함하여 적용될 수도 있다. 일 예의 방법은 CFD 사이클들의 그룹들 사이의 막의 단속적 처리를 포함한다. CFD 사이클들의 그룹은 약 1부터 10000사이클들까지 가변할 수도 있다. 통상적인 시나리오는 다음을 포함한다: (1) 5 사이클들의 CFD 산화물 성장, 다음으로 (2) 위에서 설명된 방법들 중의 임의의 것 (예컨대, He-플라즈마, UV-처리) 를 이용한 하나 이상의 막 처리들, 다음으로 (3) 5사이클들의 CFD 산화물 성장. 이 방법은 임의의 소망의 두께의 막을 성장시키는데 이용될 수도 있다.
(D) 위에서 열거된 임의의 플라즈마에 의한 부산물로서 부과된 UV 처리 (예컨대, 헬륨 플라즈마는 UV 방사선을 방출한다).
CFD 사이클링 동안에 인시츄 "경화"를 위한 프로시저의 하나의 예는 다음의 동작들을 수반한다:
(1) He-플라즈마를 통한 UV 처리
(2) BTBAS 도즈
(3) 퍼지
(4) O2/Ar-RF 플라즈마 활성화
(5) 퍼지
(6) 소망의 두께의 막을 얻기 위해 단계들 1-5를 반복.
UV 경화 조건들의 범위는 열거된 상황들 중 임의의 것에서 채용될 수도 있다. 일반적으로, 페데스탈 온도는 경화 동안에 약 250 및 500 ℃ 사이로 유지될 것이다. 많은 디바이스 제작 애플리케이션들에 대해, 상방 온도는 450 ℃ 또는 심지어 400 ℃로 제한될 것이다. 경화 동안에 채용된 분위기 (ambient) 는 불활성 또는 반응성일 수도 있다. 경화 동안에 존재할 수도 있는 기체들의 예들은 헬륨, 아르곤, 질소, 포밍 기체, 및 암모니아를 포함한다. 이러한 기체들의 유량은 약 2 내지 20,000 sccm, 바람직하게는 약 4000 내지 18,000 sccm일 수도 있다. UV 램프의 전력은, 예를 들어, 약 2-10 kW, 그리고 바람직하게는 약 3.5와 7 kW 사이일 수도 있다. 이러한 소스로부터 UV에 대한 노출의 적절한 지속시간은 약 20과 200 초 사이 (예컨대, 약 90 초) 이다. 마지막으로, 압력은 0 Torr과 약 40 Torr 사이의 레벨로 유지될 수도 있다.
구체적인 예에서, CFD 산화물의 효과적인 처리는 다음의 조건들을 이용하여 획득되었다:
1. 페데스탈 온도 = 400 ℃
2. 분위기 = He
3. 압력 = 40 Torr He
4. 유량 = 10,000 sccm
일부 예들에서, 산화물의 열 어닐은 UV 경화 동작 후에 수행된다. 하나의 예에서, 다음의 조건들이 어닐에서 이용되었다:
1. 페데스탈 온도 T = 400 ℃
2. 분위기 = H2 + N2
3. 압력 = 2.5 Torr
4. 유량 = 750 sccm H2; 3000 sccm N2
성막된 막의 물리적 및 전기 특성들은 또한 다른 프로세스 파라미터들, 이를테면 성막 온도를 조절함으로써 변경될 수도 있다. 예를 들어, 도 7에 도시된 일 예의 상관 (700) 은 또한 CFD 막 성막 온도 및 막 오염물들 농도 사이의 일 예의 관계를 도시한다. 막 성막 온도가 증가함에 따라, 막 오염물들의 포함 (incorporation) 이 감소한다. 다른 예에서, 도 5에 보인 예는, 위에서 설명된 바와 같이, 일 예의 실리콘 이산화물 CFD 막들의 습식 식각 레이트 비가 성막 온도가 감소함에 따라 감소한다는 것을 예시한다. 막 성질들을 조정하기 위해 조절될 수도 있는 다른 성막 파라미터들은 RF 전력, RF 주파수, 압력, 및 유량들을 포함한다. 게다가, 일부 예들에서, 막 특성들은 반응물 선택을 변경함으로써 변경될 수도 있다. 예를 들어, 실리콘 이산화물 막의 수소 함량은 테트라 이소시아네이트 실란 (TICS) 을 실리콘 함유 반응물로서 그리고 산소 및/또는 아산화 질소를 산소-함유 반응물로서 이용함으로써 감소될 수도 있다.
위에서 논의된 것들과 유사하게, 물리적 및/또는 전기 막 특성들의 변화는 디바이스 성능 및 수율을 조절하기 위한 기회들과, 디바이스 제조 프로세스 통합의 양태들을 조절하기 위한 기회들을 제공할 수도 있다는 것이 이해될 것이다. 하나의 비제한적 예로서, CFD 실리콘 이산화물 막의 식각 레이트 특성들을 조정하는 능력은 막을 식각 저지, 하드 마스크, 및 다른 프로세스 통합 애플리케이션들을 위한 후보로 만들 수도 있다. 따라서, CFD-생산된 막들의 다양한 예들은 통합된 반도체 디바이스 제작 프로세스 전반에 걸쳐 애플리케이션을 위해 본원에서 제공된다.
하나의 시나리오에서, CFD 프로세스는 비-평면 기판 상에 등각 실리콘 이산화물 막을 성막할 수도 있다. 예를 들어, CFD 실리콘 이산화물 막은 구조들의 갭 충전, 이를테면 얕은 트렌치 분리 (STI) 구조들의 트렌치 충전을 위해 사용될 수도 있다. 아래에서 설명되는 여러 가지 예들이 갭 충전 애플리케이션에 관련되지만, 이는 단지 비제한적, 예시적 애플리케이션이라는 것과, 다른 적합한 막 재료들을 이용하는 다른 적합한 애플리케이션들이 본 개시물의 범위 내에 있을 수도 있다는 것이 이해될 것이다. CFD 실리콘 이산화물 막들에 대한 다른 애플리케이션들은, 층간 유전체 (interlayer dielectric; ILD) 애플리케이션들, 금속간 유전체 (intermetal dielectric; IMD) 애플리케이션들, 프리-금속 유전체 (pre-metal dielectric; PMD) 애플리케이션들, 실리콘 관통 비아 (TSV) 용 유전체 라이너들 애플리케이션들, 저항성 RAM (ReRAM) 애플리케이션들, 및/또는 DRAM 내 스택형 커패시터 제작 애플리케이션들을 포함하지만 그것들로 제한되지는 않는다.
도핑된 실리콘 산화물은 붕소, 인, 또는 심지어 비소 도펀트들을 위한 확산 소스로서 사용될 수도 있다. 예를 들어, 붕소 도핑된 규산염 유리 (BSG), 인 도핑된 규산염 유리 (PSG), 또는 심지어 붕소 인 도핑된 규산염 유리 (BPSG) 가 사용될 수 있다. 도핑된 CFD 층들은, 예를 들어, 멀티-게이트 FinFET들과 같은 3차원 트랜지스터 구조들 및 3차원 메모리 디바이스들에 등각 도핑을 제공하기 위해 채용될 수 있다. 기존의 이온 임플란터들은, 특히 높은 애스펙트비 구조의 측벽들을 쉽게 도핑할 수 없다. 확산 소스들로서의 CFD 도핑된 산화물들은 다양한 이점들을 가진다. 먼저, 그것들은 낮은 온도에서 높은 등각도 (conformality) 를 제공한다. 비교하여, 저압 CVD 생산된 도핑된 TEOS (tetraethylorthosilicate) 가 알려져 있지만 높은 온도에서의 성막을 요구하고, 대기중보다 낮은 (subatmospheric) CVD 및 PECVD 도핑된 산화물 막들은 더 낮은 온도에서 가능하지만 불충분한 등각도를 가진다. 도핑의 등각도는 중요하지만, 그만큼 막 자체의 등각도가 중요한데, 막이 통상 희생 도포물 (application) 이고 그 후에 제거될 필요가 있기 때문이다. 비-등각 막은 통상 제거 시에 더 많은 도전 과제들에 직면한다, 즉 일부 영역들은 오버식각될 수 있다. 덧붙여, CFD는 극히 잘 제어되는 도핑 농도를 제공한다. 언급된 바와 같이, CFD 프로세스는 몇몇 층의 도핑되지 않은 산화물과 다음으로 단일 층의 도핑으로부터 제공될 수 있다. 도핑의 레벨은 도핑된 층이 성막되는 빈도 및 도핑 사이클의 조건들에 의해 엄중하게 제어될 수 있다. 특정 예들에서, 도핑 사이클은 예를 들면 상당한 입체 장애 (steric hindrance) 를 갖는 도펀트 소스를 이용함으로써 제어된다. 기존의 실리콘-기반 마이크로전자공학 외에, CFD 도핑의 다른 애플리케이션들은 GaAs와 같은 III-V 반도체들 및 HgCdTe와 같은 II-VI 반도체들에 기초한 마이크로전자공학 및 광전자공학, 광기전공학, 평판 패널 디스플레이들, 및 일렉트로크로믹 기술을 포함한다.
일부 갭 충전 프로세스들은 성막 프로세스들 사이에 진공 파괴 (vacuum break) 및 공기 노출을 필요로 하는 상이한 성막 도구들 상에서 수행되는 2 개의 막 성막 단계들을 수반한다. 도 8은 복수의 갭들 (802) 을 포함하는 일 예의 비-평면 기판 (800) 을 개략적으로 도시한다. 도 8에 도시된 바와 같이, 갭들 (802) 은 가변하는 애스펙트 비들을 가질 수도 있으며, 그 비들은 각각의 갭 (802) 에 대한 갭 깊이 (H) 대 갭 폭 (W) 의 비로서 정의될 수도 있다. 예를 들어, 통합된 반도체 디바이스의 로직 영역은 상이한 로직 디바이스 구조들에 대응하는 가변하는 갭 애스펙트비들을 가질 수도 있다.
도 8에 도시된 바와 같이, 비-평면 기판 (800) 은 얇은, 등각 막 (804) 에 의해 덮인다. 등각 막 (804) 이 완전히 충전된 갭 (802A) 을 가지지만, 갭들 (802B 및 802C) 은 열린 채로 남아 있다. 등각 막을 갖는 닫히는 갭들 (802B 및 802C) 은 연장된 프로세스 시간들로 이어질 수도 있다. 따라서, 일부 접근법들에서, 두꺼운 막이 높은 성막 레이트 프로세스, 이를테면 CVD 및/또는 PECVD 방법에 의해 익스 시츄 (ex-situ) 성막될 수도 있다. 그러나, 갭 충전물 막들의 익스시츄 성막은 생산 라인에서 웨이퍼 스루풋을 감소시킬 수도 있다. 예를 들어, 성막 도구들 사이의 기판 핸들링 및 이송 시간은 생산 기간 (production period) 동안에 기판 프로세싱 활동도들의 수를 감소시킬 수도 있다. 이는 생산 라인 스루풋이 줄어들게 할 수도 있고 생산 라인에서 부가적인 프로세스 도구들의 설치 및 유지보수를 요구할 수도 있다.
게다가, 갭 (802C) 이 기체-페이즈 성막 공정에 적합한 애스펙트비를 가질 수도 있지만, 802B는, 높은 성막 레이트 프로세스에 의한 불완전한 충전으로 이어질 수도 있고 키홀 보이드를 형성할 수도 있는 애스펙트비를 가질 수도 있다. 예를 들어, 도 10은 기판 (1002) 에 형성된 일 예의 높은 애스펙트비 구조 (1000) 를 도시한다. 도 10에 도시된 바와 같이, 더 두꺼운 막 (1006) 의 성막 동안에 브레드 로핑 (bread loafing) 효과들은 키홀 보이드 (1008) 을 생성했다. 키홀 보이드들은 후속하는 프로세스들에서 다시 열리고 도전성 막들로 충전될 수도 있으며, 그것들은 디바이스 단락 (shorting) 으로 이어질 수도 있다.
갭 (802B) 과 같은 높은 애스펙트비 갭들을 다루는 것에 대한 일부 접근법들은 이러한 갭들의 생성을 피하는 디바이스 설계 규칙들을 제공하는 것을 포함한다. 그러나, 이러한 설계 규칙들은 부가적인 마스킹 단계들을 요구할 수도 있으며, 디바이스 설계를 어렵게 만들 수도 있으며, 그리고/또는 증가되는 집적 반도체 디바이스 영역으로 이어질 수도 있으며, 이는 제조 비용을 증가시킬 수도 있다. 따라서, 일부 예들에서, CFD 프로세스는 CFD 프로세스로부터 CVD 및/또는 PECVD 프로세스로의 인시츄 천이를 포함할 수도 있다. 예를 들어, 도 9는 3 개의 페이즈들로 분할된 CFD 프로세스 타이밍 도 (900) 의 일 예를 도시한다. CFD 프로세스 페이즈 (902) 는 일 예의 CFD 프로세스 사이클을 도시한다. 명료성을 위해, 단일 CFD 프로세스 사이클이 도 9에 도시된 일 예에서 나타나 있지만, CFD 프로세스 사이클들 및 플라즈마 처리 사이클들의 임의의 적합한 수가 CFD 프로세스 페이즈 (902) 에 포함될 수도 있다는 것이 이해될 것이다. 천이 페이즈 (904) 는 CFD 프로세스 페이즈 (902) 를 뒤따른다. 도 9의 예에서 도시된 바와 같이, 천이 페이즈 (904) 는 양쪽 CFD 프로세스 및 PECVD 프로세스 모두의 양태들을 포함한다. 구체적으로는, 반응물 B는 반응물들 A 및 B가 플라즈마 활성화 페이즈 (904B) 동안에 양자 모두 기체상으로 존재하도록 반응물 B 노출 페이즈 (904A) 의 종료 후에 프로세스 스테이션에 제공된다. 이는 CFD-유형 표면 반응들과 동시에 PECVD-유형 기체상 반응들을 제공할 수도 있다. 천이 페이즈 (904) 가 반응물 B 노출 페이즈 (904A) 및 플라즈마 활성화 페이즈 (904B) 의 한 번의 반복만을 포함하지만, 임의의 적합한 수의 반복들이 천이 페이즈 내에 포함될 수도 있다는 것이 이해될 것이다.
일부 예들에서, 플라즈마 발생기는 플라즈마 활성화 페이즈 (904B) 동안에 플라즈마 에너지의 단속 (intermittent) 펄스들을 제공하기 위해 제어될 수도 있다. 예를 들어, 플라즈마는 10 Hz와 150 Hz 사이의 주파수들을 포함하지만 그것들로 제한되지 않는 하나 이상의 주파수들에서 펄싱 (pulsing) 될 수도 있다. 이는 연속 플라즈마에 비교하여 이온 폭격의 방향성을 감소시킴으로써 스텝 피복성을 향상시킬 수도 있다. 게다가, 이는 기판에 대한 이온 폭격 손상을 감소시킬 수도 있다. 예를 들어, 포토레지스트 기판들은 연속 플라즈마 동안에 이온 폭격에 의해 침식 (erode) 될 수도 있다. 플라즈마 에너지를 펄싱하는 것은 포토레지스트 침식을 감소시킬 수도 있다.
도 9에 보인 예에서, 플라즈마 활성화 페이즈 (904B) 동안의 반응물 B의 유량은 반응물 B 노출 페이즈 (904A) 동안의 반응물 B의 유량보다 작다. 따라서, 반응물 B는 플라즈마 활성화 페이즈 (904B) 동안에 프로세스 스테이션 속으로 "세류 (trickle)" 될 수도 있다. 이는 CFD-유형 표면 반응들을 보완하는 기체상 PECVD 반응을 제공할 수도 있다. 그러나, 일부 예들에서, 반응물 B의 유량은 단일 플라즈마 활성화 페이즈 동안에 또는 천이 페이즈 동안 가변될 수도 있다. 예를 들어, 반응물 B 노출 및 플라즈마 활성화의 2번의 반복들을 포함하는 천이 페이즈에서, 제 1 플라즈마 활성화 페이즈 동안의 반응물 B의 유량은 제 2 플라즈마 활성화 페이즈 동안의 반응물 B의 유량보다 낮을 수도 있다. 플라즈마 활성화 페이즈 (904B) 동안에 반응물 B의 유량을 가변시키는 것은 CFD 프로세스 페이즈 (902) 의 스텝 피복성 특성들로부터 PECVD 프로세스 페이즈 (906) 의 성막 레이트 특성들로의 매끄러운 천이 (smooth transition)을 제공할 수도 있다.
도 26은 위에서 설명된 인시츄 천이 프로세스의 일 예에 의해 성막된 다양한 층들을 나타내는 비-평면 기판 (2602) 의 일 예의 단면 (2600) 을 도시한다. 등각 막 (2604) 은 CFD 프로세스 페이즈의 일 예에서 성막될 수도 있다. 제 1 천이 막 (2606) 및 제 2 천이 막 (2608) 이 위에서 설명된 예와 같은 천이 페이즈의 일 예에서 성막될 수도 있다. 예를 들어, 제 1 천이 막 (2606) 은 천이 페이즈 동안에 반응물 B의 제 1의 낮은 유량으로 성막될 수 있고, 제 2 천이 막 (2608) 은 나중에 천이 페이즈에서 반응물 B의 제 2의 높은 유량으로 성막될 수도 있다. 이는 천이 페이즈 동안의 성막 레이트에서의 증가를 제공할 수도 있으며, 이는 도구의 사이클 시간을 감소시키고 도구 스루풋을 증가시킬 수도 있다. 도 28은 본 개시물의 일 예에 따른 CFD 표면 반응 페이즈 (2802) 부터 PECVD 페이즈 (2804) 까지의 천이 및 성막 레이트 사이의 일 예의 상관 (2800) 을 도시한다. 도 28은 또한 CFD 표면 반응 페이즈 (2802) 에 그리고 PECVD 페이즈 (2804) 에 각각 대응하는 예의 주사형 전자 현미경 (SEM) 이미지들 (2806 및 2808) 을 도시한다.
대안으로 또는 부가적으로, 일부 예들에서, 반응물 B의 유량은 천이 페이즈의 플라즈마 활성화 페이즈 동안에 일정할 수도 있다. 도 27은 CFD 프로세스 타이밍 도 (2700) 의 일 예를 도시하며 여기서 반응물 B의 유량은 천이 페이즈 (2704) 의 플라즈마 활성화 페이즈 (2704B) 동안에 일정하다.
일부 예들에서, 동시 PECVD-유형 기체상 반응들 및 CFD-유형 표면 반응들은 막 성막 공정 전체에 걸쳐 채용될 수도 있다. 예를 들어, 실리콘 관통 비아 (TSV) 를 위한 유전체 라이너는 동시 CFD-유형 및 PECVD-유형 반응들에 의해 반 (semi) -등각 막으로 충전될 수도 있다.
동시 PECVD-형 및 CFD-형 반응들은 반응물 B가 플라즈마 환경에서 반응물 A와 공존하는 경우에 일어날 수도 있다. 일부 예들에서, 플라즈마 환경에서의 반응물들의 공존은 반응물 B의 공급이 중단된 후의 프로세스 스테이션에서 반응물 B의 지속으로부터 발생되고, 기판에의 반응물 B의 노출을 계속할 수도 있다. 예를 들어, 도 29는 프로세스 스테이션에의 반응물 B의 공급을 중단하는 것 및 플라즈마 활성화 사이에 양의 지속 시간을 갖는 스위프 페이즈를 포함하는 CFD 프로세스의 일 예에 대한 타이밍 도 (2900) 를 도시한다. 다른 예로서, 도 30은 반응물 B의 공급을 중단하는 것 및 플라즈마 활성화 사이에 스위프 페이즈를 제외한 (예컨대, 스위프 시간 = 0을 갖는) CFD 프로세스의 일 예에 대한 다른 타이밍 도 (3000) 를 도시한다.
일부 예들에서, 플라즈마 환경에서의 반응물들의 공존은 프로세스 스테이션에의 반응물 B의 동시 공급 및 플라즈마 활성화로부터 발생될 수도 있다. 예를 들어, 도 31은 프로세스 스테이션에의 반응물 B의 공급 및 플라즈마 활성화 사이에 ("음의" 스위프 시간에 의해 표시된) 오버랩을 갖는 CFD 프로세스의 일 예에 대한 타이밍 도 (3100) 를 도시한다.
일부 예들에서, CFD 프로세스는 성막된 막의 요형 부분을 선택적으로 제거하기 위한 인시츄 식각을 포함할 수도 있다. 갭 충전물 CFD 프로세스를 위한 인시츄 식각을 포함하는 일 예의 실리콘 이산화물 성막 프로세스에 대한 비제한적 파라미터 범위들이 표 5에서 제공된다.
Figure 112019101417345-pat00008
도 11은 성막 페이즈 (1102), 식각 페이즈 (1104), 및 후속 성막 페이즈 (1106) 를 포함하는 CFD 프로세스 타이밍 도 (1100) 의 일 예를 도시한다. 도 11에 보인 예의 성막 페이즈 (1102) 에서, 막은 기판의 노출된 표면들에 성막된다. 예를 들어, 성막 페이즈 (1102) 는 하나 이상의 CFD 프로세스 성막 사이클들을 포함할 수도 있다.
도 11의 예의 식각 페이즈 (1104) 에서, 반응물들 A 및 B는 턴오프되고 식각 기체가 프로세스 스테이션에 도입된다. 식각 기체의 하나의 비제한적 예는 삼불화 질소 (NF3) 이다. 도 11에 도시된 예에서, 식각 기체는 식각 페이즈 (1104) 동안에 점화된 플라즈마에 의해 활성화된다. 다양한 프로세스 파라미터들, 이를테면 프로세스 스테이션 압력, 기판 온도, 식각 기체 유량은, 비-평면 기판 상의 성막된 막의 요형 부분을 선택적으로 제거하기 위해 식각 페이즈 (1104) 동안에 조절될 수도 있다. 임의의 적합한 식각 프로세스는 본 개시물의 범위 내에서 채용될 수도 있다. 다른 예의 식각 프로세스들은, 반응성 이온 에칭, 비-플라즈마 기상 에칭, 고체상 승화, 그리고 식각 종들의 (예컨대, 이온 폭격에 의한) 흡착 및 방향성 활성화를 포함하지만 그것들로 제한되지는 않는다.
일부 예들에서, 비양립성 (incompatible) 기체상 종들은 막을 식각하기 전 및 후에 프로세스 스테이션으로부터 제거될 수도 있다. 예를 들어, 도 11의 예는 식각 페이즈 (1104) 동안 반응물들 A 및 B가 턴오프된 후에 그리고 식각 기체가 턴 오프된 후에 불활성 기체의 연속 흐름을 포함한다.
식각 페이즈 (1104) 의 끝에서, 성막 페이즈 (1106) 가 시작되고, 비-평면 기판 상의 갭들을 추가로 충전시킨다. 성막 페이즈 (1106) 는 임의의 적합한 성막 공정일 수도 있다. 예를 들어, 성막 페이즈 (1106) 는 CFD 프로세스, CVD 프로세스, PECVD 프로세스 등 중의 하나 이상을 포함할 수도 있다. 도 11의 예가 단일 식각 페이즈 (1104) 를 도시하지만, 복수의 인시츄 식각 프로세스들이 갭 충전물 프로세스 동안에 임의의 적합한 유형의 다수의 성막 페이즈들 사이의 간격들에 삽입될 수도 있다.
도 12a 내지 도 12c는 위에서 설명된 인시츄 성막 및 식각 프로세스들의 일 예의 다양한 페이즈들에서의 비-평면 기판의 예의 단면들을 도시한다. 도 12a는 갭 (1202) 을 포함하는, 일 예의 비-평면 기판 (1200) 을 도시한다. 갭 (1202) 은 얇은 막 (1204) 으로 덮인다. 박막 (1204) 은 갭 (1202) 과 거의 등각이지만, 박막 (1204) 은 갭 (1202) 의 상부 근처에 요형 부분 (1206) 을 포함한다.
도 12b에 도시된 예에서, 박막 (1204) 의 요형 부분 (1206) 은 선택적으로 제거되었고 그 박막 (1204) 의 상부 지역 (1204A) 은 하부 지역 (1204B) 보다 더 얇다. 요형 부분의 선택적 제거 및/또는 측벽 각도 조절은 활성 식각 종들에 물질 이행 (mass transfer) 제한들 및/또는 수명 제한들을 부과함으로써 달성될 수도 있다. 일부 예들에서, 갭 (1202) 의 상부에서의 선택적 에칭은 또한 갭 (1202) 의 측벽 각도를 조절할 수도 있어서, 갭 (1202) 은 하부보다 상부에서 폭이 더 넓다. 이는 후속하는 성막 페이즈들에서의 브레드 로핑 효과를 추가로 감소시킬 수도 있다. 도 12c에 보인 예에서 후속 성막 페이즈 후, 갭 (1202) 은 거의 충전되어 보이드들을 나타내지 않는다.
인시츄 식각 프로세스의 다른 예는 구리 전극에 대한 실리콘 관통 비아 (TSV) (2500) 를 도시하는 도 25에서 도시된다. 일부 예의 TSV들은 대략 105 미크론의 깊이들 및 대략 6 미크론의 직경들을 가져서, 대략 17.5: 1 애스펙트비를 제공하고, 대략 섭씨 200도의 열 버짓 상승한도 (ceiling) 를 가질 수도 있다. 도 25의 예에서 보인 바와 같이, 실리콘 관통 비아 (2500) 는 유전성 절연 층 (2502) 에 의해 덮여 실리콘 기판을 금속-충전된 비아로부터 절연시킨다. 예의 유전성 절연 층 재료들은, 실리콘 산화물, 실리콘 질화물, 로우-k (low-k) 유전체 재료를 포함하지만 그것들로 제한되지는 않는다. 일부 예들에서, 위에서 설명된 예의 식각 프로세스들은 적합한 스퍼터 기체, 이를테면 아르곤을 이용한 요형 부분의 물리적 스퍼터링으로 보완될 수도 있다.
CFD 막들에 대한 다른 예의 애플리케이션들은 백앤드오브라인 (back-end-of line) 상호접속 절연 애플리케이션들을 위한 등각 로우-k 막들 (예컨대, 일부 비제한적 예들에서 k는 대략 3.0 이하), 식각 저지 및 스페이서 층 애플리케이션들을 위한 등각 실리콘 질화 막들, 등각 반사방지 층들, 및 구리 접착 및 장벽 층들을 포함하지만 그것들로 제한되지는 않는다. BEOL 프로세싱을 위한 로우-k 유전체들의 많은 상이한 조성들은 CFD를 이용하여 제조될 수 있다. 예들은 실리콘 산화물들, 산소 도핑된 탄화물들, 탄소 도핑된 산화물들, 옥시질화물들 등을 포함한다.
다른 예에서, 하나의 통합된 프로세스 시나리오에서, 실리콘 이산화물 스페이서 층이 포토레지스트 "코어" 위에 성막될 수도 있다. 대안적 코어 재료 (이를테면 탄화 규소 층) 대신 포토레지스트 코어의 사용은 통합된 프로세스에서 패터닝 단계를 제거할 수도 있다. 프로세스는 정상적인 리소그래픽 기법들을 이용하여 포토레지스트를 패터닝하는 것 및 그 후의 그 코어 위에 직접 CFD 산화물의 박층을 성막하는 것을 수반할 수도 있다. 그 다음에 방향성 건식 식각 프로세스는 패터닝된 포토레지스트의 상부에서 그리고 패터닝된 포토레지스트의 측벽을 따라서만 재료를 남기는 하부에서 CFD 산화막을 제거하는데 이용될 수도 있다 (트렌치들을 고려한다). 이 스테이지에서, 간단한 애싱이 CFD 산화물을 남기고 노출된 코어를 제거하는데 이용될 수도 있다. 일단 단일 포토레지스트 라인이 존재했던 경우, 이제 2개의 CFD-산화물 라인들이 존재한다. 이 방식으로 프로세스는 패턴 밀도를 2배로 하며; 그래서 때때로 "더블 패터닝"이라고 지칭된다. 불행하게도, 포토레지스트 코어의 사용은 스페이서 층 성막 온도를 섭씨 70 도 미만으로 제한하며, 이는 기존의 CVD, PECVD, 및/또는 ALD 프로세스들을 위한 성막 온도들보다 더 작을 수도 있다. 따라서, 일부 예들에서, 저온 CFD 실리콘 이산화물 막이 섭씨 70 도 미만의 온도들에서 성막될 수도 있다. 다른 잠재적인 통합된 프로세스 애플리케이션들은 본 개시물의 범위 내에서 적절한 CFD-생성된 막들을 위해 존재한다는 것이 이해될 것이다. 덧붙여, 다양한 예들에서, 위에서 처럼 성막된 실리콘 질화물과 같은 질화물은 반도체 디바이스 제조의 다양한 스테이지들에서 등각 확산 장벽 층 및/또는 식각 스톱 (etch stop) 으로서 채용될 수도 있다.
위에서 설명된 여러 가지 CFD 성막 프로세스들이 단일 막 유형들을 성막, 처리 및/또는 식각하는 것을 지향하고 있지만, 본 개시물의 범위 내의 일부 CFD 프로세스들이 복수의 막 유형들의 인시츄 성막을 포함할 수도 있다는 것이 이해될 것이다. 예를 들어, 막 유형들의 교번하는 층들은 인시츄로 성막될 수도 있다. 제 1 시나리오에서, 게이트 디바이스를 위한 더블 스페이서는 실리콘 질화물/실리콘 산화물 스페이서 스택의 인시츄 성막에 의해 제작될 수도 있다. 이는 사이클 시간을 감소시키고 프로세스 스테이션 스루풋을 증가시킬 수도 있고, 잠재적인 박층 비양립성 (incompatibility) 에 의해 형성된 층간 결함들을 피할 수도 있다. 제 2 시나리오에서, 리소그래픽 패터닝 애플리케이션들을 위한 반사방지 층은 조정가능한 광학적 성질들로 SiON 또는 비결정질 실리콘 및 SiOC의 적층물로서 성막될 수도 있다.
임의의 적합한 프로세스 스테이션에는 위에서 설명된 예들 중 하나 이상으로 채용될 수도 있다는 것이 이해될 것이다. 예를 들어, 도 13은 CFD 프로세스 스테이션 (1300) 의 일 예를 개략적으로 도시한다. 단순화를 위해, CFD 프로세스 스테이션 (1300) 은 저압 환경을 유지하기 위한 공정 챔버 본체 (1302) 를 갖는 자립형 (standalone) 프로세스 스테이션으로서 도시된다. 그러나, 복수의 CFD 프로세스 스테이션들 (1300) 이 공통 저압 프로세스 도구 환경에 포함될 수도 있다는 것이 이해될 것이다. 도 13에서 도시된 예가 하나의 프로세스 스테이션을 보여주지만, 일부 예들에서, 복수의 프로세스 스테이션들은 처리 도구 내에 포함될 수도 있다는 것이 이해될 것이다. 예를 들어, 도 24는 멀티-스테이션 프로세싱 도구 (2400) 의 일 예를 도시한다. 게다가, 일부 예들에서, 아래에서 상세히 논의될 것들을 포함한, CFD 프로세스 스테이션 (1300) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조절될 수도 있다는 것이 이해될 것이다.
CFD 프로세스 스테이션 (1300) 은 프로세스 기체들을 분배 샤워헤드 (1306) 에 전달하기 위해 반응물 전달 시스템 (1301) 과 유체적으로 연통한다. 반응물 전달 시스템 (1301) 은 샤워헤드 (1306) 에의 전달을 위해 프로세스 기체들을 블렌딩하고 조절 (conditioning) 하기 위한 혼합 베셀 (1304) 을 구비한다. 하나 이상의 혼합 베셀 입구 밸브들 (1320) 는 혼합 베셀 (1304) 에 대한 프로세스 기체들의 도입을 제어할 수도 있다.
일부 반응물들은, BTBAS처럼, 프로세스 스테이션에서의 기화 및 후속하는 프로세스 스테이션에의 전달 전에 액체 형태로 저장될 수도 있다. 예를 들어, 도 13의 예는 혼합 베셀 (1304) 에 공급될 액체 반응물을 기화하기 위한 기화 포인트 (1303) 를 포함한다. 일부 예들에서, 기화 포인트 (1303) 는 가열형 기화기일 수도 있다. 이러한 기화기들로부터 생성된 포화된 반응물 증기는 하류 전달 파이핑에서 응축될 수도 있다. 응축된 반응물에의 비화합성 기체들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이핑을 막으며, 밸브 동작을 방해하며, 기판들을 오염시키는 등을 할 수도 있다. 이들 문제들을 다루는 일부 접근법들은 잔류 반응물을 제거하기 위해 전달 파이핑을 스위프시키는 것 및/또는 진공화하는 것을 수반한다. 그러나, 전달 파이핑을 스위프시키는 것은 프로세스 스테이션 사이클 시간을 증가시켜, 프로세스 스테이션 스루풋을 떨어뜨릴 수도 있다. 따라서, 일부 예들에서, 기화 포인트 (1303) 의 전달 파이핑 다운스트림은 보온 (heat trace) 될 수도 있다. 일부 예들에서, 혼합 베셀 (1304) 은 또한 보온될 수도 있다. 하나의 비제한적 예에서, 기화 포인트 (1303) 의 파이핑 하류는 혼합 베셀 (1304) 에서 대략 섭씨 100 도부터 대략 섭씨 150 도까지 연장하는 증가하는 온도 프로파일을 가진다.
일부 예들에서, 반응물 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응물의 펄스들을 혼합 베셀의 캐리어 기체 스트림 업스트림에 주입할 수도 있다. 하나의 시나리오에서, 액체 주입기는 높은 압력에서부터 낮은 압력으로 액체를 플래싱 (flashing) 함으로써 반응물을 기화시킬 수도 있다. 다른 시나리오에서, 액체 주입기는 액체를 분산된 미세액적들 (dispersed microdroplets) 로 분무 (atomize) 하며 미세액적들은 후속하여 가열된 전달 파이에서 기화될 수도 있다. 더 작은 액적들이 더 큰 액적들보다 더 빨리 기화될 수도 있어, 액체 주입 및 완전한 기화 사이의 지연을 감소시킨다는 것이 이해될 것이다. 더 빠른 기화는 기화 포인트 (1303) 로부터 파이핑 다운스트림의 길이를 감소시킬 수도 있다. 하나의 시나리오에서, 액체 주입기는 혼합 베셀 (1304) 에 직접 장착될 수도 있다. 다른 시나리오에서, 액체 주입기는 샤워헤드 (1306) 에 직접 장착될 수도 있다.
일부 예들에서, 액체 주입기를 통한 흐름은 액추에이터, 이를테면 제어된 플런저, 핀틀 밸브, 피에조 밸브 등에 의해 제어될 수도 있다. 덧붙여, 일부 예들에서, 액체 주입기는 액추에이터와 액체 주입기의 출구 개구부 사이에서 체적이 거의 또는 전혀 없을 수도 있다. 예를 들어, 액추에이터는 액체 주입기의 출구 개구부를 직접 밀봉 (seal) 할 수도 있다. 이는 액추에이터의 모세관 현상 또는 다른 힘 다운스트림에 의해 보유된 액체의 양을 줄일 수도 있다. 이러한 액체는 주입이 중지된 후에 계속 기화되어, 액체 반응물 펄스가 급속히 종료되는 대신 서서히 가늘어 (taper off) 지게 하며, 잠재적으로는 비양립성 프로세스 기체들과 상호작용시 작은 입자들을 야기하거나 및/또는 액체 반응물의 제어되지 않는 노출을 야기할 수 있다.
일부 예들에서, 액체 주입기는 주입 프로파일을 생성하도록 제어될 수도 있다. 예를 들어, 액체 주입기는 노출 시간을 연장하며, 포화 피복성을 향상시키는 등을 위해 단일의 큰 노출보다는 복수의 작은 노출들을 도입하도록 지시될 수도 있다. 게다가, 구성의 더 작은 노출들 중 하나 이상이 이들 문제 중 하나 이상을 추가로 다루기 위해 조정될 수도 있다.
임의의 적합한 액체 주입기는 본 개시물의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다. 예를 들어, 제 1 시나리오에서, 핀틀 (pintle) 밸브를 구비한 자동 연료 주입기는 액체 주입기로서 이용될 수도 있다. 자동 연료 주입기에 의해 제공된 예의 액적들은, 5 미크론부터 40 미크론까지의 사이즈로 된 액적들일 수도 있지만 그것들로 제한되지는 않는다. 예의 주입 펄스 폭들은 1 ms부터 50 ms까지의 폭들을 포함할 수도 있지만 그것들로 제한되지는 않는다.
제 2 시나리오에서, 압전 펌프들을 구비한 하나 이상의 마이크로-전기기계식 시스템들 (MEMS) 주입기들은 액체 주입기들로서 사용될 수도 있다. 예를 들어, 복수의 MEMS 주입기들이 하나 이상의 샤워헤드들에 스택으로 배열되어, 복수의 액체 반응물들을 위한 액체 주입 능력을 제공할 수도 있다. 예의 MEMS 주입기 액적들은 10 미크론부터 30 미크론까지의 사이즈의 액적들을 포함할 수도 있지만 그것들로 제한되지는 않는다. 예의 MEMS 주입 펄스 폭들은 1 ms부터 500 ms까지의 폭들을 포함할 수도 있지만 그것들로 제한되지는 않는다.
제 3 시나리오에서, 홀 효과 (Hall-effect) 주입기들이 액체 주입기로서 사용될 수도 있다. 이는 RF 간섭에 저항성인 액체 주입 제어를 제공할 수도 있다. 예의 홀 효과 주입기 액적들은 5 미크론부터 50 미크론까지의 사이즈의 액적들을 포함할 수도 있지만 그것들로 제한되지는 않는다. 예의 홀 효과 주입 펄스 폭들은 1 ms부터 500 ms까지의 폭들을 포함할 수도 있지만 그것들로 제한되지는 않는다. 따라서, 임의의 적합한 액체 주입기는, 배출기 튜브들, 벤투리 관 (venture tube) 등을 포함한, 일부 예들에서의 기화 포인트에 포함될 수도 있다는 것이 이해될 것이다. 대안으로, 일부 예들에서 액체 주입기는 생략될 수도 있다.
주입기의 액체 압력 업스트립은 임의의 적합한 전달 압력으로 설정되어 분무 (atomization) 및/또는 액체의 플래싱을 야기할 수도 있다. 일부 예들에서, 업스트림 압력이 액체 저장 용기를 가압하기 위한 푸시 기체에 의해 제공될 수도 있다. 액체 주입기에 걸친 예의 압력 차이 (pressure differential) 들은, 대략 0.3 bar 내지 5 bar의 압력 차이들을 포함할 수도 있지만 그것들로 제한되지는 않는다.
일부 예들에서, 기화 포인트 (1303) 의 액체 흐름 제어기 업스트림은 기화 및 프로세스 스테이션 (1300) 에의 전달을 위한 액체의 질량 흐름 (mass flow) 을 제어하기 위해 제공될 수도 있다. 예를 들어, 액체 흐름 제어기 (LFC) 는 LFC의 하류에 위치된 열 질량 유량계 (mass flow meter; MFM) 를 포함할 수도 있다. 그 다음에 LFC의 플런저 밸브는 MFM와 전기 통신하는 비례-적분-미분 (proportional-integral-derivative; PID) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조절될 수도 있다. 그러나, 피드백 제어를 이용하여 액체 흐름을 안정화하기 위해 1초 이상이 소요될 수도 있다. 이는 액체 반응물을 도우징하는 시간을 연장시킬 수도 있다. 따라서, 일부 예들에서, LFC는 피드백 제어 모드 및 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 예들에서, LFC는 LFC의 감지 튜브 및 PID 제어기를 디스에이블시킴으로써 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
직접 제어 모드에서, LFC의 플런저 밸브는 PID 제어기에 의해 제공된 제어 신호와는 독립적으로, 공급된 제어 전압에 비례하여 조절될 수도 있다. 다르게 말하면, 직접 제어 모드에서의 플런저 밸브의 조절은 LFC가 액체 흐름을 조정하기 위한 제어되는 오리피스로서 기능을 하게 한다. 일부 예들에서, LFC는 전압 및 플런저 밸브 개방 양 사이의 비례 관계를 정의하는 하나 이상의 자동교정 (autocalibration) 모드들을 포함할 수도 있다.
일부 예들에서, 플런저 밸브는 혼합 베셀 입구 밸브 (1320A) 를 개방하기 전에 사전설정 포지션으로 개방될 수도 있다. 혼합 베셀 액체 입구 밸브 (1320A) 의 개방시에, 기화된 액체 반응물은 즉시 혼합 베셀 (1304) 속으로 흐를 수도 있다. 소정의 시간 후, LFC를 위한 제어 전압은 플런저 밸브를 닫도록 설정되어, LFC를 통한 액체 흐름이 중단되도록 할 수도 있다. 일부 예들에서, 혼합 베셀 입구 밸브 (1320A) 는 플런저 밸브의 폐쇄와 동시에 닫힐 수도 있으며, 이는 기화된 액체 반응물의 대략 방형파 (square-wave) 노출을 제공할 수도 있다.
일부 예들에서, 혼합 베셀 출구 밸브 (1305) 는 샤워헤드 (1306) 로부터 혼합 베셀 (1304) 을 분리시키기 위해 혼합 베셀 (1304) 및 분배 샤워헤드 (1306) 사이에 위치될 수도 있다. 도 14는 4 개의 프로세스 스테이션 샤워헤드들 (미도시) 을 지지하기 위한 혼합 베셀 (1404) 및 4 개의 혼합 베셀 출구 밸브들 (1405) 의 일 예의 사시도를 도시한다. 도 15는 도 14에 도시된 혼합 베셀의 예의 일 부분의 측면도를 도시한다. 도 14 및 도 15가 프로세스 기체들을 4 개의 프로세스 스테이션들에 공급하도록 구성된 혼합 베셀의 일 예를 도시하지만, 임의의 적합한 수의 프로세스 스테이션들에는 임의의 적합한 수의 혼합 베셀 출구 밸브들을 이용하여 임의의 적합한 수의 혼합 베셀들로부터 프로세스 기체들이 공급될 수도 있다는 것이 이해될 것이다. 격리 (isolating) 혼합 베셀 (1304) 은 샤워헤드 (1306) 에의 전달 전에 다양한 기체들을 수집, 컨디셔닝, 및/또는 블렌딩하기 위한 알려진 체적의 제한된 공간을 제공할 수도 있다. 혼합 베셀 (1304) 의 압력 및/또는 온도를 모니터하는 것은 여러 가지 프로세스 기체들의 샤워헤드 (1306) 로의 질량 전달을 계산 및/또는 제어할 기초를 제공할 수도 있다. 하나의 비제한적 예에서, 반응물 증기 노출의 몰들의 수는 이상 기체 방정식을 이용하여 등온 혼합 베셀로부터 취해진 압력 측정으로부터 계산될 수도 있지만, 임의의 적합한 상태 방정식 (equation of state) 이 다른 시나리오들에서 이용될 수도 있다는 것이 이해될 것이다. 예를 들어, 하나의 구체적인 예에서, 대략 1 리터 혼합 베셀에서의 대략 400 Torr의 압력은 하나 이상의 프로세스 스테이션들 상에 분포된 50 리터 볼륨에 프로세스 기체의 40 노출 당량 (exposure equivalent) 까지 제공할 수도 있다.
일부 예들에서, 반응물의 소정의 노출은 나중의 샤워헤드 (1306) 에의 전달을 위해 혼합 베셀 충전 페이즈 동안에 저장될 수도 있다. 예를 들어, 도 16는 혼합 베셀 타이밍 도 (1600) 의 일 예를 도시한다. 도 16의 예에서 도시된 바와 같이, 혼합 베셀 (1604) 은 충전 페이즈 (1606) 동안에 반응물 기체 증기로 충전되며, 이는 관련 프로세스 스테이션에서의 CFD 프로세스의 스위프 및 플라즈마 활성화 페이즈들과 동시적이다. 혼합 베셀 압력은 충전 페이즈 (1606) 동안에 모니터된다. 반응물 기체의 소정의 노출에 대응하는 혼합 베셀 압력 설정 포인트에 도달 시, 반응물 기체 흐름들은 턴 오프된다. 그 다음에 반응물 기체는 연관된 CFD 프로세스의 스위프 및 플라즈마 활성화 페이즈들의 종료와 일치하는 저장 페이즈 (1608) 의 종료시까지 혼합 베셀 (1604) 에 저장된다.
혼합 베셀 출구 밸브 (1605) 는 노출 페이즈 (1610) 의 시작시에 열리어, 반응물 기체를 연관된 프로세스 스테이션에 공급한다. 노출 페이즈 (1610) 의 종료시, 혼합 베셀 출구 밸브 (1605) 는 닫히어, 프로세스 스테이션에의 반응물 흐름을 중지시킨다. 하나의 시나리오에서, 혼합 베셀 (1604) 은 노출 페이즈 (1610) 동안에 반응물 기체를 완전히 비울 수도 있다. 다른 시나리오에서, 노출 페이즈 (1610) 는 혼합 베셀 (1604) 이 완전히 비워지기 전에 종료될 수도 있으며; 혼합 베셀 (1604) 에서의 잔류 반응물 기체는 프로세스 스테이션 바이패스 라인 (미도시) 을 통해 제거될 수도 있거나 또는 프로세스 스테이션에의 나중의 전달을 위해 혼합 베셀 (1604) 에 저장될 수도 있다.
일부 반응물 기체들에 대해, 혼합 베셀 출구 밸브 (1305) 과 샤워헤드 (1306) 사이의 전달 파이프의 길이에 연관된 이동 지연 시간이 있을 수도 있다. 게다가, 유사한 지연 시간이 샤워헤드 (1306) 의 전달 파이프 업스트림들로부터 프로세스 기체들을 제거하는 것과 연관될 수도 있다. 예를 들어, 도 17은 예의 반응물 BTBAS 및 예의 스위프 기체 아르곤에 대한 예의 이동 지연 시간들을 도시한다. 혼합 베셀 출구 밸브 (1305) 을 샤워헤드 (1306) 에 더 가까이 위치시키는 것은 혼합 베셀 출구 밸브 (1305) 가 샤워헤드 (1306) 로부터 멀리 위치되는 경우에 생성된 것들보다 더 구별되는 반응물 노출 및 스위프 펄스들을 생성할 수도 있다. 이는 CFD 프로세스의 반응물 및/또는 스위프 페이즈를 완전하게 하는데 필요한 시간을 감소시킬 수도 있다. 따라서, 하나의 비제한적 예에서, 혼합 베셀 출구 밸브 (1305) 는 바로 샤워헤드 (1306) 의 업스트림에 위치될 수도 있다.
샤워헤드 (1306) 는 프로세스 기체들을 기판 (1312) 쪽으로 분배한다. 도 13에 보인 예에서, 기판 (1312) 은 샤워헤드 (1306) 아래에 위치되고, 페데스탈 (1308) 상에 얹혀 있는 것으로 보여진다. 샤워헤드 (1306) 는 임의의 적합한 형상을 가질 수도 있고, 프로세스들 기체들을 기판 (1312) 에 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 예들에서, 마이크로볼륨 (1307) 이 샤워헤드 (1306) 아래에 위치된다. 프로세스 스테이션의 전체 볼륨 대신에 마이크로볼륨에서 CFD 프로세스를 수행하는 것은 반응물 노출 및 스위프 시간들을 줄일 수도 있으며, CFD 프로세스 조건들 (예컨대, 압력, 온도 등) 을 변경하는 시간을 줄일 수도 있으며, 프로세스 스테이션 로보틱스의 프로세스 기체들에의 노출을 제한할 수도 있는 등이다. 도 21은 상이한 마이크로볼륨 압력 조건들에서 마이크로볼륨을 위한 스위프 시간 및 마이크로볼륨의 스위프 유량 사이의 상관들의 예들을 도시한다. 예의 마이크로볼륨 사이즈들은 0.1 리터와 2 리터 사이의 체적들을 포함하지만 그것들로 제한되지는 않는다.
일부 예들에서, 페데스탈 (1308) 은 기판 (1312) 을 마이크로볼륨 (1307) 에 노출시키기 위해 그리고/또는 마이크로볼륨 (1307) 의 볼륨을 가변시키기 위해 상승 또는 하강될 수도 있다. 예를 들어, 기판 전송 페이즈에서, 페데스탈 (1308) 은 기판 (1312) 이 페데스탈 (1308) 상에 적재되는 것을 허용하기 위해 하강될 수도 있다. CFD 프로세스 페이즈 동안, 페데스탈 (1308) 은 마이크로볼륨 (1307) 내에 기판 (1312) 을 배치하기 위해 상승될 수도 있다. 일부 예들에서, 마이크로 볼륨 (1307) 은 기판 (1312) 과 페데스탈 (1308) 의 일 부분을 완전히 감싸 (enclose) CFD 프로세스 동안에 높은 흐름 임피던스의 지역을 형성할 수도 있다.
옵션으로, 페데스탈 (1308) 은 마이크로 볼륨 (1307) 내에서 프로세스 압력, 반응물 농도 등을 조정하기 위해 CFD 프로세스 부분들 동안 하강되고 및/또는 상승될 수도 있다. 공정 챔버 본체 (1302) 가 CFD 프로세스 동안 기본 압력으로 남아있는 하나의 시나리오에서, 페데스탈 (1308) 을 하강시키는 것은 마이크로볼륨 (1307) 이 진공화되는 것을 허용할 수도 있다. 마이크로 볼륨 대 공정 챔버 볼륨의 예의 비들은 1:500 과 1:10 사이의 볼륨 비들을 포함하지만 그것들로 제한되지는 않는다. 일부 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조절될 수도 있다는 것이 이해될 것이다.
다른 시나리오에서, 페데스탈 (1308) 의 높이를 조절하는 것은 플라즈마 밀도가 CFD 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안에 가변되는 것을 허용할 수도 있다. CFD 프로세스 페이즈의 끝에서, 페데스탈 (1308) 은 페데스탈 (1308) 로부터의 기판 (1312) 의 제거를 허용하기 위해 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본원에서 설명되는 일 예의 마이크로볼륨 변화들은 높이-조절가능 페데스탈이라 말할 수도 있지만, 일부 예들에서, 샤워헤드 (1306) 의 포지션은 마이크로볼륨 (1307) 의 체적을 가변하기 위해 페데스탈 (1308) 에 대하여 조절될 수도 있다는 것이 이해될 것이다. 게다가, 페데스탈 (1308) 및/또는 샤워헤드 (1306) 의 수직 포지션은 본 개시물의 범위 내에서 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 이해될 것이다. 일부 예들에서, 페데스탈 (1308) 은 기판 (1312) 의 배향을 회전시키기 위한 회전 축을 구비할 수도 있다. 일부 예들에서, 이들 예의 조절들의 하나 이상은 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
하나의 예에서, 마이크로볼륨 (1307) 은 샤워헤드 (1306) 에 의한 주위 (perimeter) 를 따라 그리고 상부에서 부분적으로 감싸진다. 예를 들어, 도 18은 프로세스 스테이션의 마이크로볼륨을 위한 스커트 (1809) 의 일 예를 개략적으로 도시한다. 도 18의 예에서 예시된 바와 같이, 페데스탈 (1808) 은 제 1 페데스탈 포지션 (1808A) 에서 상승되어, 페데스탈 (1808) 의 일 부분 및 전체 기판 지지 표면 (1810) 을 스커트 (1809) 의 원주 공간 내에 배치시킨다. 페데스탈 (1808) 은 또한, 무엇보다도, 하나 이상의 기판 핸들링 로봇들 (미도시) 에 의한 기판 이송을 용이하게 하기 위해 제 2 페데스탈 포지션 (1808B) 으로 하강될 수도 있다. 일부 예들에서, 스커트 (1809) 는 페데스탈 이동 동안에 작은 입자들을 발생시키는 것을 피하기 위해 페데스탈 (1808) 및/또는 기판과의 밀봉을 형성하지 않을 수도 있다.
도 18의 예에서, 스커트 (1809) 및 샤워헤드 (1806) 는 별개의 엘리먼트들로서 도시된다. 하나의 비제한적 예에서, 스커트 (1809) 는 프로세스 스테이션의 다른 부분들로부터 샤워헤드 (1806) 를 전기적으로 절연하는 비도전성 재료, 이를테면 세라믹으로 만들어질 수도 있다. 그러나, 일부 예들에서, 스커트 및 샤워헤드는 단일 엘리먼트일 수도 있다는 것이 이해될 것이다. 일부 예들에서, 하나 이상의 개구부들이 마이크로볼륨을 다르게 펌핑하기 위해 스커트 (1809) 의 측벽 내에 포함될 수도 있고, 이러한 개구부들의 하나 이상이 동적으로 제어될 수도 있다는 것이 또한 이해될 것이다.
부가적으로 또는 대안으로, 일부 예들에서, 복수의 마이크로볼륨들은 스커트 내에 형성될 수도 있다. 예를 들어, 도 19는 기판 (1912) 위쪽의 제 1 마이크로 볼륨 Z-I 및 페데스탈 (1908) 의 일 부분 주변을 둘러싸는 제 2 마이크로볼륨 Z-II를 포함하는 스커트 (1909) 의 일 예를 도시한다. 일부 예들에서, 마이크로볼륨 Z-I에서의 흐름은 불균일할 수도 있다. 부가적으로 또는 대안으로, 일부 예들에서, 마이크로볼륨 Z-II에서의 압력은 불균일할 수도 있다. 마이크로볼륨 Z-I의 예의 체적들은 약 0.1 L부터 2 L까지의 범위의 체적들을 포함하지만 그것들로 제한되지는 않는다. 마이크로 볼륨 Z-II의 예의 체적들은 약 10 L부터 50 L까지의 범위의 체적들을 포함하지만 그것들로 제한되지는 않는다.
일부 예들에서, 마이크로볼륨들 Z-I 과 Z-II 사이의 압력 그라디언트는 Z-II에서의 압력이 Z-I에서의 압력을 초과하도록 형성될 수도 있다. 이러한 압력 그라디언트는 마이크로볼륨 Z-I로부터의 프로세스 기체들의 탈루성 방출들 (fugitive emissions) 을 방지하여, 프로세스 반응물들을 보존하며, 프로세스 스테이션에서의 입자-발생 프로세스들을 피할 수도 있는 등이다. 일부 예들에서, 마이크로볼륨 Z-II는 독립적인 스위프 기체 공급부를 가질 수도 있다. Z-II에 대한 스위프 기체들의 비제한적 예들은 질소, 아르곤, 헬륨 등을 포함한다.
도 19의 일 예가 스커트 내에 형성된 복수의 마이크로볼륨들을 도시하지만, 일부 예들에서, 제 1 마이크로볼륨의 일 부분이 스커트의 일 부분에 의해 제 2 마이크로 볼륨의 일 부분으로부터 분리될 수도 있다는 것이 이해될 것이다. 예를 들어, 도 20은 샤워헤드 (2002) 의 일 예의 사시도를 도시한다. 도 20의 예에서 도시된 바와 같이, 제 1 마이크로볼륨 Z-III은 스커트 (2004) 에 의해 부분적으로 제 2 마이크로볼륨 Z-IV으로부터 원주상으로 분리된다. 마이크로볼륨 Z-IV에 대한 스위프 기체는 플레이트 (2006) 를 통해 제공된다. 따라서, 프로세스 스테이션 내의 복수의 마이크로볼륨들의 임의의 적합한 배열은 본 개시물의 범위 내에서 채용될 수도 있다는 것이 이해될 것이다.
도 13에 보인 예로 돌아가서, 샤워헤드 (1306) 및 페데스탈 (1308) 는 플라즈마에 전력을 공급하기 위해 RF 전원 공급부 (1314) 및 정합 네트워크 (1316) 와 전기적으로 연통한다. 일부 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 기체 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중의 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (1314) 와 정합 네트워크 (1316) 는 라디칼 종의 소망의 조성을 갖는 플라즈마를 형성하기 위해 임의의 적합한 전력으로 동작될 수도 있다. 적합한 전력들의 예들은, 300 mm 웨이퍼에 대해 100 W 와 5000 W 사이의 전력을 포함하지만 그것들로 제한되지는 않는다. 비슷하게, RF 전원 공급부 (1314) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 예들에서, RF 전원 공급부 (1314) 는 고 및 저 주파 RF 전력 소스들을 서로 독립적으로 제어하도록 구성될 수도 있다. 예의 저주파 RF 주파수들은 50 kHz 와 500 kHz 사이의 주파수들을 포함할 수도 있지만 그것들로 제한되지는 않는다. 예의 고주파 RF 주파수들은 1.8 MHz 와 2.45 GHz 사이의 주파수들을 포함할 수도 있지만 그것들로 제한되지는 않는다. 임의의 적합한 파라미터들은 표면 반응들에 플라즈마 에너지를 제공하기 위해 분리하여 또는 연속적으로 조정될 수도 있다는 것이 이해될 것이다. 하나의 비제한적 예에서, 플라즈마 전력은 단속적으로 펄싱되어, 연속적으로 전력공급된 플라즈마들에 비하여 기판 표면에 대한 이온 폭격을 감소시킬 수도 있다.
일부 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인시츄 모니터링될 수도 있다. 하나의 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예컨대, VI 프로브들) 에 의해 모니터링될 수도 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 기체 농도는 하나 이상의 광학 방출 분광분석 센서들 (optical emission spectroscopy sensors; OES) 에 의해 측정될 수도 있다. 일부 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인시츄 플라즈마 모니터들로부터의 측정들에 기초하여 프로그램적으로 조절될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프에서 사용될 수도 있다. 일부 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하기 위해 사용될 수도 있다는 것이 이해될 것이다. 이러한 모니터들은, 적외선 (IR) 모니터들, 음향 모니터들, 및 압력 트랜스듀서들을 포함할 수도 있지만 그것들로 제한되지는 않는다.
일부 예들에서, 플라즈마는 입력/출력 제어 (IOC) 시퀀싱 명령들을 통해 제어될 수도 있다. 본원에서 설명되는 CFD 프로세스들은, CFD 사이클들 동안에, 무엇보다도, 밸브들, 플라즈마 점화, 질량 유량 제어기들 (MFC들) 의 정확한 타이밍을 채용할 수도 있다. 이를 가능하게 하는 하나의 방법으로, 밸브 및 다른 커맨드들은 디지털 입력-출력 제어기들 (IOC) 에, 때때로 시간 임계 (time-critical) 커맨드들을 위한 명령들을 포함하는 정보의 이산 패킷들로 전달된다. 이들 커맨드들은 CFD 사이클의 시퀀스의 전부 또는 부분의 동작을 지시할 수도 있다. IOC는 패킷화된 시퀀스에서 정보를 해석하고 디지털 또는 아날로그 커맨드 신호들을 직접 적절한 하드웨어 컴포넌트에 전달한다. 이 구현예는 밸브, MFC, 플라즈마 소스 등의 커맨드 실행에서의 지연들을 감소시킨다.
IOC들은 장치 내의 다양한 포인트들에; 예컨대, 프로세스 모듈 내에 또는 프로세스 모듈로부터 얼마간 떨어진 거리에 세워진 자립형 (stand-alone) 전력 래크 (rack) 상에 물리적으로 위치될 수 있다. 다수의 IOC들이 각각의 모듈에 (예컨대, 모듈 당 3개) 존재할 수도 있다. 시퀀스에 포함된 실제 명령들에 대하여, 밸브들, 플라즈마 소스들 등을 제어하기 위한 모든 커맨드들은 단일 IOC 시퀀스 내에 포함될 수도 있다. 이는 모든 디바이스들의 타이밍이 절대적 관점에서 그리고 또한 서로에 대해 엄중하게 제어되는 것을 보장한다. 대안으로, 임의의 주어진 시간에 실행하는 다수의 IOC 시퀀스들이 있을 수도 있다. 이는 상이한 양태들의 CFD 사이클의 상이한 양태들이 병렬적으로 실행되는 것을 허용한다. 예를 들어, 이것은 플라즈마 점화 양태들의 사이클이 전구체 전달 양태들의 사이클과 병렬로 실행되는 것을 허용한다. 덧붙여, 이것은 다수의 동작들이 반응기에서의 다양한 스테이션들에서 실행되는 것을 허용한다. 예를 들어, 하나의 시퀀스는 스테이션들 1-2에 대해 실행되고 모든 타이밍들은 그들 스테이션들에서 산화물을 성막하는데 필요한 모든 하드웨어 컴포넌트들을 위해 제어되고, 제 2 시퀀스는 스테이션들 3-4에서 CFD 질화물을 성막하기 위해 동시에 실행될 수도 있다. IOC 아키텍처들의 예들 및 구현예들은 미국특허 제7,477,948호 (2006년 11월 6일에 출원됨), 및 제7,725,205호 (2006년 9월 21일에 출원됨) 에서 찾아볼 수도 있으며, 양자 모두는 전부 참조에 의해 본원에 통합된다.
하나의 예에서, 플라즈마 프로세스 페이즈에 대한 플라즈마 조건들을 설정하기 위한 명령들은 CFD 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 순차적으로 배열될 수도 있어서, CFD 프로세스 페이즈를 위한 모든 명령들은 그 프로세스 페이즈와 동시에 실행된다. 플라즈마 발생의 일부 양태들은 플라즈마 프로세스 페이즈를 연장시킬 수도 있는 잘 특성화된 일시적 및/또는 안정화 시간들을 가질 수도 있다는 것이 이해될 것이다. 다르게 말하면, 이러한 시간 지연들은 예측가능할 수도 있다. 이러한 시간 지연들은 플라즈마를 스트라이크 (strike) 하는 시간 및 표시된 전력 설정치로 플라즈마를 안정화시키는 시간을 포함할 수도 있다. 예를 들어, 도 22는 플라즈마에 대한 플라즈마 점화 커맨드 신호 (2202) 과 순방향 전력 응답 (2206) 사이의 일 예의 일시적 지연 (2204) 을 도시한다. 따라서, 일부 예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 명령들은 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 및/또는 반응물 기체의 유량을 설정하기 위한 명령들, 플라즈마 발생기를 전력 설정 포인트로 설정하기 위한 명령들, 및 제 1 레시피 페이즈에 대한 시간 지연 명령들을 포함할 수도 있다. 제 2의, 후속 레시피 페이즈는 플라즈마 발생기를 인에이블하기 위한 명령들 및 제 2 레시피 페이즈를 위한 시간 지연 명령들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 발생기를 디스에이블하기 위한 명령들 및 제 3 레시피 페이즈를 위한 시간 지연 명령들을 포함할 수도 있다. 이들 레시피 페이즈들은 또한 본 개시물의 범위 내의 임의의 적합한 방식으로 세분되거나 및/또는 반복될 수도 있다는 것이 이해될 것이다.
일부 예들에서, 위에서 설명된 접근법은 플라즈마 프로세스 페이즈가 시작하기 전에 RF 발생기 제어기로 하여금 표시된 전력 설정치로 안정화되는 것을 허용할 수도 있다. 일부 예들에서, 플라즈마를 활성화시키고 안정화시키는 시간은 대략 200 ms부터 대략 20 ms로 감소될 수도 있다. 예를 들어, 도 23은 본 개시물의 일 예에 따른, 일 예의 플라즈마 점화 커맨드 신호 (2302), 플라즈마 전력 설정 (setting) (2304), 및 플라즈마를 위한 순방향 전력 응답 (2306) 을 예시한다. 위에서 설명된 구체적인 예가 플라즈마 점화에 관련되지만, 일부 예들에서, 하나 이상의 다른 시간 지연들은 예측가능하여, 다양한 제어 스킴 (scheme) 들 및/또는 프로세스 레시피들이 시간 지연을 보상하기 위해 적응될 수도 있다는 것이 이해될 것이다. 예의 시간 지연들은, 액체 또는 기체 유량을 안정화하는 것에 연관된 시간 지연들, 기판 및/또는 프로세스 스테이션 온도를 안정화하는 것에 연관된 시간 지연들, 및 공정 챔버 및/또는 혼합 베셀 압력을 안정화하는 것에 연관된 시간 지연들을 포함하지만 그것들로 제한되지는 않는다.
보통, IOC는 이벤트들의 시퀀스를 구현한 다음 추가의 명령들을 기다린다. 위에서 설명된 특정 양태들에서, IOC는 명령들의 시퀀스를 통해 반복적으로 루프 (loop) 할 수 있도록 프로그램된다. 하나의 예에서, 명령들의 시퀀스는 CFD 프로세스의 단일 사이클에 연관된 동작들의 세트을 정의한다. 이는 CFD 사이클의 정의된 페이즈에 대해 반응 챔버에 플라즈마를 제공하는 커맨드 시퀀스를 인가하는 것을 수반한다. 이벤트들의 관련 IOC 시퀀스는 플라즈마가 활성화되지 않는 특정 지연 기간, 그 다음의 인가된 RF 전력의 특정 레벨까지의 매우 급격한 램프 업 (ramp up), 다음으로 그 레벨을 특정 기간 동안 인가된 전력으로 유지하는 것, 그 후에 정의된 기간 동안 또, 전력을 다시 0 또는 어떤 다른 미리정의된 레벨로 하락시키는 것을 수반할 수도 있다. 이것과 같은 이벤트들의 시퀀스가 IOC 속에 프로그래밍되는 경우, 그것은 각각의 사이클에 대해 재전송될 필요는 없다. 오히려, IOC는 명령들의 시퀀스를 어떤 형태의 지속성 메모리에 유지하고, 그 명령들을 CFD 성막 공정 동안 사이클 단위로 반복적으로 재실행한다. 예를 들어, CFD의 20 개 사이클들이 막 성막 공정 동안에 수행될 수도 있다. 이벤트들의 플라즈마 제어된 시퀀스는 전달되고 IOC에 한번 설치될 것이며, 이는 다음으로 완전한 CFD 막이 성막될 때까지, 반복적으로, 이 예에서는 20개 사이클만큼 이벤트들의 시퀀스에 대해 루프한다.
이 개시물에 따라서 구현된 IOC 제어의 다른 양태는 CFD 프로세스 동안 특정 전구체 또는 다른 프로세스 기체의 흐름을 반복적으로 턴오프하고 턴온하는 능력이다. 이는 전구체 또는 다른 가치있는 재료가 보존되는 것을 허용하는데, 이는 전구체들의 연속적인 흐름 및 단속적 방향전환 (divert) 을 채용하는 기존의 성막 프로세스들에서는 항상 그렇지는 못하다. 재료를 보존하는 것 외에도, 반응물의 흐름을 중지시키고 시작하는 다른 혜택들이 있다. 예를 들어, CFD 프로세스 동안 RF 플라즈마를 스트라이크하기 전에 웨이퍼 상에 과잉 전구체를 퍼지하는 것이 자주 필요하다. 더욱이, 특정 예들은 챔버에서 만나는 것이 허용되지 않아야 하는 2개 (또는 그 보다 많은) 전구체들의 사용을 필요로 한다. 그래서 통상적인 흐름은 다음과 같이 진행될 수도 있다: (a) 전구체 A를 흐르게 하고; (b) 전구체 A를 퍼지하고; (c) 전구체 B를 흐르게 하고, (d) 전구체 B를 퍼지하고, (e) 단계들 (a)-(b) 를 필요한 대로 반복한다.
하나의 예에서, IOC는 CFD 프로세스 동안 루프하는, 사이클 단위, 방식으로 가치있는 전구체의 흐름을 반복적으로 턴오프 및 턴온하도록 프로그램될 수도 있다. 전구체의 흐름을 그의 소스로부터 "턴온 (turn on)" 함에 있어서, 명령들의 시퀀스는 전구체를 그의 소스로부터 샤워헤드로 또는 반응 챔버에의 다른 입구로 제공하는 것과 연관된 라인 충전 (charge) 지연의 이유가 될 수도 있다. 이는 전구체 전달 동작의 개시 또는 실행의 타이밍이 반응 챔버에의 전구체의 실제 요구된 전달 전의 어떤 정의된 기간에 일어나야 한다는 것을 의미한다. 따라서, 전구체의 전달에 연관된 이벤트들의 IOC 시퀀스는, 예를 들어, 다른 반응물의 퍼지 또는 반응기에서의 플라즈마의 점화를 트리거하는 것과 연관된 다른 IOC의 시퀀스의 이벤트들과 병렬로 실행될 수도 있다. 다른 예에서, 웨이퍼의 표면 상의 반응물들 사이의 반응을 추진하도록 의도된 플라즈마를 점화하기 전에 반응기에 의 특정 반응물 흐름이 종결되는 것이 바람직하다면, 플라즈마를 점화하기 위해 프로그래밍된 IOC 이벤트들은 반응 챔버에의 제 2 반응물들의 흐름의 예상되는 종결 전에 실행을 시작할 수도 있다. 더 구체적으로는, 플라즈마를 점화하기 위한 커맨드의 초기 실행은 제 2 반응물의 흐름의 예상되는 종결보다 이른 시간에 발생할 수도 있으며, 그 시간은 플라즈마 점화 커맨드의 수신 후에 반응기에 전달되는 플라즈마 전력과 연관된 지연과 동일하다.
기존의 성막 프로세스들에서, 플라즈마 스트라이크들은 지속시간이 대략 수 초 이상 지속된다. 본원에서 설명되는 다양한 구현들에서, 훨씬 더 짧은 플라즈마 스트라이크들이 CFD 사이클 동안에 인가된다. 이것들은 10 ms 내지 1 초, 통상적으로, 약 20 내지 80 ms 정도일 수도 있으며, 특정 예는 50 ms 이다. 이러한 매우 짧은 RF 플라즈마 스트라이크들은 플라즈마의 극히 신속한 안정화를 요구한다. 이를 달성하기 위해, 플라즈마 발생기는, 임피던스 정합이 특정 전압으로 사전설정되는 반면에, 주파수는 부동 (float) 하는 것이 허용되도록 구성될 수도 있다. 기존에, 고주파수 플라즈마들은 약 13.56 MHz의 RF 주파수에서 발생된다. 본원에서 설명되는 다양한 예들에서, 주파수는 이 표준 값과는 상이한 값으로 부동하는 것이 허용될 수도 있다. 주파수를 부동하게 허용하는 반면 임피던스 정합을 소정의 전압으로 고정함으로써, 플라즈마는 훨씬 더 빠르게 안정화될 수 있고, 결과는 CFD 사이클들에 연관된 매우 짧은 플라즈마 스트라이크들을 이용하는 경우에 매우 중요할 수도 있다.
CFD 사이클들에 대한 이벤트 프로그래밍의 다른 양태는 각각의 CFD 사이클을 이진 이벤트로서 처리하는 에러 복구 메커니즘을 수반한다. 다르게 말하면, 임의의 주어진 사이클은 발생되거나 또는 발생하지 않는다. 부분적으로 완료된 사이클은 그것이 발생하지 않도록 다루어진다 (그 역 또한 마찬가지이다). 하나의 예에서, 오동작이 한 사이클 동안 발생하면, 그 사이클은 발생하지 않은 것으로서 다루어진다. 따라서, 예를 들어, 주어진 CFD 막 형성 프로세스가 20개의 구별되는 CFD 사이클들을 필요로 하도록 설계되고, 이들 20개 사이클들 중 15번째에서 오동작이 발생하지만, 오동작 즉시 수리된다면, 부분적으로 완료된 15 사이클은 카운트되지 않는다. 따라서, 다른 5 개의 사이클들은 막 형성 프로세스가 완료되기까지 수행된다. 다양한 오동작들이 발생할 수 있고 당업자에게 이해될 것이다. 하나의 예로서, 전구체 또는 산화제를 반응 챔버로 전달하기 위한 질량 유량 제어기는 초당 10 L의 전달 속도로 동작하도록 설계될 수도 있지만, 오동작 동안 그것은 초당 단지 4 L로 동작한다.
일부 예들에서, 페데스탈 (1308) 은 히터 (1310) 를 통해 온도 제어될 수도 있다. 게다가, 일부 예들에서, CFD 프로세스 스테이션 (1300) 을 위한 압력 제어는 버터플라이 밸브 (butterfly valve) (1318) 에 의해 제공될 수도 있다. 도 13의 예에서 보인 바와 같이, 버터플라이 밸브 (1318) 는 다운스트림의 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀 (throttle) 한다. 그러나, 일부 예들에서, 프로세스 스테이션 (1300) 의 압력 제어는 또한 CFD 프로세스 스테이션 (1300) 에 도입된 하나 이상의 기체들의 유량을 가변시킴으로써 조절될 수도 있다.
위에서 설명된 바와 같이, 하나 이상의 프로세스 스테이션들은 멀티-스테이션 프로세싱 도구에 포함될 수도 있다. 도 24는 인바운드 로드 록 (2402) 및 아웃바운드 로드 록 (2404) 을 가지며 그것들 중 어느 하나 또는 양쪽 모두가 원격 플라즈마 소스를 포함할 수 있는 멀티-스테이션 프로세싱 도구 (2400) 의 일 예의 개략도를 도시한다. 로봇 (2406) 은, 대기 압력에서, 웨이퍼들을 포드 (2408) 를 통해 적재된 카세트로부터 대기 포트 (2410) 를 경유하여 인바운드 로드 록 (2402) 속으로 이동시키도록 구성된다. 웨이퍼는 로봇 (2406) 에 의해 인바운드 로드 록 (2402) 내의 페데스탈 (2412) 상에 배치되며, 대기 포트 (2410) 는 닫히고, 로드 록은 펌핑 다운된다. 인바운드 로드 록 (2402) 이 원격 플라즈마 소스를 포함하는 경우, 웨이퍼는 처리 챔버 (2414) 속에 도입되기 전에, 로드 록에서 원격 플라즈마 처리에 노출될 수도 있다. 게다가, 웨이퍼는 또한, 예를 들어, 습기 및 흡착된 기체들을 제거하기 위해 인바운드 로드 록 (2402) 에서도 가열될 수도 있다. 다음으로, 프로세싱 챔버 (2414) 에 대한 챔버 수송 포트 (2416) 가 열리고, 다른 로봇 (미도시) 은 반응기 속에 프로세싱을 위해 반응기에 도시된 제 1 스테이션의 페데스탈 상에 웨이퍼를 배치시킨다. 도 24에 도시된 일 예가 로드 록들을 포함하지만, 일부 예들에서, 프로세스 스테이션 속으로의 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 이해될 것이다.
도시된 프로세싱 챔버 (2414) 는, 도 24에 보인 예에서 1부터 4까지 번호매겨진 4 개의 프로세스 스테이션들을 포함한다. 각각의 스테이션은 가열되는 페데스탈 (스테이션 1에 대해 2418로 도시됨), 및 기체 라인 입구들을 가진다. 일부 예들에서, 각각의 프로세스 스테이션은 상이한 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 예들에서, 프로세스 스테이션은 CFD 및 PECVD 프로세스 모드 간에 스위칭가능할 수도 있다. 부가적으로 또는 대안으로, 일부 예들에서, 프로세싱 챔버 (2414) 는 CFD 및 PECVD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 구비할 수도 있다. 도시된 프로세싱 챔버 (2414) 가 4 개의 스테이션들을 포함하지만, 본 개시물에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 한편, 다른 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 24는 또한 프로세싱 챔버 (2414) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (2490) 의 일 예를 도시한다. 일부 예들에서, 웨이퍼 핸들링 시스템 (2490) 은 다양한 프로세스 스테이션들 사이에 및/또는 프로세스 스테이션 과 로드 록 사이에 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적 예들은 웨이퍼 캐러셀 (carousel) 들 및 웨이퍼 핸들링 로봇들을 포함한다. 도 24는 또한 프로세스 도구 (2400) 의 프로세스 조건들 및 하드웨어 상태들을 제어하기 위해 채용된 시스템 제어기 (2450) 의 일 예를 도시한다. 시스템 제어기 (2450) 는 하나 이상의 메모리 디바이스들 (2456), 하나 이상의 대용량 저장 디바이스들 (2454), 및 하나 이상의 프로세서들 (2452) 을 구비할 수도 있다. 프로세서 (2452) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속들, 스테퍼 모터 제어기 보드들 등을 포함할 수도 있다.
일부 예들에서, 시스템 제어기 (2450) 는 프로세스 도구 (2400) 의 활동들을 전부 제어한다. 시스템 제어기 (2450) 는, 대용량 저장 디바이스 (2454) 에 저장되며 메모리 디바이스 (2456) 에 로딩되고 프로세서 (2452) 상에서 실행되는 시스템 제어 소프트웨어 (2458) 를 실행한다. 시스템 제어 소프트웨어 (2458) 는 타이밍, 기체들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 포지션, 및 프로세스 도구 (2400) 에 의해 수행되는 특정 프로세스의 다른 파라미터들을 제어하기 위한 명령들을 포함할 수도 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 도구 컴포넌트 서브루틴들 또는 제어 개체들 (control objects) 이 다양한 프로세스 도구 프로세스들을 실행하는데 필요한 프로세스 도구 컴포넌트들의 동작을 제어하기 위해 기록될 수도 있다. 시스템 제어 소프트웨어 (2458) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
일부 예들에서, 시스템 제어 소프트웨어 (2458) 는 전술된 여러 가지 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 명령들을 포함할 수도 있다. 예를 들어, CFD 프로세스의 각각의 페이즈는 시스템 제어기 (2450) 에 의한 실행을 위한 하나 이상의 명령들을 포함할 수도 있다. CFD 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 명령들은 대응하는 CFD 레시피 페이즈에 포함될 수도 있다. 일부 예들에서, CFD 레시피 페이즈들은 순차적으로 배열되어, CFD 프로세스 페이즈를 위한 모든 명령들은 그 프로세스 페이즈와 동시에 실행될 수도 있다.
시스템 제어기 (2450) 에 연관된 대용량 저장 디바이스 (2454) 및/또는 메모리 디바이스 (2456) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들은 일부 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 기체 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 기판을 페데스탈 (2418) 상에 적재하기 위해 그리고 기판과 프로세스 도구 (2400) 의 다른 부분들 사이의 스페이싱을 제어하기 위해 이용되는 프로세스 도구 컴포넌트들을 위한 프로그램 코드를 포함할 수도 있다.
프로세스 기체 제어 프로그램은 기체 조성 및 유량들을 제어하기 위한 그리고 옵션으로 프로세스 스테이션에서의 압력을 안정화시키기 위하여, 성막 전에 기체를 하나 이상의 프로세스 스테이션들 속으로 흐르게 하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들어, 프로세스 스테이션의 배기 시스템에서의 스로틀 밸브, 프로세스 스테이션 속으로의 기체 흐름 등을 조정함으로써 프로세스 스테이션에서의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하는데 사용되는 가열 유닛에 대한 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안으로, 히터 제어 프로그램은 열 전달 기체 (이를테면 헬륨) 의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가되는 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
일부 예들에서, 시스템 제어기 (2450) 에 연관된 사용자 인터페이스가 있을 수도 있다. 그 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 예들에서, 시스템 제어기 (2450) 에 의해 조절되는 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적 예들은 프로세스 기체 조성 및 유량들, 온도, 압력, 플라즈마 조건들 (이를테면 RF 바이어스 전력 레벨들), 압력, 온도 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 도구 센서들로부터 시스템 제어기 (2450) 의 아날로그 및/또는 디지털 입력 접속들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 도구 (2400) 의 아날로그 및 디지털 출력 접속들 상에서 출력될 수도 있다. 모니터링될 수도 있는 프로세스 도구 센서들의 비제한적 예들은 질량 유량 제어기들, 압력 센서들 (이를테면 마노미터들), 열전쌍들 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들은 이들 센서들로부터의 데이터와 함께 프로세스 조건들을 유지하는데 이용될 수도 있다.
시스템 제어기 (2450) 는 위에서 설명된 성막 프로세스들을 구현하기 위한 프로그램 명령들을 제공할 수도 있다. 프로그램 명령들은 다양한 프로세스 파라미터들, 이를테면 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등을 제어할 수도 있다. 그 명령들은 본원에서 설명되는 다양한 예들에 따른 막 스택들의 인시츄 성막을 작동시키기 위한 파라미터들을 제어할 수도 있다.
지금까지 설명된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광기전 패널들 등의 제작 또는 제조를 위해, 리소그래픽 패터닝 도구들 또는 프로세스들과 함께, 사용될 수도 있다. 통상적으로, 반드시 그렇지는 않지만, 이러한 도구들/프로세스들은 공통 제작 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로는, 각각의 동작이 다수의 가능한 도구들로 가능하게 되는 다음 동작들의 일부 또는 전부를 포함한다: (1) 스핀-온 또는 스프레이-온 도구를 사용한, 작업편, 즉, 기판 상의 포토레지스트의 도포; (2) 핫 플레이트 또는 노 (furnace) 또는 UV 경화 도구를 이용한 포토레지스트의 경화 (curing); (3) 웨이퍼 스테퍼와 같은 도구로 포토레지스트를 가시 또는 UV 또는 x-선 광에 노출; (4) 레지스트를 선택적으로 제거하고 이에 의해 그것을 웨트 벤치 (wet bench) 와 같은 도구를 사용하여 패터닝하기 위한 레지스트의 현상; (5) 건식 또는 플라즈마-지원 에칭 도구를 이용하는 것에 의하여, 아래에 놓인 막 또는 작업편으로의 레지스트 패턴의 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트리퍼와 같은 도구를 이용한 레지스트의 제거.
본원에서 설명되는 구성들 및/또는 접근법들은 본래 예시적인 것이고, 이들 구체적인 예들 또는 예시들은 제한적인 의미로 고려되지 않는데, 수많은 변형들이 가능하기 때문이라는 것이 이해되야 한다. 본원에서 설명되는 구체적인 경로들 또는 방법들은 임의의 수의 처리 전략들 중의 하나 이상을 나타낸다. 이처럼, 예시된 다양한 행위들 (acts) 은 예시된 시퀀스로, 다른 시퀀스들로, 병렬로, 또는 생략되는 몇몇 경우들로 수행될 수도 있다. 비슷하게, 위에서 설명된 프로세스들의 순서는 변경될 수도 있다.
본 개시물에 따르면, 실리콘 카보-나이트라이드 (SiCN), 실리콘 산화물 (SiO) 및 실리콘 질화물 (SiN) 막들과 같은 막을 성막하기 위해 설명된 방법은, 디-터트-부틸 디아지도실란, 비스(에틸메틸아미도)실란, 비스(디이소프로필아미노)실란, 비스(터트-부틸히드라지도)디에틸실란, 트리스(디메틸아미도)실릴아지드, 트리스(디메틸아미도)실릴아미드, 에틸실리콘 트리아지드, 디이소프로필아미노실란, 및 헥사키스(디메틸아미도)디실라잔을 포함한 전구체 그룹으로부터 선택된 실리콘 함유 반응물을 이용할 수 있다. 화학적 구조들 및 예의 합성 프로토콜들이 아래에서 제시된다:
디-터트-부틸 디아지도실란을 위한 화학적 구조 및 예의 합성 프로토콜
디-터트-부틸 디아지도실란은 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00009
디-터트-부틸 디아지도실란을 위한 하나의 적합한 합성 프로토콜은 아래에서 제시된다:
Figure 112019101417345-pat00010
12 L 둥근 바닥 플라스크에는 테플론 패들을 갖는 교반 샤프트 (stir shaft), 환류 (reflux) 응축기, 보호관 (thermowell) 및 압력 등화 첨가 깔대기 (equalizing addition funnel) 가 장착되고 불활성 기체로 1 시간 동안 플러시 (flush) 된다. 플라스크에는 반응 용매에서 슬러리화되는 금속 아지드가 첨가된다. 첨가 깔대기에는 실리콘 할라이드가 첨가된다. 실리콘 할라이드는 실온에서 30 분의 기간 (period) 동안 금속 아지드에 첨가된다. 첨가가 완료된 후, 반응은 24 시간 동안 교반하는 것이 허용된다.
혼합물은 완전성을 위해 테스트된다. 실리콘 할라이드 스타터의 완전 사라짐은 교반 기간의 종료를 나타낸다. 이것이 결정되고 나면, 반응 혼합물은 다공성 유리, 스테인레스 강 또는 테플론 필터 매체를 통해 필터링된다. 고체들은 탄화수소 용매로 세척되고 원래 모액 (mother liquor) 과 같은 베셀 속으로 필터링된다. 용매는 그 다음에 진공하에서 스트립핑되어 원료 최종 생성물 (crude final product) 을 산출한다. 최종 생성물은 단편적으로 증류되어 순수 실리콘 아지드 화합물을 산출한다. 이 합성 프로토콜은 "Welsh, K.M., Michl, J., West, R. JACS, 1988, 110, 6689"에 기재된 것과 유사하고, 이는 전부 참조에 의해 본원에 통합된다.
비스(에틸메틸아미도)실란, 비스(디이소프로필아미노)실란 및 비스(터트-부틸히드라지도)디에틸실란을 위한 화학적 구조 및 예의 합성 프로토콜.
비스(에틸메틸아미도)실란은 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00011
비스(터트-부틸히드라지도)디에틸실란은 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00012
비스(디이소프로필아미노)실란은 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00013
다음 예의 합성 프로토콜은 비스(에틸메틸아미도)실란, 비스(디이소프로필아미노)실란 및 비스(터트-부틸히드라지도)디에틸실란을 위해 이용될 수 있다.
Figure 112019101417345-pat00014
12 L 둥근 바닥 플라스크에는 테플론 패들을 갖는 교반 샤프트, 환류 응축기, 보호관 및 압력 등화 첨가 깔대기가 장착되고 불활성 기체로 1 시간 동안 플러시된다. 플라스크에서는 실리콘 할라이드가 반응 용매에 첨가된다. 첨가 깔대기에는 아민 또는 히드라진 유도체가 첨가되고 이는 실리콘 할라이드에 0-10 ℃에서 1 시간의 기간 동안 첨가된다. 첨가가 완료된 후, 반응은 실온으로 데워지면서 24 시간 동안 교반하는 것이 허용된다. 혼합물은 완전성에 대해 테스트된다.
실리콘 할라이드 스타터의 완전한 사라짐은 교반 기간의 종료를 나타낸다. 이것이 결정되고 나면, 반응 혼합물은 다공성 유리, 스테인레스 강 또는 테플론 필터 매체를 통해 필터링된다. 고체들은 탄화수소 용매로 세척되고 원래의 모액과 같은 베셀 속으로 필터링된다. 용매는 그 다음에 진공하에서 스트립핑되어 원료 최종 생성물을 산출한다. 최종 생성물은 단편적으로 증류되어 순수 실릴아미드를 산출한다.
에틸실리콘 트리아지드에 대한 화학적 구조 및 예의 합성 프로토콜.
에틸실리콘 트리아지드는 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00015
다음 예의 합성 프로토콜은 에틸실리콘 트리아지드를 위해 이용될 수도 있다:
Figure 112019101417345-pat00016
12 L 둥근 바닥 플라스크에는 테플론 패들을 갖는 교반 샤프트, 환류 응축기, 보호관 및 압력 등화 첨가 깔대기가 장착되고 불활성 기체로 1 시간 동안 플러시된다. 플라스크에는 반응 용매에서 슬러리화되는 금속 아지드가 첨가된다. 첨가 깔대기에는 실리콘 할라이드가 첨가된다. 실리콘 할라이드는 실온에서 30 분의 기간 동안 금속 아지드에 첨가된다. 첨가가 완료된 후, 반응은 24 시간 동안 교반하는 것이 허용된다. 혼합물은 완전성에 대해 테스트된다.
실리콘 할라이드 스타터의 완전한 사라짐은 교반 기간의 종료를 나타낸다. 이것이 결정되고 나면, 반응 혼합물은 다공성 유리, 스테인레스 강 또는 테플론 필터 매체를 통해 필터링된다. 고체들은 탄화수소 용매로 세척되고 원래의 모액과 같은 베셀 속으로 필터링된다. 용매는 그 다음에 진공하에서 스트립핑되어 원료 최종 생성물을 산출한다. 최종 생성물은 단편적으로 증류되어 순수 실리콘 아지드 화합물을 산출한다.
디이소프로필아미노실란에 대한 화학적 구조 및 예의 합성 프로토콜.
디이소프로필아미노실란은 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00017
디이소프로필아미노실란에 대한 하나의 적합한 합성 프로토콜은 미국 공개특허번호 US2006/0258173호에서 찾아볼 수 있으며, 이는 전부 참조에 의해 본원에 통합된다.
헥사키스(디메틸아미도)디실라잔에 대한 화학적 구조 및 예의 합성 프로토콜.
헥사키스(디메틸아미도)디실라잔은 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00018
반응은 미국 공개특허번호 US2004/096582 A1에서 합성된 그러한 헥사키스(디알킬아미도)디실란들과 유사하게 수행되며, 이는 전부 참조에 의해 본원에 통합된다.
트리스(디메틸아미도)실릴아지드 및 트리스(디메틸아미도)실릴아미드에 대한 화학적 구조 및 예의 합성 프로토콜 .
트리스(디메틸아미도)실릴아지드는 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00019
트리스(에틸메틸아미도)실릴아미드는 다음의 화학적 구조를 가진다:
Figure 112019101417345-pat00020
트리스(디메틸아미도)실릴아지드 및 트리스(디메틸아미도)실릴아미드에 대한 하나의 적합한 합성 프로토콜은 아래에서 제시된다:
Figure 112019101417345-pat00021
12 L 둥근 바닥 플라스크에는 테플론 패들을 갖는 교반 샤프트, 환류 응축기, 보호관 및 압력 등화 첨가 깔대기가 장착되고 불활성 기체로 1 시간 동안 플러시된다. 플라스크에는 금속 아미드 또는 아지드가 첨가되고 반응 용매에서 슬러리화된다. 첨가 깔대기에는 트리스(디알킬아미도)실릴 할라이드가 첨가되고 이것은 금속 아미드 또는 금속 아지드 슬러리에 30 분의 기간 동안 첨가된다.
반응은 완전성에 대해 혼합물을 모니터링하면서 24-48 시간 동안 교반하는 것이 허용된다. 트리스(디알킬아미도)실릴 할라이드 스타터의 완전한 사라짐은 교반 기간의 종료를 나타낸다. 이것이 결정되고 나면, 반응 혼합물은 다공성 유리, 스테인레스 강 또는 테플론 필터 매체를 통해 필터링된다. 고체들은 탄화수소 용매로 세척되고 원래의 모액과 같은 베셀 속으로 필터링된다. 용매는 그 다음에 진공하에서 스트립핑되어 원료 최종 생성물을 산출한다. 최종 생성물은 단편적으로 증류되어 순수 실릴아미드 또는 실릴아지드를 산출한다.
위에서 제시된 전구체 그룹으로부터 선택된 실리콘 함유 반응물을 이용하는 것은 낮은 온도들에서 등각 막의 성막을 가능하게 한다. 예를 들어, 성막은 400℃ 이하의 온도들에서 수행될 수 있다. 일부 환경들에서, 이들 낮은 성막 온도들을 이용하는 것은 열 버짓 및 통합의 염려들을 해소시킨다. 단지 예로, 방법은 SiCN4 와 같은 SiN 막을 350 ℃에서 성막하는데 이용될 수도 있다. 그러나, 위에서 설명된 전구체 그룹에서의 전구체들의 일부는 SiCN 및 SiO와 같은 다른 막들을 성막하는데 이용될 수도 있다.
전구체 그룹으로부터 선택된 실리콘-함유 반응물들은 할로겐이 없으며, 이는 부식 염려를 완화시키고 폐기물 스트림 관리에서의 독성을 감소시킨다. 전구체 그룹에서의 실리콘-함유 반응물들은 실온에서 액체들이다. 전구체 그룹에서의 실리콘-함유 반응물들의 모두는 반도체 프로세싱 시스템들에서 쉽사리 전달가능하다. 예를 들어, 전구체 그룹에서의 실리콘-함유 반응물들 전부는 Novellus로부터 입수가능한 Vector ILDS 시스템들과 같은 기존의 층간 유전체 (ILD) 시스템들과 함께 이용할 수도 있다.
본 개시물에 따른 방법은 공칭 1X nm 메모리 및 22/16 nm 제너레이션 (generation) 로직 노드들에 대한 스텝 피복성, 충전 (fill), 결함, 신뢰성, 및 전기적 요건들을 충족시키는 것이 가능한 반도체 프로세싱 애플리케이션들을 위한 Si3N4 막을 (화학적 전구체(들) 및 프로세스 조건들) 성막하는데 이용될 수도 있다.
제 1 예에서, 방법은 PEALD SiN 막을 전구체들을 사용하여 형성하는데 이용되었다. 트리스(디메틸아미도)실릴아지드 전구체로 CFD SiN 막들을 산출하기 위해 일반화된 조건들이 입증되었다: NH3 + 5%H2를 이용한 전구체 [(Me2N)3SiN3], ATRP35 및 NH3 플라즈마, 기판 온도는 350 ℃이었고, 버블러 온도는 50 ℃이었고 증기 인출 (vapor draw) 이 사용되었다. 플라즈마는 대략 2W의 반사 전력 평균을 갖는 200W이었다. 플라즈마 조성은 NH3 + 5%H2이었고 56.5 sccm의 N2, 13.5 sccm의 H2 및 펄스 길이는 5초였다.
본 개시물의 요지는 본원에서 개시된 여러 가지 프로세스들, 시스템들 및 구성들, 및 다른 특징들, 기능들, 행위들, 및/또는 성질들과, 그것들의 임의의 및 모든 동등물들의 모든 신규한 및 비자명한 조합 및 하위조합들을 포함한다.

Claims (20)

  1. 기판 상에 막을 성막하는 장치로서,
    기판 지지부 상에 배열된 기판을 포함하는 반응 챔버;
    상기 반응 챔버에 기체 상인 반응물들을 전달하는 입구 포트;
    상기 반응 챔버에 플라즈마를 제공하는 플라즈마 생성기; 및
    제어기를 포함하고,
    상기 제어기는,
    디-터트-부틸 디아지도실란, 트리스(디메틸아미도)실릴아지드, 및 비스(터트-부틸히드라지도)디에틸실란으로 구성된 전구체 그룹으로부터 선택되는 실리콘 함유 반응물을 흐르게 하고; 그리고
    상기 반응 챔버 내로 기상의 제 2 반응물을 흐르게 하도록 구성되고,
    상기 실리콘 함유 반응물은 상기 반응 챔버 내로 기상으로 도입되는, 기판 상에 막을 성막하는 장치.
  2. 제 1 항에 있어서,
    상기 제어기는,
    상기 실리콘 함유 반응물로 하여금 기판 표면 상에 흡착하게 하는 조건들 하에서 상기 실리콘 함유 반응물을 도입하고; 그리고
    상기 막을 형성하도록 상기 기판 표면 상에서 상기 실리콘 함유 반응물과 상기 제 2 반응물 사이의 반응을 추진하기 위해 상기 기판 표면을 플라즈마에 노출시키도록 구성되는, 기판 상에 막을 성막하는 장치.
  3. 제 1 항에 있어서,
    상기 제어기는, 상기 실리콘 함유 반응물이 기판 표면 상에 흡착되는 동안 그리고 상기 반응 챔버 밖으로 상기 실리콘 함유 반응물을 먼저 스위프 (sweep) 시키지 않고서, 상기 제 2 반응물을 상기 반응 챔버 내로 도입하도록 더 구성되는, 기판 상에 막을 성막하는 장치.
  4. 제 1 항에 있어서,
    상기 제어기는 일정한 유량 (flow rate), 일정하지 않은 유량, 및 단속적 유량 중의 하나로 기판 표면으로 상기 제 2 반응물을 흐르게 하도록 더 구성되는, 기판 상에 막을 성막하는 장치.
  5. 제 1 항에 있어서,
    상기 막은 SiN을 포함하며;
    상기 막은 등각 구조 (conformal structure) 를 형성하며; 그리고
    상기 막의 성막은 400 ℃ 이하의 온도에서 수행되는, 기판 상에 막을 성막하는 장치.
  6. 기판 상에 막을 성막하는 장치로서,
    기판 지지부 상에 배열된 기판을 포함하는 반응 챔버;
    상기 반응 챔버에 기체 상인 반응물들을 전달하는 입구 포트;
    상기 반응 챔버에 플라즈마를 제공하는 플라즈마 생성기; 및
    제어기를 포함하고,
    상기 제어기는,
    (a) 실리콘 함유 반응물로 하여금 기판 표면 상에 흡착하는 하는 조건들 하에서, 상기 반응 챔버 내로 기상의 상기 실리콘 함유 반응물을 도입하고;
    (b) 상기 실리콘 함유 반응물이 상기 기판 표면 상에 흡착되는 동안, 상기 반응 챔버 내로 기상의 제 2 반응물을 도입하고;
    (c) 상기 막을 형성하도록 상기 기판 표면 상에서 상기 실리콘 함유 반응물과 상기 제 2 반응물 사이의 반응을 추진하기 위해 상기 기판 표면을 플라즈마에 노출시키고; 그리고
    (d) 상기 기판 표면을 플라즈마에 노출시키는 (c) 전에 기상인 상기 제 2 반응물을 밖으로 스위프시키도록 구성되고,
    상기 실리콘 함유 반응물은 디-터트-부틸 디아지도실란, 비스(에틸메틸아미도)실란, 비스(터트-부틸히드라지도)디에틸실란, 트리스(디메틸아미도)실릴아지드, 트리스(디메틸아미도)실릴아미드, 에틸실리콘 트리아지드, 및 헥사키스(디메틸아미도)디실라잔으로 구성된 전구체 그룹으로부터 선택되고,
    제 2 반응물을 도입하는 (b)에서, 상기 제 2 반응물은 상기 반응 챔버 밖으로 상기 실리콘 함유 반응물을 먼저 스위프 (sweep) 시키지 않고서 도입되는, 기판 상에 막을 성막하는 장치.
  7. 제 6 항에 있어서,
    상기 제어기는, 상기 실리콘 함유 반응물 및 상기 제 2 반응물 중 적어도 하나가 기체 상인 한편 상기 기판에 노출되는 동안에 상기 플라즈마를 점화하도록 구성되는, 기판 상에 막을 성막하는 장치.
  8. 제 6 항에 있어서,
    상기 실리콘 함유 반응물 및 상기 제 2 반응물은 기상에서 서로 반응하지 않는, 기판 상에 막을 성막하는 장치.
  9. 제 6 항에 있어서,
    상기 막은 SiN 막을 포함하며; 그리고
    상기 막의 성막은 400 ℃ 이하의 온도에서 수행되는, 기판 상에 막을 성막하는 장치.
  10. 제 6 항에 있어서,
    상기 제어기는 상기 제 2 반응물을 도입하는 (b) 동안, 일정하지 않은 유량으로 상기 기판 표면에 상기 제 2 반응물을 흐르게 하도록 구성되는, 기판 상에 막을 성막하는 장치.
  11. 제 6 항에 있어서,
    상기 실리콘 함유 반응물 및 상기 제 2 반응물은 기상에서 공존하고, 상기 실리콘 함유 반응물 및 상기 제 2 반응물은 상기 플라즈마에 노출시키는 (c) 에서 상기 플라즈마에 노출될 때까지 서로 감지할 수 있을 정도로 반응하지 않는, 기판 상에 막을 성막하는 장치.
  12. 제 6 항에 있어서,
    상기 플라즈마에 노출시키는 (c) 에서 형성된 상기 막은 등각 구조를 형성하는, 기판 상에 막을 성막하는 장치.
  13. 제 6 항에 있어서,
    상기 제어기는, 상기 플라즈마에 노출시키는 (c) 후에, 화학 기상 증착에 의해 상기 막의 일 부분에 직접 추가적인 막을 성막하는, 기판 상에 막을 성막하는 장치.
  14. 제 6 항에 있어서,
    상기 제어기는 일정한 유량, 일정하지 않은 유량, 및 단속적 유량 중의 하나로 상기 기판 표면에 상기 제 2 반응물을 흐르게 하도록 구성되는, 기판 상에 막을 성막하는 장치.
  15. 기판 상에 막을 성막하는 장치로서,
    기판 지지부 상에 배열된 기판을 포함하는 반응 챔버;
    상기 반응 챔버에 기체 상인 반응물들을 전달하는 입구 포트;
    상기 반응 챔버에 플라즈마를 제공하는 플라즈마 생성기; 및
    제어기를 포함하고,
    상기 제어기는,
    (a) 실리콘 함유 반응물로 하여금 기판 표면 상에 흡착하게 하는 조건들 하에서, 상기 반응 챔버 내로 기상의 상기 실리콘 함유 반응물을 도입하고;
    (b) 상기 실리콘 함유 반응물이 상기 기판 표면 상에 흡착되는 동안, 상기 반응 챔버 내로 기상의 제 2 반응물을 도입하고;
    (c) 상기 막을 형성하도록 상기 기판 표면 상에서 상기 실리콘 함유 반응물과 상기 제 2 반응물 사이의 반응을 추진하기 위해 상기 기판 표면을 플라즈마에 노출시키고; 그리고
    (d) 상기 실리콘 함유 반응물을 상기 반응 챔버 밖으로 스위프시킨 후이나, 상기 기판 표면을 플라즈마에 노출시키는 (c) 전에 상기 기판 표면을 상기 제 2 반응물에 노출시키도록 구성되고,
    상기 실리콘 함유 반응물은 디-터트-부틸 디아지도실란, 비스(에틸메틸아미도)실란, 비스(터트-부틸히드라지도)디에틸실란, 트리스(디메틸아미도)실릴아지드, 트리스(디메틸아미도)실릴아미드, 에틸실리콘 트리아지드, 및 헥사키스(디메틸아미도)디실라잔으로 구성된 전구체 그룹으로부터 선택되는, 기판 상에 막을 성막하는 장치.
  16. 기판 상에 막을 성막하는 방법으로서,
    반응 챔버 내의 기판 지지부 상에 기판을 배열하는 단계;
    입구 포트를 통해 상기 반응 챔버로 기체 상인 반응물들을 전달하는 단계;
    상기 반응 챔버로 플라즈마를 제공하는 단계;
    디-터트-부틸 디아지도실란, 트리스(디메틸아미도)실릴아지드, 및 비스(터트-부틸히드라지도)디에틸실란으로 구성된 전구체 그룹으로부터 선택되는 실리콘 함유 반응물을 흐르게 하는 단계; 및
    상기 반응 챔버 내로 기상의 제 2 반응물을 흐르게 하는 단계를 포함하고,
    상기 실리콘 함유 반응물은 상기 반응 챔버 내로 기상으로 도입되는, 기판 상에 막을 성막하는 방법.
  17. 제 16 항에 있어서,
    상기 실리콘 함유 반응물로 하여금 기판 표면 상에 흡착하게 하는 조건들 하에서 상기 실리콘 함유 반응물을 도입하는 단계; 및
    상기 막을 형성하도록 상기 기판 표면 상에서 상기 실리콘 함유 반응물과 상기 제 2 반응물 사이의 반응을 추진하기 위해 상기 기판 표면을 플라즈마에 노출시키는 단계를 더 포함하는, 기판 상에 막을 성막하는 방법.
  18. 제 16 항에 있어서,
    상기 실리콘 함유 반응물이 기판 표면 상에 흡착되는 동안 그리고 상기 반응 챔버 밖으로 상기 실리콘 함유 반응물을 먼저 스위프 (sweep) 시키지 않고서, 상기 제 2 반응물을 상기 반응 챔버 내로 도입하는 단계를 더 포함하는, 기판 상에 막을 성막하는 방법.
  19. 제 16 항에 있어서,
    일정한 유량 (flow rate), 일정하지 않은 유량, 및 단속적 유량 중의 하나로 기판 표면으로 상기 제 2 반응물을 흐르게 하는 단계를 더 포함하는, 기판 상에 막을 성막하는 방법.
  20. 제 16 항에 있어서,
    상기 막은 SiN을 포함하며;
    상기 막은 등각 구조를 형성하며; 그리고
    상기 막의 성막은 400 ℃ 이하의 온도에서 수행되는, 기판 상에 막을 성막하는 방법.
KR1020190122916A 2012-02-14 2019-10-04 플라즈마 활성화된 등각 막 성막을 위한 전구체들 KR102145694B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261598547P 2012-02-14 2012-02-14
US61/598,547 2012-02-14
US13/409,212 US8728955B2 (en) 2012-02-14 2012-03-01 Method of plasma activated deposition of a conformal film on a substrate surface
US13/409,212 2012-03-01

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020130016070A Division KR102031377B1 (ko) 2012-02-14 2013-02-14 플라즈마 활성화된 등각 막 성막을 위한 전구체들

Publications (2)

Publication Number Publication Date
KR20190126256A KR20190126256A (ko) 2019-11-11
KR102145694B1 true KR102145694B1 (ko) 2020-08-19

Family

ID=48945927

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020130016070A KR102031377B1 (ko) 2012-02-14 2013-02-14 플라즈마 활성화된 등각 막 성막을 위한 전구체들
KR1020190122916A KR102145694B1 (ko) 2012-02-14 2019-10-04 플라즈마 활성화된 등각 막 성막을 위한 전구체들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020130016070A KR102031377B1 (ko) 2012-02-14 2013-02-14 플라즈마 활성화된 등각 막 성막을 위한 전구체들

Country Status (4)

Country Link
US (2) US8728955B2 (ko)
KR (2) KR102031377B1 (ko)
SG (3) SG10201506346VA (ko)
TW (1) TWI612172B (ko)

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101862547B1 (ko) * 2012-04-13 2018-05-31 삼성전자주식회사 폴리실리콘막 형성 방법 및 반도체 장치의 제조 방법
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8784951B2 (en) * 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US20150020974A1 (en) * 2013-07-19 2015-01-22 Psk Inc. Baffle and apparatus for treating surface of baffle, and substrate treating apparatus
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR102271202B1 (ko) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6363385B2 (ja) * 2014-04-21 2018-07-25 東京エレクトロン株式会社 封止膜の形成方法及び封止膜製造装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US9349631B2 (en) * 2014-10-02 2016-05-24 Globalfoundries Inc. Method for defining an isolation region(s) of a semiconductor structure
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
CN113936994A (zh) * 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
KR20180002774A (ko) 2015-05-02 2018-01-08 어플라이드 머티어리얼스, 인코포레이티드 낮은 k 및 낮은 습식 에칭 레이트 유전체 박막들을 증착하기 위한 방법들
KR102317440B1 (ko) * 2015-05-27 2021-10-26 주성엔지니어링(주) 반도체 소자의 제조 방법
US9406544B1 (en) 2015-06-12 2016-08-02 Lam Research Corporation Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
DE102016200506B4 (de) 2016-01-17 2024-05-02 Robert Bosch Gmbh Ätzvorrichtung und Ätzverfahren
US10224235B2 (en) 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
TWI733850B (zh) 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
KR102653233B1 (ko) * 2016-10-25 2024-03-29 삼성전자주식회사 증착 장치 및 이를 이용한 비휘발성 메모리 장치의 제조 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102241937B1 (ko) * 2016-11-25 2021-04-20 주식회사 원익아이피에스 반도체 소자의 갭필 방법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10483118B2 (en) * 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
US10354923B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6777614B2 (ja) * 2017-09-26 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
TWI764008B (zh) * 2018-06-19 2022-05-11 美商應用材料股份有限公司 高品質間隙填充的高偏壓沉積
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP6980624B2 (ja) * 2018-09-13 2021-12-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
WO2020081397A1 (en) * 2018-10-19 2020-04-23 Lam Research Corporation Method of depositing silicon nitride films
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11972952B2 (en) 2018-12-14 2024-04-30 Lam Research Corporation Atomic layer deposition on 3D NAND structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US20210265158A1 (en) * 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same
TW202200830A (zh) * 2020-02-26 2022-01-01 美商應用材料股份有限公司 用於ald 處理的循序脈衝和淨化
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
US20230290639A1 (en) * 2020-07-29 2023-09-14 Lam Research Corporation Low resistance gate oxide metallization liner
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
US5013690A (en) 1990-02-01 1991-05-07 Air Products And Chemicals, Inc. Method for deposition of silicon films from azidosilane sources
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
JPH04364320A (ja) 1991-06-07 1992-12-16 Mitsubishi Electric Corp 脱調検出回路
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100384851B1 (ko) * 2000-12-14 2003-05-22 주식회사 하이닉스반도체 원자층 증착법에 의한 캐패시터 제조 방법
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
EP1584100A2 (en) * 2002-12-20 2005-10-12 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
DE602006019499D1 (de) 2006-04-03 2011-02-17 Air Liquide Eine pentakis(dimethylamino)disilanvorstufe enthaltende verbindung, und verfahren zu deren herstellung
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
WO2007118474A2 (de) 2006-04-19 2007-10-25 Technische Universität Bergakademie Freiberg Verfahren zur herstellung von wasserstoffreichen silanen, sowie neue chemische verbindungen
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8318966B2 (en) 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
JP5098882B2 (ja) * 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090056877A1 (en) * 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
US8119540B2 (en) 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8465591B2 (en) 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP5310283B2 (ja) 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
US7999355B2 (en) 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US8471049B2 (en) 2008-12-10 2013-06-25 Air Product And Chemicals, Inc. Precursors for depositing group 4 metal-containing films
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
KR101174422B1 (ko) 2009-12-31 2012-08-16 서울대학교산학협력단 실리카 나노 와이어의 제조 방법
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8912353B2 (en) * 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US20120277457A1 (en) * 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same
JP5689398B2 (ja) * 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8927748B2 (en) * 2011-08-12 2015-01-06 Sigma-Aldrich Co. Llc Alkyl-substituted allyl carbonyl metal complexes and use thereof for preparing dielectric thin films
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors

Also Published As

Publication number Publication date
US8728955B2 (en) 2014-05-20
SG193097A1 (en) 2013-09-30
KR20130093569A (ko) 2013-08-22
KR102031377B1 (ko) 2019-10-11
US20140209026A1 (en) 2014-07-31
TW201348502A (zh) 2013-12-01
KR20190126256A (ko) 2019-11-11
TWI612172B (zh) 2018-01-21
SG10202001313TA (en) 2020-04-29
SG10201506346VA (en) 2015-09-29
US20130210241A1 (en) 2013-08-15

Similar Documents

Publication Publication Date Title
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
US9230800B2 (en) Plasma activated conformal film deposition
US10043655B2 (en) Plasma activated conformal dielectric film deposition
TWI612581B (zh) 在基板表面上沉積氮及/或碳摻雜介電薄膜堆疊之方法、設備及系統
KR101975071B1 (ko) 플라즈마 활성화된 컨포멀 유전체 막 증착
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant