CN113936994A - 使用表面封端化学性质的薄膜电介质的选择性沉积 - Google Patents

使用表面封端化学性质的薄膜电介质的选择性沉积 Download PDF

Info

Publication number
CN113936994A
CN113936994A CN202111203384.3A CN202111203384A CN113936994A CN 113936994 A CN113936994 A CN 113936994A CN 202111203384 A CN202111203384 A CN 202111203384A CN 113936994 A CN113936994 A CN 113936994A
Authority
CN
China
Prior art keywords
substrate
terminated
substrate surface
film
silylamide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111203384.3A
Other languages
English (en)
Inventor
D·汤普生
M·萨利
B·J·布扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113936994A publication Critical patent/CN113936994A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0296Conductive pattern lay-out details not covered by sub groups H05K1/02 - H05K1/0295
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03GELECTROGRAPHY; ELECTROPHOTOGRAPHY; MAGNETOGRAPHY
    • G03G15/00Apparatus for electrographic processes using a charge pattern
    • G03G15/50Machine control of apparatus for electrographic processes using a charge pattern, e.g. regulating differents parts of the machine, multimode copiers, microprocessor control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03GELECTROGRAPHY; ELECTROPHOTOGRAPHY; MAGNETOGRAPHY
    • G03G15/00Apparatus for electrographic processes using a charge pattern
    • G03G15/80Details relating to power supplies, circuits boards, electrical connections
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03GELECTROGRAPHY; ELECTROPHOTOGRAPHY; MAGNETOGRAPHY
    • G03G21/00Arrangements not provided for by groups G03G13/00 - G03G19/00, e.g. cleaning, elimination of residual charge
    • G03G21/16Mechanical means for facilitating the maintenance of the apparatus, e.g. modular arrangements
    • G03G21/1642Mechanical means for facilitating the maintenance of the apparatus, e.g. modular arrangements for connecting the different parts of the apparatus
    • G03G21/1652Electrical connection means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/702Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof of thick-or thin-film circuits or parts thereof
    • H01L21/707Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof of thick-or thin-film circuits or parts thereof of thin-film circuits or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0266Marks, test patterns or identification means
    • H05K1/0269Marks, test patterns or identification means for visual or optical inspection
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/117Pads along the edge of rigid circuit boards, e.g. for pluggable connectors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/403Edge contacts; Windows or holes in the substrate having plural connections on the walls thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/03Conductive materials
    • H05K2201/0332Structure of the conductor
    • H05K2201/0388Other aspects of conductors
    • H05K2201/0394Conductor crossing over a hole in the substrate or a gap between two separate substrate parts
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09009Substrate related
    • H05K2201/09063Holes or slots in insulating substrate not used for electrical connections
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09145Edge details
    • H05K2201/09181Notches in edge pads
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10227Other objects, e.g. metallic pieces
    • H05K2201/10287Metal wires as connectors or conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10227Other objects, e.g. metallic pieces
    • H05K2201/10363Jumpers, i.e. non-printed cross-over connections
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/4007Surface contacts, e.g. bumps
    • H05K3/4015Surface contacts, e.g. bumps using auxiliary conductive elements, e.g. pieces of metal foil, metallic spheres

Abstract

相对于第二基板表面选择性地沉积膜到第一基板表面上的方法。方法包括使用甲硅烷基胺浸泡包含羟基终端的基板表面以形成甲硅烷基醚终端以及沉积膜到该甲硅烷基醚终端表面以外的表面上。

Description

使用表面封端化学性质的薄膜电介质的选择性沉积
本申请是申请日为2016年4月29日、申请号为201680025233.4、名称为“使用表面封端化学性质的薄膜电介质的选择性沉积”的中国专利申请(PCT申请号为PCT/US2016/030057)的分案申请。
技术领域
本公开的实施例大体而言是关于选择性沉积膜的方法。更具体言之,本公开的实施例是针对使用醇选择性还原和选择性保护选择性沉积膜的方法。
背景技术
选择性沉积工艺正获得极大的动力主要是因为半导体需要图案化的应用。传统上,微电子工业中的图案化已使用各种光刻和蚀刻工艺来完成。然而,由于光刻正以指数的方式变得复杂和昂贵,所以使用选择性沉积来沉积特征正变得更具吸引力。选择性沉积的另一种潜在应用是缝隙填充。在缝隙填充中,填充膜是从沟槽的底部朝向顶部选择性生长的。选择性沉积可被用于其他的应用,例如膜被生长在鳍片的侧边上的选择性侧壁沉积。这将能够在不需要复杂的图案化步骤之下沉积侧壁间隔物。
因此,所属技术领域中需要有选择性地优先于不同的表面将膜选择性地沉积到一个表面上的方法。
发明内容
本公开的一个或更多个实施例是针对沉积膜的方法。提供包含第一基板表面和第二基板表面的基板,该第一基板表面包括羟基终端表面(hydroxyl-terminated surface),该第二基板表面包括氢终端表面。使该基板暴露于甲硅烷基酰胺以与该羟基终端表面反应而形成甲硅烷基醚终端表面。使该基板暴露于一种或更多种沉积气体,以优先于该甲硅烷基醚终端表面选择性地在该第二基板表面上沉积膜。
本公开的另外的实施例是针对沉积膜的方法。提供包含第一基板表面和第二基板表面的基板,该第一基板表面包括羟基终端表面,该第二基板表面包括氢终端电介质。使用甲硅烷基酰胺浸泡该基板以与该羟基终端表面反应而形成甲硅烷基醚终端表面。使该基板暴露于一种或更多种沉积气体,以优先于该第一基板表面选择性地在该第二基板表面上沉积氮化硅膜。
本公开的进一步实施例是针对沉积膜的方法。提供包含第一基板表面和第二基板表面的基板,该第一基板表面包括羟基终端表面,该第二基板表面包括氢终端电介质。使用甲硅烷基酰胺浸泡该基板以与该羟基终端表面反应而形成甲硅烷基醚终端表面,该甲硅烷基酰胺包含1-三甲基甲硅烷基吡咯烷、1-三甲基甲硅烷基吡咯和/或3,5-二甲基-1-三甲基甲硅烷基吡唑中的一者或更多者。使该基板暴露于一种或更多种沉积气体,以优先于该第一基板表面选择性地在该第二基板表面上沉积氮化硅膜。
附图说明
为详细了解上述本公开的特征,可参照实施例(其中一些图示于附图中)而对以上简要概述的本公开作更特定的描述。然而,应注意的是,附图仅图示本公开的典型实施例,因此不应将附图视为限制本公开的范围,因本公开可认可其他等同有效的实施例。
图1图示依据本公开的一个或更多个实施例的处理方法的示意图;以及
图2图示依据本公开的一个或更多个实施例的批处理腔室的实施例。
具体实施方式
有各式各样的、可被用于选择性沉积的方法。本公开的实施例是针对通过利用两个不同表面的表面化学性质来采用表面去活化的方法。由于两个不同的表面将具有不同的反应操作,故可以通过使用将与一个表面反应(以使该表面失活)且不与另一个表面反应的分子来利用差异。本公开的一些实施例使用三甲基甲硅烷基酰胺的化学性质来与一个表面的Si-OH基团反应并且不与Si-H终端第二表面反应。
本说明书和所附权利要求中使用的术语“基板”和“晶片”可互换使用,两者皆指表面、或表面的一部分,工艺在该表面上作用。所属技术领域中技术人员还将理解的是,提及基板也可以仅指基板的一部分,除非上下文另有清楚指明。此外,提及在基板上沉积可意指裸基板及上面沉积或形成有一个或更多个膜或特征的基板两者。
本文中使用的“基板”是指:在制造工艺期间,上面进行膜处理的任何基板或形成在基板上的材料表面。例如,上面可以进行处理的基板表面包括的材料例如硅、氧化硅、应变硅、绝缘体上硅(SOI)、掺杂碳的氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石、以及任何其他的材料,例如金属、金属氮化物、金属合金及其他导电材料,取决于应用。基板包括但不限于半导体晶片。可以使基板暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟化、退火和/或烘烤基板表面。除了直接在基板本身的表面上的膜处理之外,在本公开中,任何公开的膜处理步骤也都可以在形成于基板上的下层上进行,如以下更详细公开的,而且术语“基板表面”意图包括上下文指出的这种下层。因此,举例来说,在膜/层或部分膜/层已被沉积到基板表面上的地方,新沉积的膜/层的暴露表面变成基板表面。给定的基板表面包含什么将取决于要沉积什么膜、以及使用的特定化学作用。在一个或更多个实施例中,第一基板表面将包含金属,并且第二基板表面将包含电介质,或反之亦然。在一些实施例中,基板表面可以包含某些官能团(例如-OH、-NH等)。
同样地,可以在本文描述的方法中使用的膜是相当多样的。在一些实施例中,膜可以包含金属、或基本上由金属组成。金属膜的示例包括但不限于钴(Co)、铜(Cu)、镍(Ni)、钨(W)等。在一些实施例中,膜包含电介质。示例包括SiO2、SiN、HfO2等。
本说明书和所附权利要求中使用的术语“反应气体”、“前体”、“反应物”、及类似者可被互换使用来指称包括与基板表面反应的物种的气体。例如,第一“反应气体”可以简单地吸附于基板的表面上,并可用于与第二反应气体的进一步化学反应。
本公开的实施例提供优先于第二表面选择性沉积金属膜到一个表面上的方法。本说明书和所附权利要求中使用的用语“优先于另一个表面在一个表面上选择性沉积膜”及类似用语意指第一量的膜被沉积在第一表面上并且第二量的膜被沉积在第二表面上,其中第二量的膜少于第一量的膜或无。在这方面使用的用语“优先”并非暗指一个表面在另一个表面的顶部上的物理定向,而是相对于另一个表面与一个表面的化学反应的热力学或动力学性质的关系。例如,优先于电介质表面选择性沉积钴膜到铜表面上意指钴膜沉积在铜表面上并且较少钴膜或无钴膜沉积在电介质表面上;或意指相对于钴膜形成在电介质表面上,钴膜形成在铜表面上是热力学或动力学上优先的。
参照图1,本公开的一个或更多个实施例是针对沉积膜的方法。提供包含第一基板表面12和第二基板表面14的基板10。第一基板表面12包括羟基终端表面(即具有-OH基团的表面)。第二基板表面14包括氢终端表面(即具有例如Si-H或Si-NH2之类的-H端基的表面)。氢终端表面可以包括胺终端表面(如可在SiN膜中可找到的)。在一些实施例中,第一基板表面12大体上仅包含羟基端基。在这方面使用的用语“大体上仅”意指该第一基板表面的表面端基中至少约75%、80%、85%、90%或95%为羟基端基。在一些实施例中,第二基板表面14大体上仅包含氢端基。在这方面使用的用语“大体上仅”意指该第二基板表面的表面端基中至少约75%、80%、85%、90%或95%为氢端基。在一些实施例中,第一基板表面12与第二基板表面14中的一者或更多者包含电介质。在一个或更多个实施例中,第一基板表面12包含电介质。电介质可以是低介电常数电介质或高介电常数电介质。
使基板10暴露于甲硅烷基酰胺以与第一基板表面12和/或第二基板表面14中的一者或更多者反应。使基板表面暴露于甲硅烷基酰胺可以通过任何适当的工艺来完成。暴露可被称为浸泡,其中至少一些的基板表面被甲硅烷基酰胺“浸泡”或“淹没”以允许表面反应发生。本说明书和所附权利要求中使用的术语“甲硅烷基酰胺”是指具有硅-氮键的化合物,其中氮是胺基团的一部分或杂环的一部分。图1图示在Si-OH终端表面(第一基板表面12)上对比在Si-H终端表面(第二基板表面14)上发生的初始表面反应的示意图。
硅-碳键是非常强的,而且不是很有活性。不受任何特定的操作理论约束,据信甲硅烷基酰胺可以通过硅-碳键使任何表面失活。硅-碳键也是热稳定的、表现出高达600℃的稳定性。甲硅烷基酰胺基团对碱性Si-H基团无活性,而且将不会使Si-H终端表面失去活性。业已发现,使用甲硅烷基酰胺可以允许在Si-H终端表面上而不在Si-OH终端表面上选择性沉积某些电介质工艺。
图1中的甲硅烷基酰胺是由(CH3)3Si-L表示,其中L是任意的胺或杂环胺。图1图示的甲硅烷基酰胺仅表示一种可能的甲硅烷基酰胺,而且不应被视为限制本公开的范围。甲硅烷基酰胺与第一基板表面12上的羟基端基反应而形成甲硅烷基醚终端表面13并逐步形成HL。本说明书和所附权利要求中使用的术语“甲硅烷基醚”是指具有形成表面端基的Si-O键的化合物。
适当的甲硅烷基酰胺是可与表面羟基基团反应以形成甲硅烷基醚终端表面的那些甲硅烷基酰胺。在一些实施例中,甲硅烷基酰胺包含有机甲硅烷基酰胺。本说明书和所附权利要求中使用的术语“有机甲硅烷基酰胺”是指其中硅原子键结到一个或更多个有机基团的化合物。例如,如图1所示,有机甲硅烷基酰胺是三甲基甲硅烷基酰胺。
在一个或更多个实施例中,有机甲硅烷基酰胺包含大体上仅键结到碳和/或氮原子的硅原子。本说明书和所附权利要求中使用的术语“大体上仅到碳和/或氮”意指在原子的基础上有少于约5%的硅原子键结到碳或氮以外的原子。在一个或更多个实施例中,有机甲硅烷基酰胺大体上不含Si-H或Si-OH键。本说明书和所附权利要求中使用的术语“大体上无Si-H和/或Si-OH键”意指有少于约5%的硅原子键结到氢或氢氧化物。
在一些实施例中,有机甲硅烷基酰胺包含三甲基甲硅烷基酰胺、三乙基甲硅烷基酰胺、乙基二甲基甲硅烷基酰胺、和/或二乙基甲基甲硅烷基酰胺中的一者或更多者。在一个或更多个实施例中,甲硅烷基酰胺包括酰胺,该酰胺包含吡咯烷、吡咯、吡唑、二甲胺、二乙胺、乙基甲基胺、环状二级胺、饱和环胺和/或不饱和环胺中的一者或更多者。
三甲基甲硅烷基酰胺含有碱性胺基团,该碱性胺基团将容易与Si-OH基团反应以形成游离胺并导致非常稳定的(CH3)3Si-O-Si部分形成。在某些实施例中,甲硅烷基酰胺包含1-三甲基甲硅烷基吡咯烷、1-三甲基甲硅烷基吡咯和/或3,5-二甲基-1-三甲基甲硅烷基吡唑中的一者或更多者。
将预处理(即甲硅烷基酰胺)暴露于基板表面时的温度取决于例如第一表面、第二表面、甲硅烷基酰胺、计划的未来处理、过去的处理、及正使用的处理设备。例如,较低温的工艺可以有助于保留基板的热预算以供进一步的处理。在一些实施例中,使基板表面在约50℃至约600℃的范围中的温度下暴露于甲硅烷基酰胺。
甲硅烷基酰胺的暴露时间可以视例如甲硅烷基酰胺对目标表面材料的反应性而改变。在一些实施例中,使基板暴露于甲硅烷基酰胺持续在约10秒至约60分钟的范围中的时间。在一些实施例中,甲硅烷基酰胺的暴露发生少于约10分钟、5分钟、1分钟或0.5分钟的时间。
在形成甲硅烷基醚终端表面13之后,可以将膜沉积到第二基板表面14上而不影响甲硅烷基醚终端表面13。膜可以通过任何适当的技术沉积。在一些实施例中,使基板10暴露于一种或更多种沉积气体以对比甲硅烷基醚终端表面13选择性地在第二基板表面14上沉积膜15。在一个或更多个实施例中,沉积的膜15包含SiN。一些实施例的膜15是通过原子层沉积所沉积的,该原子层沉积包含相继暴露于含硅气体和含氮气体。适当的含硅气体包括但不限于硅烷、乙硅烷、丙硅烷、一氯硅烷、二氯硅烷、三氯硅烷、四氯化硅、六氯乙硅烷(HCDS)、卤化碳硅烷及上述的组合。适当的含氮气体包括但不限于含氮等离子体、氨、胺、肼和/或碳氮化物。
膜形成工艺可以是CVD工艺,其中同时使第一反应气体和第二反应气体暴露于基板表面,使得第一反应气体与第二反应气体在膜的形成过程中混合。
在一些实施例中,膜形成工艺是ALD工艺,其中使基板或部分的基板相继暴露于第一反应气体和第二反应气体。相继暴露意指在任何给定的时间只使基板或部分的基板暴露于第一反应气体和第二反应气体中的一者。在ALD工艺中,大体上没有第一反应气体和第二反应气体的气相混合。
图2图示称为处理室110的空间原子层沉积批处理器的实施例。所描述的处理室110的形状和部件只是示例性的,不应被视为限制本公开的范围。例如,八角形的处理室可以是圆形或六边形的等等。装载锁112腔室被连接到处理室110的前部(可以被任意指定为前部),并提供隔离处理室的内部与处理室110外部的大气的方式。装载锁112可以是任何适当的装载锁,而且能以所属技术领域中技术人员已知的任何适当装载锁的方式操作。
基板160传递至处理室110中进入装载区120。在装载区120中,基板160可经受处理条件或可以静置。装载区中的处理条件可以是例如预热基板160到处理温度、暴露于预处理(例如甲硅烷基胺暴露)或清洗。在一些实施例中,使基板160暴露于包含气态甲硅烷基胺的预处理。
将基板160从装载区横向移动通过气幕140到达第一处理区域121。使用序数来描述处理区域只是示例性的,不应被视为限制本公开的范围。使用用语“第一处理区域”、“第二处理区域”等只是意图作为方便的、描述处理室的不同部分的方式。腔室内的处理区域的具体位置并不限于示出的实施例。基板160的横向移动可以通过围绕箭头117指示的轴、或在与箭头117相反的方向上旋转基座166来发生。在第一处理区域121中,可以使基板160暴露于第一反应气体或前体以用于进行ALD工艺。
在处理室110内从第一处理区域121横向移动基板160通过气幕140到达第二处理区域122。气幕140在处理室110内提供各个处理区域之间的分隔。气幕被图示为带有截断内端的楔形部件,但将理解的是,气幕可以是适用于将处理区域保持隔离的任何形状。气幕140可以包括能够分隔各个处理区域的氛围的惰性气体和/或真空口的任何适当组合。在一些实施例中,气幕140依序包含真空口、惰性气体口及另一个真空口。在从第一处理区域121移动基板到第二处理区域122的过程中的某一时间点,基板的一个部分被暴露于第二处理区域,同时基板的另一个部分被暴露于第一处理区域121,并且中央部分在气幕140内。
一旦在第二处理区域122中,则可以使基板160暴露于可以完成ALD工艺的第二反应气体。例如,假使正在形成SiN膜,则第一反应气体可以是含硅前体,并且第二反应气体可以是含氮气体。
可以使基板160沿着箭头117指示的圆形路径连续横向移动,以使基板暴露于第三处理区域123、第四处理区域124、第五处理区域125、第六处理区域126及第七处理区域127并返回到装载区。在一些实施例中,装载区120、第二处理区域122、第四处理区域124及第六处理区域126每个皆使基板暴露于包含醇的第二反应气体,而且第一处理区域121、第三处理区域123、第五处理区域125及第七处理区域127每个皆使基板160暴露于第一反应气体。图2图示的实施例具有位于第一、第三、第五及第七处理区域上的楔形气体分配组件130,为了清楚起见将基座166上的基板160示出在气体分配组件130之间。然而,将理解的是,任何或所有的处理区域都可以具有气体分配组件130或其他的气体分配系统。
一旦已沉积了膜15,则可以进行进一步的处理。例如,可以进行第一基板表面12的去保护以移除甲硅烷基醚端基。这可以在沉积膜15之后通过任何可以从表面移除甲硅烷基醚端基的适当方法或技术来完成。进一步的处理可以在相同的处理室或不同的处理室中进行。
在一些实施例中,甲硅烷基胺暴露之后进行ALD沉积循环。在一些时间间隔处,蚀刻甲硅烷基醚并形成新的甲硅烷基醚层。在一些实施例中,在不超过约300个ALD循环、或200个ALD循环、或100个ALD循环、或75个ALD循环或50个ALD循环之后蚀刻甲硅烷基醚。在一个或更多个实施例中,在每100个ALD沉积循环之后进行蚀刻工艺,之后在连续沉积之前再次使用甲硅烷基胺进行处理。
在一些实施例中,工艺在批处理腔中进行。例如,在旋转工作台腔室中,其中一个或更多个晶片被放在旋转保持器(“工作台”)上。当工作台旋转时,晶片在各个处理区域之间移动。例如,在ALD中,处理区域会使晶片暴露于前体和反应物。此外,等离子体暴露可用于适当地处理膜或表面以增强膜的生长、或获得理想的膜性质。
本公开的一些实施例在单一处理室中处理具有第一表面和第二表面的基板,其中在腔室的第一部分中使基板表面暴露于甲硅烷基胺。然后可以将基板旋转到处理室的第二部分、和/或处理室的后续部分以沉积膜。在一些实施例中,可以将基板进一步旋转或移动到处理室的可以移除甲硅烷基醚端基的另一个部分。为了分隔处理室的每个或任何部分、或区域,可以采用气幕。气幕在处理区域之间提供净化气体和真空口中的一者或更多者,以防止反应气体从一个区域移动到相邻的区域。在一些实施例中,使基板同时暴露于超过一个处理区域,且基板的一个部分在第一区域(例如用于甲硅烷基胺暴露)中并且同时基板的另一个部分在处理室的分隔的区域中。
本公开的实施例可与线性处理系统或旋转处理系统一起使用。在线性处理系统中,等离子体离开壳体的区域的宽度在横跨正面的整个长度上大致是相同的。在旋转处理系统中,壳体可以大体为“派形的”或“楔形的”。在楔形的区段中,等离子体离开壳体的区域的宽度改变以符合派形。本说明书和所附权利要求中使用的用语“派形的”和“楔形的”可互换使用来描述大体为圆扇形的主体。例如,楔形区段可以是圆形或盘形物体的一小部分,并且可以具有截断点。派形区段的内缘可以来到一个点或可以被截断成平边或圆形。基板的路径可以垂直于进气口。在一些实施例中,每个气体注入器组件皆包含在大体上垂直于基板动作路径的方向上延伸的多个细长进气口。本说明书和所附权利要求中使用的用语“大体上垂直”意指基板的移动的大体方向是沿着大致垂直(例如约45°至90°)于进气口的轴的平面。对于楔形进气口来说,可以将进气口的轴视为进气口宽度的中点沿着进气口的长度延伸所限定的线。
本公开的其他实施例是针对处理多个基板的方法。该多个基板被装载到处理室中的基板支撑件上。基板支撑件被旋转以使该多个基板中的每个基板横跨气体分配组件通过,而使基板表面暴露于甲硅烷基胺、在基板上沉积膜、及可选地移除甲硅烷基醚层。
旋转料架的旋转可以是不间断或间断的。在不间断的处理中,晶片被不断地转动,使得晶片依次被暴露于每个注入器。在间断的处理中,晶片可被移到注入器区域并停止,然后到达注入器之间的区域并停止。例如,旋转料架可以旋转,使得晶片从注入器间区域移动穿过注入器(或邻近注入器而停止)并到达下一个注入器间区域上,于此旋转料架可以再次暂停。注入器之间的暂停可以为每个层沉积之间的附加处理(例如暴露于等离子体)提供时间。可以调整等离子体的频率,取决于正在使用的具体反应物种。适当的频率包括但不限于400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz及100MHz。
依据一个或更多个实施例,基板在形成层之前和/或之后经受处理。这个处理可以在同一腔室中或在一个或更多个分隔的处理室中进行。在一些实施例中,基板被从第一腔室移到用于进一步处理的、分隔的第二腔室。基板可以被直接从第一腔室移到该分隔的处理室,或基板可以被从第一腔室移到一个或更多个移送室,然后被移到该分隔的处理室。因此,处理装置可以包含与移送站通信的多个腔室。这种类型的装置可被称为“群集工具”或“群集系统”、及类似物。
一般来说,群集工具是包含多个腔室的模块化系统,该多个腔室执行各种功能,包括基板中心查找和定向、除气、退火、沉积和/或蚀刻。依据一个或更多个实施例,群集工具包括至少第一腔室和中央移送室。中央移送室可以容纳机器人,该机器人可以在处理室与装载锁腔室之间来回移动基板。移送室通常被保持在真空状态,并提供中间阶段以用于从一个腔室来回移动基板到另一个腔室和/或到被定位在群集工具前端的装载锁腔室。两种可适用于本公开的众所周知的群集工具是
Figure BDA0003305850790000101
Figure BDA0003305850790000102
皆可自美国加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.,of Santa Clara,Calif.)获得。一台这种阶段式真空基板处理装置的细节被公开于Tepman等人的、于1993年2月16日公告的、标题为“阶段式真空晶片处理装置和方法(Staged-Vacuum Wafer ProcessingApparatus and Method)”的美国专利第5,186,718号中。然而,为了进行本文所述工艺的具体步骤的目的,可以改变腔室的确切安排和组合。其他可以使用的处理室包括但不限于循环性层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、化学清洗、诸如RTP的热处理、等离子体氮化、除气、定向、羟基化及其他基板工艺。通过在群集工具上的腔室中执行工艺,可以在沉积后续膜之前、在没有氧化的情况下避免基板具有大气杂质的表面污染物。
依据一个或更多个实施例,基板连续处在真空或“装载锁”状态下,而且在被从一个腔室移到下一个腔室时不暴露于环境空气。移送室因此处在真空之下,并在真空压力下被“抽空”。惰性气体可以存在于处理室或移送室中。在一些实施例中,惰性气体被用于作为净化气体,以在基板的表面上形成层之后移除一些或全部的反应物。依据一个或更多个实施例,在沉积室的出口处注入净化气体,以防止反应物从沉积室移到移送室和/或其他的处理室。因此,惰性气体的流动在腔室的出口处形成帘幕。
在处理过程中,基板可以被加热或冷却。这种加热或冷却可以通过任何适当的手段来完成,该手段包括但不限于改变基板支撑件(例如基座)的温度及使加热或冷却气体流到基板表面。在一些实施例中,基板支撑件包括加热器/冷却器,该加热器/冷却器可被控制来传导式地改变基板温度。在一个或更多个实施例中,将正采用的气体(反应气体或惰性气体)加热或冷却,以局部改变基板温度。在一些实施例中,加热器/冷却器被定位在邻近基板表面的腔室内,以对流式地改变基板温度。
在处理过程中基板也可以是静止的或转动的。转动的基板可以被连续转动或在不连续的步骤中被转动。例如,基板可以在整个工艺从头至尾被转动,或者基板可以在暴露于不同的反应或净化气体之间少量转动。在处理过程中转动基板(连续地或依步骤地)可以有助于通过最小化例如气流几何形状中局部变异性的影响来产生更均匀的沉积或蚀刻。
三甲基甲硅烷基酰胺的制备
一种制备三甲基甲硅烷基酰胺化合物的方式是通过使用三甲基甲硅烷基氯化物来处理锂酰胺的盐置换。将反应方案图示于方程式1,
Figure BDA0003305850790000111
其中L可以等于任何胺或杂环胺。胺的一些具体示例是二甲胺、二乙胺、吡咯烷、吡唑及吡咯。
1-三甲基甲硅烷基吡咯烷的合成
方程式2显示被称为BL1的1-三甲基甲硅烷基吡咯烷的合成方案。将6.5mL(80mmol)的吡咯烷溶于250mL的己烷中,并将溶液冷却至-78℃。在15分钟的期间将50mL(80mmol)在己烷中的1.6M正丁基锂逐滴加入此溶液中。让所得的反应混合物缓慢到达室温并搅拌30分钟。然后将反应混合物再次冷却到-78℃,并在10分钟的期间加入20mL的、含有10.1mL(80mmol)三甲基甲硅烷基氯化物的己烷溶液。让反应混合物缓慢到达室温并搅拌12小时。在惰性氛围下过滤出白色沉淀物,并在真空下将己烷蒸发。在110℃、760托下将产物蒸馏为无色液体。产率:8.9g(77.5%)。1HNMR(400.18MHz,C6D6)2.87(m,4H),1.57(m,4H),0.11(s,9H)ppm;13CNMR(100.64MHz,C6D6)1.08ppm。
Figure BDA0003305850790000121
1-三甲基甲硅烷基吡咯的合成
方程式3显示也被称为BL2的1-三甲基甲硅烷基吡咯的合成方案。首先,将5.55mL(80mmol)的吡咯溶于250mL的己烷中,并将溶液冷却至-78℃。在15分钟的期间将50mL(80mmol)在己烷中的1.6M正丁基锂逐滴加入此溶液中。让所得的反应混合物缓慢到达室温并搅拌30分钟。然后将反应混合物再次冷却到-78℃,并在10分钟的期间加入10.1mL(80mmol)三甲基甲硅烷基氯化物的20mL己烷溶液。让反应混合物缓慢到达室温并搅拌12小时。在惰性氛围下过滤出白色沉淀物,并在真空下将己烷蒸发。在130℃、760托下将产物蒸馏为无色液体。产率:8.7g(78.2%)。1HNMR(400.18MHz,C6D6)6.74(s,2H),6.54(s,2H),0.07(s,9H)ppm;13CNMR(100.64MHz,C6D6)123.47,112.20,-0.01ppm。质谱仪:计算[M+H]+=140.1的m/Z,发现为140.2。
Figure BDA0003305850790000122
3,5-二甲基-1-三甲基甲硅烷基吡唑的合成
方程式4显示也被称为BL3的3,5-二甲基-1-三甲基甲硅烷基吡唑的合成方案。将7.7g(80mmol)的3,5-二甲基吡唑溶于250mL的己烷中,并将溶液冷却至-78℃。在15分钟的期间将50mL(80mmol)在己烷中的1.6M正丁基锂逐滴加入此溶液中。让所得的反应混合物缓慢到达室温并搅拌30分钟。然后将反应混合物再次冷却到-78℃,并在10分钟的期间加入10.1mL(80mmol)三甲基甲硅烷基氯化物的20mL己烷溶液。让反应混合物缓慢到达室温并搅拌12小时。在惰性氛围下过滤出白色沉淀物,并在真空下将己烷蒸发。在70℃、20托下将产物蒸馏为无色液体。产率:9.4g(70.0%)。1HNMR(400.18MHz,C6D6)5.80(S,1H),2.30(S,3H),2.00(s,3H),0.32(s,9H)ppm;13CNMR(100.64MHz,C6D6)151.97,146.04,108.40,32.54,23.63,1.02ppm。
Figure BDA0003305850790000131
依据1HNMR和13CNMR,合成制备、特征化、及性质展现良好的纯度和高产率。依据TGA分析,这些分子是挥发性的(最高蒸发率在90-137℃之间)和热稳定的,有~0%的残余物。
示例
通过在350℃下在蒸汽相中使用BL1处理基板(Si(H)、SiO2 1K、Si(天然氧化物))来测试作为封端层的三甲基甲硅烷基酰胺。然后在相同温度下进行SiN的ALD。使用的硅前体是四溴化硅,并且含氮前体包含氨(30T)。总共有100个循环。表1显示在2.4托下使用BL1浸泡不同时间长度的结果。表2显示在5托下浸泡30秒的结果。
表1
Figure BDA0003305850790000132
表2
Figure BDA0003305850790000133
当不使用BL1进行前处理时,观察到有很低的、相对于Si(H)、SiO2 1K及Si(天然O)的选择性。在2T下超过1分钟的BL1浸泡时间能够有非常好的选择性。依据接触角测量,只有极少或根本没有SiN沉积在SiO2和硅(天然O)基板上。这可通过在Si(H)上的亲水性对比在SiO2和硅(天然O)上的疏水性来验证。在30秒的BL1浸泡时间下,基于椭圆偏光法(Ellipsometry)仍有一些选择性,然而接触角非常相似,这可能表示全部三个表面上都有SiN。压力增加到5T持续30秒可恢复选择性。
还评估了作为循环数的函数的BL1的选择性。将结果收集在表3中。在100个循环之后,观察到~8.6(Si(H)vs SiO2)的选择性。100个循环之后选择性表现出降低。不受任何特定操作理论的约束,据信BL1封端层可促进持续约100个循环的成核延迟。
表3
Figure BDA0003305850790000141
虽然前述是针对本公开的实施例,但可以在不偏离本公开的基本范围下设计出本公开的其他的和进一步的实施例,而且本公开的范围由随后的权利要求决定。

Claims (20)

1.一种沉积膜的方法,所述方法包含以下步骤:
使基板暴露于甲硅烷基酰胺,以与羟基终端第一基板表面反应,而形成甲硅烷基醚终端表面,所述基板具有氢终端第二基板表面,所述第一表面和所述第二表面中的一者或更多者包含电介质;以及
通过使所述基板暴露于一种或更多种沉积气体来优先于所述第一表面选择性地在所述第二表面上形成膜。
2.如权利要求1所述的方法,其中所述甲硅烷基酰胺包含有机甲硅烷基酰胺。
3.如权利要求2所述的方法,其中所述有机甲硅烷基酰胺包含硅原子,所述硅原子大体上仅键结到碳和/或氮原子。
4.如权利要求2所述的方法,其中所述有机甲硅烷基酰胺大体上不含Si-H或Si-OH键。
5.如权利要求2所述的方法,其中所述有机甲硅烷基酰胺包含三甲基甲硅烷基酰胺、三乙基甲硅烷基酰胺、乙基二甲基甲硅烷基酰胺、和/或二乙基甲基甲硅烷基酰胺中的一者或更多者。
6.如权利要求1所述的方法,其中所述甲硅烷基酰胺包括酰胺,所述酰胺包含吡咯烷、吡咯、吡唑、二甲胺、二乙胺、乙基甲基胺、环状二级胺、饱和环胺和/或不饱和环胺中的一者或更多者。
7.如权利要求1所述的方法,其中所述甲硅烷基酰胺包含1-三甲基甲硅烷基吡咯烷、1-三甲基甲硅烷基吡咯和/或3,5-二甲基-1-三甲基甲硅烷基吡唑中的一者或更多者。
8.如权利要求1所述的方法,其中所述第一基板表面包含电介质。
9.如权利要求1所述的方法,进一步包含以下步骤:在所述第二表面上形成预定量的膜之后蚀刻所述甲硅烷基醚终端表面,之后再次暴露于甲硅烷基酰胺,以再次形成所述甲硅烷基醚终端表面和另外的膜形成。
10.如权利要求9所述的方法,其中在不超过300个原子层沉积循环之后蚀刻并再次形成所述甲硅烷基醚终端表面。
11.如权利要求1所述的方法,其中使所述基板暴露于所述甲硅烷基酰胺持续在约10秒至约60分钟的范围中的时间。
12.如权利要求1所述的方法,其中所述膜包含SiN。
13.如权利要求12所述的方法,其中所述膜是通过原子层沉积所沉积的,所述原子层沉积包含依次暴露于含硅气体和含氮气体。
14.如权利要求13所述的方法,其中所述含硅气体包含硅烷、乙硅烷、丙硅烷、一氯硅烷、二氯硅烷、三氯硅烷、四氯化硅、六氯乙硅烷(HCDS)、卤化碳硅烷中的一者或更多者。
15.如权利要求13所述的方法,其中所述含氮气体包含含氮等离子体、氨、胺、肼和/或碳氮化物中的一者或更多者。
16.一种沉积膜的方法,所述方法包含以下步骤:
提供基板,所述基板包含第一基板表面和第二基板表面,所述第一基板表面具有羟基终端表面,所述第二基板表面具有氢终端表面,所述第一基板表面和所述第二基板表面中的一者或更多者包含电介质;
使所述第一基板表面的所述羟基终端表面与甲硅烷基酰胺浸泡反应以形成甲硅烷基醚终端表面;以及
通过原子层沉积工艺来优先于所述第一基板表面选择性地在所述第二基板表面上沉积氮化硅膜,在所述原子层沉积工艺中,所述基板相继暴露于含硅气体和含氮气体。
17.如权利要求16所述的方法,其中所述甲硅烷基酰胺包含硅原子,所述硅原子大体上仅键结到碳和/或氮原子,并且大体上没有Si-H或Si-OH键,而且所述甲硅烷基酰胺包括酰胺,所述酰胺包含吡咯烷、吡咯、吡唑、二甲胺、二乙胺、乙基甲基胺、环状二级胺、饱和环胺和/或不饱和环胺中的一者或更多者。
18.如权利要求17所述的方法,其中所述甲硅烷基酰胺包含1-三甲基甲硅烷基吡咯烷、1-三甲基甲硅烷基吡咯和/或3,5-二甲基-1-三甲基甲硅烷基吡唑中的一者或更多者。
19.如权利要求18所述的方法,其中所述含硅气体包含硅烷、乙硅烷、丙硅烷、一氯硅烷、二氯硅烷、三氯硅烷、四氯化硅、六氯乙硅烷(HCDS)或卤化碳硅烷中的一者或更多者,并且所述含氮气体包含含氮等离子体、氨、胺、肼或碳氮化物中的一者或更多者。
20.一种沉积膜的方法,所述方法包含以下步骤:
提供基板,所述基板包含第一基板表面和第二基板表面,所述第一基板表面包括羟基终端表面,所述第二基板表面包括氢终端表面,所述第一基板表面和所述第二基板表面中的一者或更多者包含电介质;
使用甲硅烷基酰胺浸泡包括羟基终端第一基板表面和氢终端第二基板表面的基板,以与所述羟基终端第一基板表面反应,而形成甲硅烷基醚终端表面,所述甲硅烷基酰胺包含1-三甲基甲硅烷基吡咯烷、1-三甲基甲硅烷基吡咯或3,5-二甲基-1-三甲基甲硅烷基吡唑中的一者或更多者;以及
通过依次使所述基板在第一处理区域中暴露于含硅气体、将所述基板横向移动通过气幕到达第二处理区域、以及使所述基板在所述第二处理区域中暴露于含氮气体,来优先于所述第一基板表面选择性地在所述第二基板表面上形成氮化硅膜。
CN202111203384.3A 2015-05-01 2016-04-29 使用表面封端化学性质的薄膜电介质的选择性沉积 Pending CN113936994A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562155529P 2015-05-01 2015-05-01
US62/155,529 2015-05-01
CN201680025233.4A CN107533951B (zh) 2015-05-01 2016-04-29 使用表面封端化学性质的薄膜电介质的选择性沉积

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680025233.4A Division CN107533951B (zh) 2015-05-01 2016-04-29 使用表面封端化学性质的薄膜电介质的选择性沉积

Publications (1)

Publication Number Publication Date
CN113936994A true CN113936994A (zh) 2022-01-14

Family

ID=57204153

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680025233.4A Active CN107533951B (zh) 2015-05-01 2016-04-29 使用表面封端化学性质的薄膜电介质的选择性沉积
CN202111203384.3A Pending CN113936994A (zh) 2015-05-01 2016-04-29 使用表面封端化学性质的薄膜电介质的选择性沉积

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201680025233.4A Active CN107533951B (zh) 2015-05-01 2016-04-29 使用表面封端化学性质的薄膜电介质的选择性沉积

Country Status (6)

Country Link
US (2) US9911591B2 (zh)
JP (2) JP6751107B2 (zh)
KR (2) KR102579784B1 (zh)
CN (2) CN107533951B (zh)
TW (2) TWI694167B (zh)
WO (1) WO2016178978A1 (zh)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
KR20180045040A (ko) * 2015-09-19 2018-05-03 어플라이드 머티어리얼스, 인코포레이티드 하이드로실릴화 부동태화를 사용한 표면 선택적 원자 층 증착
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
TWI739984B (zh) 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
WO2018170382A1 (en) * 2017-03-17 2018-09-20 Versum Materials Us, Llc Selective deposition on silicon containing surfaces
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10355111B2 (en) 2017-04-26 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition selectivity enhancement and manufacturing method thereof
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20200007823A (ko) * 2017-05-16 2020-01-22 에이에스엠 아이피 홀딩 비.브이. 유전체 상에 옥사이드의 선택적 peald
TWI782021B (zh) * 2017-05-28 2022-11-01 美商應用材料股份有限公司 有機及混合有機無機層的選擇性分子層沉積
KR102331718B1 (ko) 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
TWI762194B (zh) 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
KR20200041829A (ko) * 2017-09-12 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 화학적 에칭에 의한 선택적 퇴적 결함들의 제거
JP6955090B2 (ja) * 2017-09-19 2021-10-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素上における誘電体の選択的堆積のための方法
US10403504B2 (en) * 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102394352B1 (ko) * 2017-10-06 2022-05-06 어플라이드 머티어리얼스, 인코포레이티드 금속 막들의 선택적 증착을 위한 방법들 및 전구체들
JP6956592B2 (ja) * 2017-10-31 2021-11-02 東京エレクトロン株式会社 シリコン酸化膜を形成する方法および装置
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR102358527B1 (ko) * 2017-12-17 2022-02-08 어플라이드 머티어리얼스, 인코포레이티드 선택적 증착에 의한 실리사이드 막들
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
WO2019229785A1 (ja) * 2018-05-28 2019-12-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
SG11202100492RA (en) * 2018-07-17 2021-03-30 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus, and program
KR102637397B1 (ko) * 2018-07-17 2024-02-16 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 기판 처리 장치, 프로그램 및 반도체 장치의 제조 방법
US11282710B2 (en) * 2018-08-27 2022-03-22 Versum Materials Us, Llc Selective deposition on silicon containing surfaces
US10665715B2 (en) 2018-08-28 2020-05-26 International Business Machines Corporation Controlling gate length of vertical transistors
US10840133B2 (en) * 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10692755B2 (en) 2018-10-24 2020-06-23 International Business Machines Corporation Selective deposition of dielectrics on ultra-low k dielectrics
US10886462B2 (en) 2018-11-19 2021-01-05 International Business Machines Corporation Encapsulated memory pillars
TWI757659B (zh) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
CN117265500A (zh) 2019-02-14 2023-12-22 恩特格里斯公司 氮化硅的选择性沉积
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7254044B2 (ja) * 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
US20220127717A1 (en) * 2020-10-27 2022-04-28 Applied Materials, Inc. Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
TW202235649A (zh) * 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
US11756790B2 (en) * 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
JP7339975B2 (ja) 2021-03-18 2023-09-06 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
US11702733B2 (en) 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2574822B2 (ja) * 1987-12-07 1997-01-22 株式会社日立製作所 半導体装置の製造方法
US6077643A (en) * 1997-08-28 2000-06-20 Shipley Company, L.L.C. Polymers and photoresist compositions
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
ES2267789T3 (es) * 2000-02-02 2007-03-16 Sumitomo Chemical Company, Limited Catalizador moldeado, su proceso de fabricacion y proceso para producir un compuesto de oxirano.
JP3797107B2 (ja) * 2000-02-02 2006-07-12 住友化学株式会社 触媒成型体、該触媒成型体の製造方法及びオキシラン化合物の製造方法
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US7132360B2 (en) * 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US20060199399A1 (en) * 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8029698B2 (en) * 2005-04-19 2011-10-04 The Research Foundation Of State University Of New York Production of photoluminescent silicon nanoparticles having surfaces that are essentially free of residual oxygen
US8084087B2 (en) * 2007-02-14 2011-12-27 The Board Of Trustees Of The Leland Stanford Junior University Fabrication method of size-controlled, spatially distributed nanostructures by atomic layer deposition
US9651487B2 (en) * 2007-02-26 2017-05-16 Wisconsin Alumni Research Foundation Surface plasmon resonance compatible carbon thin films
CN101641790B (zh) 2007-03-23 2011-05-18 旭化成微电子株式会社 化合物半导体层叠体及其制造方法以及半导体器件
US7763399B2 (en) * 2007-08-31 2010-07-27 Intel Corporation Removal of ionic residues or oxides and prevention of photo-induced defects, ionic crystal or oxide growth on photolithographic surfaces
KR101096031B1 (ko) * 2009-03-31 2011-12-19 한양대학교 산학협력단 자기조립단분자막 형성방법과 이를 이용한 반도체 소자의 구리배선 및 그의 형성방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8230720B2 (en) * 2009-11-19 2012-07-31 Honeywell International Inc. Functionalized monolayers for carbon dioxide detection by a resonant nanosensor
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
EP2398044A3 (en) * 2010-06-17 2014-07-02 Katholieke Universiteit Leuven, K.U.L. Leuven R&D Method for passivating a silicon surface
JPWO2012002440A1 (ja) * 2010-06-29 2013-08-29 京セラ株式会社 半導体基板の表面処理方法、半導体基板、および太陽電池の製造方法
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적

Also Published As

Publication number Publication date
CN107533951A (zh) 2018-01-02
JP2018523289A (ja) 2018-08-16
TW202043524A (zh) 2020-12-01
US20180199432A1 (en) 2018-07-12
JP2020205429A (ja) 2020-12-24
KR20160130165A (ko) 2016-11-10
TW201700768A (zh) 2017-01-01
US9911591B2 (en) 2018-03-06
JP7087031B2 (ja) 2022-06-20
WO2016178978A1 (en) 2016-11-10
CN107533951B (zh) 2021-10-26
TWI694167B (zh) 2020-05-21
KR102579784B1 (ko) 2023-09-15
KR20230132760A (ko) 2023-09-18
JP6751107B2 (ja) 2020-09-02
US20160322213A1 (en) 2016-11-03
US10219373B2 (en) 2019-02-26
TWI717260B (zh) 2021-01-21

Similar Documents

Publication Publication Date Title
CN107533951B (zh) 使用表面封端化学性质的薄膜电介质的选择性沉积
KR101845279B1 (ko) Si-포함 필름 형성 조성물 및 이의 사용 방법
JP4174424B2 (ja) 一連の堆積技術を用いる耐火性金属層を堆積する方法
CN108028172B (zh) 使用硅氢加成钝化的表面选择性原子层沉积
KR20170069239A (ko) 고온 실리콘 옥사이드 원자층 증착 기술
US20190326114A1 (en) Methods of treating a substrate to form a layer thereon for application in selective deposition processes
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US20160133460A1 (en) Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
WO2012106612A2 (en) In-situ hydroxylation system
WO2013052145A1 (en) In-situ hydroxylation apparatus
TWI640651B (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination