TWI782021B - 有機及混合有機無機層的選擇性分子層沉積 - Google Patents

有機及混合有機無機層的選擇性分子層沉積 Download PDF

Info

Publication number
TWI782021B
TWI782021B TW107117155A TW107117155A TWI782021B TW I782021 B TWI782021 B TW I782021B TW 107117155 A TW107117155 A TW 107117155A TW 107117155 A TW107117155 A TW 107117155A TW I782021 B TWI782021 B TW I782021B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
certain embodiments
processing chamber
deposition
Prior art date
Application number
TW107117155A
Other languages
English (en)
Other versions
TW201900920A (zh
Inventor
坦帕許 查卡柏地
羅伯特詹 維瑟爾
皮耶納 葛拉迪亞
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201900920A publication Critical patent/TW201900920A/zh
Application granted granted Critical
Publication of TWI782021B publication Critical patent/TWI782021B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase

Abstract

揭露內容的實施例關於選擇性沉積有機及混合有機/無機層的方法。更明確地,揭露內容的實施例關於改變羥基封端表面的方法,用於分子層有機及混合有機/無機膜的選擇性沉積。揭露內容的額外實施例關於用於分子層沉積製程的環狀化合物。

Description

有機及混合有機無機層的選擇性分子層沉積
揭露內容的實施例關於選擇性沉積有機及混合有機/無機層的方法。更明確地,揭露內容的實施例關於改變羥基封端表面的方法,用於分子層有機及混合有機/無機膜的選擇性沉積。揭露內容的額外實施例關於用於分子層沉積製程的環狀化合物。
半導體工業主要基於無機化學原理。在大多數情況下,該領域的創新主要圍繞新的金屬沉積技術、金屬錯合物的各種物理性質的新配體以及尋求使半導體更有效、更精細圖案化和更容易生產的設備和製程。
半導體製造的一個經常未被探索的領域是碳基膜領域。這些膜通常還包含其他常見的雜原子,包括氮、氧和硫。它們可用於半導體圖案化、CD收縮和可圖案化半導體隔離物。
碳膜的生成和沉積可以藉由分子層沉積(MLD)進行。它是藉由將基板暴露於一系列反應性前驅物質來實現的,以致製程產生了一系列依序自我限制表面反應。每個前驅物被引入處理腔室,允許與基板表面完全反應,然後在引入下一個前驅物物之前進行清除。在這方面,MLD相似於原子層沉積(ALD)。
在MLD製程中產生的膜通常是聚合的、含有相同的重複分子單元,它們在每個前驅物循環後保持附著至基板。
一般而言,在MLD中使用的前驅物具有至少兩個功能部分(moieties)。在某些實施例中,這些部分係相同的(例如,皆為胺或皆為醯基鹵化物)。舉例而言,具有兩個醯基氯化物基團的前驅物可與具有兩個胺基的前驅物一起使用以形成聚醯胺。在此實例中,醯基氯化物前驅物可與胺基封端表面反應。未反應的醯基氯化物部分可用於與胺基部分的一者反應。未反應的胺基部分可用於與醯基氯化物部分反應。循環可以繼續直到產生預定的鏈長。
在這些實施例中,必須小心,以便給定的前驅物僅與基板表面反應一次。如果前驅物的兩個功能部分都與表面發生反應,則膜不能再生長任何厚度,這是因為不再有進行反應的可用部分。因此,沉積的材料形成附著至基板的長鏈有機材料。當放置在基板表面上附近時,這些鏈形成有機膜。這些前驅物也可包含代替原子的無機原子或金屬有機變體(例如,三甲基鋁可用於生產烷氧基鋁(alucone))。在這種情況下,所產生的膜將是混合有機/無機膜。
因此,本領域一直需要對膜生長提供控制的分子層沉積的前驅物和方法。
揭露內容的一個或多個實施例關於沉積膜的方法,方法包括提供基板,基板包括具有氫封端表面的第一材料以及具有羥基封端表面的第二材料;暴露基板至修飾化合物,修飾化合物包括修飾物種,修飾物種與第二材料的羥基封端表面反應以在第二材料上形成經修飾的第二表面;及暴露基板至一個或多個沉積氣體,沉積氣體和第二材料的經修飾第二表面反應以在第二材料上形成膜;其中修飾物種的通式為(RO)3 Si-L-Si(OR)3 ,其中每個R獨立地選自C1 -C4 烷基,L是芳族部分或包含1-3個碳原子的碳鏈。
揭露內容的額外實施例關於分子層沉積方法, 包括暴露基板表面至第一沉積氣體,第一沉積氣體包括具有下列一般結構的化合物:
Figure 02_image001
其中第一沉積氣體的環打開,第一沉積氣體吸附到基板表面,L是包含至少1個碳原子的連接基團,而第一沉積氣體吸附到基板表面(即,與基板表面反應);且暴露基板表面上的第一沉積氣體到至少一第二沉積氣體以在基板表面上形成分子層。
揭露內容的進一步實施例關於分子層沉積方法,包括暴露基板表面至第一沉積氣體,第一沉積氣體包括下列一或多者
Figure 02_image003
Figure 02_image005
其中第一沉積氣體的環打開,且第一沉積氣體吸附到基板表面(即,與基板表面反應);且暴露基板表面上的第一沉積氣體到至少一第二沉積氣體以在基板表面上形成分子層。
揭露內容的實施例提供選擇性沉積包含有機或混合有機/無機層之分子層的方法。各種實施例的製程使用類似於原子層沉積(ALD)的分子層沉積技術在基板上提供分子層。
本文所用的「基板表面」指的是上方執行膜處理的基板任何部分或形成在基板上的材料表面的部分。舉例而言,上方可執行處理的基板表面包括的材料諸如矽、氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石和任何其他材料,諸如金屬、金屬氮化物、金屬合金和其他導電材料,取決於應用。基板包括但不限於半導體晶圓。基板可暴露至預處理製程以研磨、蝕刻、還原、氧化、羥化、退火、UV固化、電子束固化與/或烘烤基板表面。除了直接在基板本身的表面上的膜處理,在本發明中,所揭露的任何膜處理步驟也可在基板上形成之底層(如下面更詳細揭露)上進行,且詞彙「基板表面」旨在包括如內文中指出的上述底層。因此,舉例而言,當膜/層或部分膜/層已經沉積至基板表面上時,新沉積膜/層的暴露表面變為基板表面。基板可具有各種尺寸,諸如200mm或300mm直徑晶圓以及矩形或方形窗格基板(panes)。在某些實施例中,基板包括剛性的離散材料。
本文所用的「原子層沉積」是指依次暴露基板於兩個或多個沉積氣體以在基板表面上沉積一層材料。如在本說明書和隨附申請專利範圍中所使用的,詞彙「反應性化合物」、「反應性氣體」、「反應性物種」、「前驅物」、「製程氣體」、「沉積氣體」等等可互換地用於表示具有能夠與基板表面或基板表面上的材料在化學反應(諸如,替代、消除、添加、氧化、還原)中反應的物種的物質。基板或基板的一部分依次暴露於被引入處理腔室的反應區域的兩個或多個反應性化合物。在時域製程中,藉由時間延遲分隔每種反應性化合物的暴露,以使每種化合物與基板表面反應,然後從處理腔室中清除。在空間製程中,基板表面或基板表面上的材料的不同部分同時暴露於兩種或更多種反應性化合物,使得基板上的任何給定點實質上不同時暴露於多於一種反應性化合物。如在本說明書和隨附申請專利範圍中所使用的,如本領域技術人員將理解的,在此方面使用的詞彙「實質上」是指有可能因為擴散使基板的一小部分同時暴露於多個反應性氣體,且同時暴露是無意的。
在時域ALD製程中,藉由時間延遲分隔每種反應性化合物的暴露,以使每種化合物吸附於基板表面與/或與基板表面反應,然後從處理腔室中清除。藉由在隨後的暴露之間清除處理腔室來防止反應性氣體混合。
在空間ALD製程中,反應性氣體流入處理腔室內的不同處理區域。不同的處理區域與相鄰的處理區域分開,使得反應性氣體不會混合。基板可在處理區域之間移動,以將基板分別暴露於處理氣體。在基板移動過程中,基板表面或基板表面上的材料的不同部分暴露於兩種或更多種反應性化合物,使得基板上的任何給定點實質上不同時暴露於多於一種反應性化合物。如本領域技術人員將理解的,有可能因為處理腔室中之氣體的擴散使基板的一小部分同時暴露於多個反應性氣體,且除非另有說明否則同時暴露是無意的。
在時域製程的一態樣中,第一反應性氣體(即,第一前驅物或化合物A)脈衝進入反應區域,然後是第一時間延遲。隨後,第二前驅物或化合物B脈衝進入反應區域,然後是第二延遲。在每次時間延遲過程中,淨化氣體(例如,氬)被引入處理腔室中以清除反應區域或以其他方式從反應區域去除任何殘留的反應性化合物或反應副產物。或者,淨化氣體可在整個沉積製程中連續流動,使得只有淨化氣體在反應性化合物的脈沖之間的時間延遲過程中流動。反應性化合物交替地脈衝直到在基板表面上形成所需的分子層或層厚度。無論哪種情況,脈衝化合物A、淨化氣體、化合物B和淨化氣體的製程都是一個循環。循環可以從化合物A或化合物B開始,並繼續循環的相應順序,直到達到具有預定厚度的膜。
在空間製程的實施例中,第一反應性氣體和第二反應性氣體同時輸送到反應區域,但被惰性氣簾和/或真空氣簾隔開。基板相對於氣體輸送設備移動,使得基板上的任何給定點暴露於第一反應性氣體和第二反應性氣體,儘管不同時暴露。
揭露內容的一個或多個實施例使用稱為分子層沉積(MLD)的原子層沉積(ALD)的變體。MLD製程可用於沉積有機和/或混合有機/無機聚合物,諸如聚亞醯胺、聚醯胺、聚脲或穿插有金屬原子的聚合物。舉例而言,聚脲的MLD可藉由交替暴露1,4-二異氰酸丁酯與各種胺(諸如,乙二胺或三(2-胺基乙基)胺)來進行。反應性部分,諸如異氰酸基團(參見反應式1(Equation 1))、醛或酮(參見反應式2(Equation 2))、醯基氯化物(參見反應式3(Equation 3))和酐(參見反應式4(Equation 4)),可參與MLD反應中與各種胺類(例如,乙二胺)的反應。
Figure 02_image007
反應式1-4顯示胺與異氰酸酯、酮、醯基氯化物和酐之間發生的常見有機反應。
在某些實施例中,反應物至少是雙官能團的,使得一個官能團可與基板表面反應,而另一個官能團可保持未反應以參與隨後的MLD半反應。
在某些實施例中,一種或多種反應物包含彼此不同的多種官能團。如在本說明書和隨附申請專利範圍中所使用的,這些反應物可描述成異雙官能團的。舉例而言,異雙官能團反應物可包括胺官能團和羧酸官能團兩者。在某些實施例中,至少一反應物包括4-胺基苯甲酸或4-胺基苯甲醯氯。在某些實施例中,至少一反應物基本上由4-胺基苯甲酸所構成。在某些實施例中,至少一反應物基本上由4-胺基苯甲醯氯所構成。
在某些實施例中,一個或多個反應物包括經保護的官能團,經保護的官能團可被移除以暴露反應性官能團。在某些實施例中,至少一反應物包括4-胺基苯甲酸甲酯。
在某些實施例中,經保護的官能團可藉由與額外試劑的化學反應去除。在某些實施例中,經保護的官能團可藉由使用熱量或輻射去除。
雖然本領域技術人員將認識到額外的實例,但是可以藉由以下製程來描述移除經保護的官能團的一個實例。包括亞胺基團的反應物暴露至基板並透過不同的反應性基團結合至基板。隨後,亞胺基團與水反應以暴露胺基團。
不受理論束縛,異雙官能團反應物和具有經保護官能團的反應物的使用降低了單一反應物分子無意中與多個基板表面位點同時反應的可能性。
揭露內容的一個或多個實施例關於沉積膜的方法。方法包括提供基板,基板包括具有氫封端表面的第一材料和具有羥基封端表面的第二材料。基板暴露至修飾化合物,修飾化合物與第一材料的羥基封端表面反應以形成經修飾的表面。基板隨後暴露至一個或多個沉積氣體,沉積氣體與經修飾的表面反應以在第一材料上形成膜。修飾化合物包括通式為X3 Si-L-CN的修飾物種,其中X是鹵素而L是芳族部分或包含1-3個碳原子的碳鏈。在某些實施例中,修飾化合物或修飾物種包括烯基或炔基的一者或多者。換句話說,在某些實施例中,修飾化合物或修飾物種含有不飽和的碳-碳鍵。
在某些實施例中,第一材料包括矽而第二材料包括氧化矽。在某些實施例中,X基本上由氯所構成。
如在本說明書和隨附申請專利範圍中所使用的,詞彙「基本上由……所構成」是指大於或等於約95%、98%或99%的特定基團是所述的取代基,基於相關取代基的總數所計。
在某些實施例中,L基本上由帶有3個碳的烷基所構成。在某些實施例中,L基本上由–(CH2 )3 –所構成。在某些實施例中,L基本上由兩個亞甲基單元(–(CH2 )2 -)所構成。在某些實施例中,L基本上由一個亞甲基單元所構成。在某些實施例中,L包括多於一個碳原子並含有至少一個雙鍵。在某些實施例中,L基本上由–CH=CH–所構成。在某些實施例中,L基本上由–CH=CH-CH2 -所構成。在某些實施例中,L包括多於一個碳原子與三鍵。
在某些實施例中,L基本上由苯基所構成。在某些實施例中,苯基在對位被-SiX3 基團和-CN基團取代。換句話說,在某些實施例中,鹵代甲矽基基團(-SiX3 )和氰基基團(-CN)以對位構型(即,苯環的相對側)連接至苯基。在某些實施例中,苯基在間位被鹵代甲矽基基團和氰基基團取代。
在某些實施例中,在暴露至一個或多個沉積氣體之前,將經修飾表面進一步暴露至酸以形成羧酸封端表面。在某些實施例中,經修飾表面暴露的酸包括HCl或HNO3 的一者或多者。在某些實施例中,酸基本上由HCl所構成。在某些實施例中,酸基本上由HNO3 所構成。
在某些實施例中,在暴露至一個或多個沉積氣體之前,將經修飾表面進一步暴露至還原劑以形成胺封端表面。在某些實施例中,經修飾表面暴露的還原劑包括氫。在某些實施例中,還原劑基本上由氫所構成。
參照圖7,揭露內容的某些實施例關於沉積膜的方法。方法包括提供基板710,基板710包括具有氫封端表面的第一材料711和具有羥基封端表面的第二材料712。將基板710以及因此第一材料711和第二材料712兩者暴露至修飾化合物,修飾化合物包括與第二材料712的羥基封端表面反應以在第二材料712上形成第二經修飾表面的修飾物種。基板暴露至一個或多個沉積氣體(未圖示),沉積氣體與第二材料712的第二經修飾表面反應以在第二材料712上形成膜。修飾物種具有通式(RO)3 Si-L-Si(OR)3 ,其中每個R獨立地選自C1-C4烷基基團,而L是芳族部分或包含1-3個碳原子的碳鏈。
以這種方式使用,字母「C」後跟數字(例如,「C4」)表示取代基包括指定數目的碳原子(例如,C4包括四個碳原子)。烷基基團可是直鏈基團(例如,正丁基),支鏈基團(例如,叔丁基)。
在某些實施例中,第一材料包括矽而第二材料包括氧化矽。在某些實施例中,R基本上由甲基所構成。如在本說明書和隨附申請專利範圍中所使用的,詞彙「R基本上由……所構成」是指大於或等於以莫耳計約95%、98%或99%的R基團是所述的取代基。在某些實施例中,R基本上由甲基和乙基基團所構成。在某些實施例中,R基本上由乙基基團所構成。在某些實施例中,至少一個R基團是甲基基團。在某些實施例中,至少一個R基團是乙基基團。在某些實施例中,至少一個R基團是丙基基團。在某些實施例中,至少一個R基團是丁基基團(諸如,正丁基、異丁基、仲丁基、叔丁基)。
在某些實施例中,L基本上由具有2個碳的烷基基團所構成。在某些實施例中,L基本上由–(CH2 )2 –所構成。在某些實施例中,L基本上由三個亞甲基單位(–(CH2 )3 -)所構成。在某些實施例中,L基本上由一個亞甲基單位所構成。在某些實施例中,L包括多於一個碳原子並含有至少一個雙鍵。在某些實施例中,L基本上由–CH=CH–所構成。在某些實施例中,L基本上由–CH=CH-CH2 -所構成。在某些實施例中,L包括多於一個碳原子與三鍵。
在某些實施例中,L基本上由苯基所構成。在某些實施例中,苯基在對位被-Si(R)3 基團取代。換句話說,在某些實施例中,烷氧基矽烷基團(-Si(OR)3 )以對位構型(即,苯環的相對側)連接至苯基。在某些實施例中,苯基在間位被烷氧基矽烷基團取代。
在某些實施例中,將第二經修飾表面暴露至一個或多個沉積氣體,沉積氣體與第二經修飾表面反應以在第二材料上形成膜。所用的沉積氣體可包括任何適當的沉積反應物。在某些實施例中,沉積反應物經選擇以在基板的第二材料上形成有機聚合物膜。在某些實施例中,沉積反應物經選擇以在基板的第二材料上形成混合有機/無機聚合物膜。
在某些實施例中,沉積反應物經選擇以在基板的第二材料上形成含金屬膜。在某些實施例中,含金屬膜可包括金屬、金屬氮化物、金屬碳化物、金屬氧化物或金屬合金。
在某些實施例中,沉積反應物包括修飾物種。在某些實施例中,沉積反應物不包括修飾化合物或修飾物種。在某些實施例中,基板僅在暴露於沉積反應物之前暴露於修飾化合物。
在某些實施例中,沉積於基板上的膜包括修飾物種的底部單層。
揭露內容的一個或多個實施例關於分子層沉積方法,方法包括暴露基板表面至第一沉積氣體,第一沉積氣體包括具有下列通式的一個或多個化合物:
Figure 02_image008
其中第一沉積氣體的環打開,且第一沉積氣體吸附到基板表面,L是包含至少1個碳原子的連接基團;且暴露基板表面上的第一沉積氣體到第二沉積氣體以在基板表面上形成分子層。
連接基團可具有骨架和取代基。連接基團的骨架是連接碳酸根氧原子的最短原子鏈。取代基是連接到骨架的除氫之外的原子或原子團。在某些實施例中,連接基團包含總共1、2、3、4、5、6、7、8、9、10、11或12個碳原子。在某些實施例中,連接基團的骨架具有1、2、3、4、5、6或7個原子。在某些實施例中,連接基團基本上由碳原子和氫原子所構成。在某些實施例中,連接基團的骨架基本上由碳原子和氫原子所構成。在某些實施例中,連接基團被一個或多個C1-C6烷基基團或芳基基團取代。在某些實施例中,連接基團包括總共3個碳原子。在某些實施例中,連接基團被一個或多個鹵素原子取代。在某些實施例中,連接基團包括一個或多個羰基基團。在某些實施例中,連接基團包括至少一個雙鍵。
在某些實施例中,第一沉積氣體基本上由根據式I的化合物所構成:
Figure 02_image009
(I)
在某些實施例中,第一沉積氣體基本上由根據式II的化合物所構成:
Figure 02_image011
(II)
揭露內容的一個或多個實施例關於分子層沉積方法,方法包括暴露基板表面至第一沉積氣體,第一沉積氣體包括下列一者或多者:
Figure 02_image003
Figure 02_image005
其中第一沉積氣體的環打開,且第一沉積氣體與基板表面反應;且暴露基板表面上的第一沉積氣體到第二沉積氣體以在基板表面上形成分子層。
在某些實施例中,第一沉積氣體基本上由根據式III的化合物所構成:
Figure 02_image013
(III)
在某些實施例中,第一沉積氣體基本上由根據式IV的化合物所構成:
Figure 02_image015
(IV)
不受理論或方案(V)的機制的約束,第一沉積氣體的開環製程可以如下與胺封端表面反應來舉例說明:
Figure 02_image017
+
Figure 02_image019
Figure 02_image021
(V)
不受理論的約束,在MLD製程中使用開環反應降低了單一反應物分子與多個基板表面位點同時反應的可能性。
揭露內容的一個或多個實施例關於用於沉積混合有機/無機層的分子層沉積方法。方法包括暴露基板表面至第一沉積氣體,第一沉積氣體包括無機前驅物。
如在本說明書和隨附申請專利範圍中所使用的,「無機前驅物」是包含非金屬以外的原子的任何MLD反應物。換句話說,無機前驅物包括金屬或類金屬的至少一個原子。在某些實施例中,無機前驅物包括過渡金屬。在某些實施例中,無機前驅物包括過渡後(post-transition)金屬。在某些實施例中,無機前驅物包括鋁、鋅、鈦、矽、鐵、鎳、鈷或鉻中的一者或多者。
在某些實施例中,第一沉積氣體包括三甲基鋁(TMA)、二乙基鋅(DEZ)、四(二甲基醯胺基)鈦(TDMAT)、四(二甲基醯胺基)矽(TDMAS)或雙(環戊二烯基)M(II)的一者或多者,其中M是鐵、鎳、鈷或鉻。在某些實施例中,可使用的多種有機前驅物包括(但不限於)乙二胺、乙醇胺和環狀有機前驅物。
參照圖式,圖1顯示根據跟揭露內的一個或多個實施例的處理平臺100。圖1中所示的實施例僅僅代表一種可能的配置,並且不應該將其視為限制本揭露內容的範圍。舉例而言,在某些實施例中,處理平臺100具有不同數量的處理腔室、緩衝腔室和機器人配置。
處理平臺100包括中央轉移站110,中央轉移站110具有複數個側面111、112、113、114、115、116。所示的轉移站110具有第一側面111、第二側面112、第三側面113、第四側面114、第五側面115和第六側面116。儘管示出了六個側面,但是本領域技術人員將理解,轉移站110可以具有任何合適數量的側面,取決於例如處理平台100的整體配置。
轉移站110具有機器人117配置於轉移站110中。機器人117可為能夠在處理過程中移動晶圓的任何合適機器人。在某些實施例中,機器人117具有第一臂118和第二臂119。第一臂118和第二臂119可獨立於其他臂移動。第一臂118和第二臂119可在x-y平面和/或沿z軸移動。在某些實施例中,機器人117包括第三臂或第四臂(未圖示)。每個臂可獨立於其他臂移動。
批次處理腔室120可連接至中央轉移站110的第一側面111。批次處理腔室120可配置為在批次時間一次處理x個晶圓。在某些實施例中,批次處理腔室120可配置為在相同時間處理約4個(x=4)至約12個(x=12)範圍中的晶圓。在某些實施例中,批次處理腔室120配置為在相同時間處理6個(x=6)晶圓。如本領域技術人員將理解的,雖然批次處理腔室120可在加載/卸載個別晶圓之間處理多個晶圓,每個晶圓可在任何給定時間經受不同的製程條件。舉例而言,空間原子層沉積腔室(如圖2至6中所示)將晶圓暴露於不同處理區域中的不同製程條件,以便在晶圓移動穿過每個區域時完成製程。
圖2顯示包括氣體分配組件220(也稱為噴射器或噴射器組件)和基座組件240的處理腔室200的橫剖面。氣體分配組件220是處理腔室中使用的任何類型的氣體輸送裝置。氣體分配組件220包括面對基座組件240的正面221。正面221可具有任何數量或種類的開口以輸送氣流朝向基座組件240。氣體分配組件220亦包括外邊緣224,外邊緣224在所示實施例中係實質上圓形。
所使用的氣體分配組件220的特定類型可根據所使用的特定製程而變化。揭露內容的實施例可與任何類型的處理系統一起使用,其中控制基座和氣體分配組件之間的間隙。雖然可應用多種類型的氣體分配組件(例如,噴頭),但揭露內容的實施例對於具有複數個實質上平行的氣體通道的空間氣體分配組件可能特別有用。如在本說明書和隨附申請專利範圍中所使用的,詞彙「實質上平行」是指氣體通道的細長軸線沿相同的總體方向延伸。氣體通道的平行性可能存在輕微的缺陷。在二元反應中,複數個實質上平行氣體通道可包括至少一第一反應性氣體A通道、至少一第二反應性氣體B通道、至少一淨化氣體P通道和/或至少一真空V通道。流自第一反應性氣體A通道、第二反應性氣體B通道和淨化氣體P通道的氣體指向晶圓的頂表面。某些氣流水平地穿過晶圓的表面並經由淨化氣體P通道移出處理區域。從氣體分配組件的一端移動到另一端的基板將依次暴露於每個製程氣體,在基板表面上形成一層。
在某些實施例中,氣體分配組件220是由單個噴射器單元製成的剛性固定主體。在一個或多個實施例中,如圖3所示,氣體分配組件220由複數個單獨的扇區(例如,噴射器單元222)組成。單件主體或多扇區主體可以與所描述的揭露內容的各種實施例一起使用。
基座組件240位於氣體分配組件220下方。基座組件240包括頂表面241和頂表面241中的至少一凹部242。基座組件240亦具有底表面243和邊緣244。凹部242可為任何合適的形狀和尺寸,這取決於被處理的基板60的形狀和尺寸。在圖2中所示的實施例中,凹部242具有平坦底部以支撐晶圓的底部;然而,凹部的底部可有所變化。在某些實施例中,凹部在凹部的外周邊緣周圍具有階梯區域,其尺寸設計成支撐晶圓的外周邊緣。由階梯支撐的晶圓的外周邊緣的量可根據例如晶圓的厚度和已存在於晶圓的後側面上的特徵的存在而變化。
在某些實施例中,如圖2中所示,基座組件240的頂表面241中的凹部242的尺寸使得支撐在凹部242中的基板60的頂表面61與基座240的頂表面241實質上共面。如在本說明書和隨附申請專利範圍中所使用的,詞彙「實質上共面」是指晶圓的頂表面和基座組件的頂表面在±0.2mm內共面。在某些實施例中,該些頂表面在0.5 mm、± 0.4 mm、± 0.35 mm、± 0.30 mm、± 0.25 mm、± 0.20 mm、± 0.15 mm、± 0.10 mm或± 0.05 mm內共面。
圖2的基座組件240包括能夠提升、降低和旋轉基座組件240的支撐柱260。基座組件可在支撐柱260的中心中包括加熱器或氣體管線或電子部件。支撐柱260可為增加或減少基座組件240和氣體分配組件220之間的間隙的主要手段,將基座組件240移動到適當的位置。基座組件240還可以包括微調致動器262,微調致動器262可對基座組件240進行微調,以在基座組件240和氣體分配組件220之間產生預定的間隙270。
在某些實施例中,間隙270距離在約0.1 mm至約5.0 mm的範圍中、或在約0.1 mm至約3.0 mm的範圍中、或在約0.1 mm至約2.0 mm的範圍中、或在約0.2 mm至約1.8 mm的範圍中、或在約0.3 mm至約1.7 mm的範圍中、或在約0.4 mm至約1.6 mm的範圍中、或在約0.5 mm至約1.5 mm的範圍中、或在約0.6 mm至約1.4 mm的範圍中、或在約0.7 mm至約1.3 mm的範圍中、或在約0.8 mm至約1.2 mm的範圍中、或在約0.9 mm至約1.1 mm的範圍中、或約1 mm。
圖式中所示的處理腔室200係轉盤式腔室,其中基座組件240可固持複數個基板60。如圖3中所示,氣體分配組件220可包括複數個單獨的噴射器單元222,當晶圓在註射器單元下方移動時,每個噴射器單元222能夠在晶圓上沉積膜。示出了兩個餅形噴射器單元222位於基座組件240的大致相對側上。此數量的噴射器單元222僅出於說明性目的而示出。應該理解,可以包括更多或更少的噴射器單元222。在某些實施例中,有足夠數量的餅形噴射器單元222,以形成符合基座組件240的形狀的形狀。在某些實施例中,每個單獨的餅形噴射器單元222可獨立地移動、移除和/或更換而不影響任何其他噴射器單元222。舉例而言,可以升高一個分段部以允許機器人進入基座組件240和氣體分配組件220之間的區域以裝載/卸載基板60。
具有多個氣體噴射器的處理腔室可用於同時處理多個晶圓,使得晶圓經歷相同的製程流程。舉例而言,如圖4中所示,處理腔室200具有四個氣體噴射器組件和四個基板60。在處理開始時,基板60可定位在氣體分配組件220之間。將基座組件240旋轉(以17表示)45°將導致位於氣體分配組件220之間的每個基板60移動到用於膜沉積的氣體分配組件220,如氣體分配組件220下方的虛線圓圈所示。額外的45°旋轉將使基板60移動離開氣體分配組件220。基板60和氣體分配組件220的數目可為相同或不同的。在某些實施例中,具有與氣體分配組件相同數目的晶圓接受處理。在一個或多個實施例中,被處理的晶圓的數目是氣體分配組件的數目的小部分或整數倍。舉例而言,如果有四個氣體分配組件,則處理4x晶圓,其中x是大於或等於1的整數。在示範性實施例中,氣體分配組件220包括由氣簾隔開的八個製程區域且基座組件240可以固持六個晶圓。
圖4中所示的處理腔室200僅僅代表一種可能的配置,並且不應該將其視為限制本揭露內容的範圍。這裡,處理腔室200包括複數個氣體分配組件220。在所示實施例中,有四個氣體分配組件220(也稱為噴射器組件)均勻間隔圍繞處理腔室200。所示的處理腔室200是八角形;然而,本領域技術人員將理解這是一種可能的形狀,且不應視為限制本揭露內容的範圍。所示的氣體分配組件220是梯形,但是可為單個圓形部件或如圖3中所示由複數個餅形分段部組成。
圖4中所示的實施例包括裝載閘腔室280或像緩衝站那樣的輔助腔室。此腔室280連接到處理腔室200的側面,以允許例如基板(也稱為基板60)從腔室200裝載/卸載。晶圓機器人可定位在腔室280中以將基板移動到基座上。
轉盤(例如,基座組件240)的旋轉可以是連續的或間歇的(不連續的)。在連續處理中,晶圓不斷旋轉,使得它們依次暴露於每個噴射器。在不連續處理中,晶圓可以移動到噴射器區域並停止,然後到噴射器之間的區域84並停止。舉例而言,轉盤可以旋轉,使晶圓從噴射器間區域移動橫跨噴射器(或停止在噴射器附近),然後轉到下一個轉盤可以再次暫停的噴射器間區域。噴射器之間的暫停可以為每個層沉積(例如,暴露於電漿)之間的額外處理步驟提供時間。
圖5顯示氣體分配組件222的扇區或部分,其可被稱為噴射器單元。噴射器單元222可單獨使用或與其他噴射器單元組合使用。舉例而言,如圖6中所示,圖5的四個噴射器單元222被組合以形成單個氣體分配組件220。(為清楚起見,未示出分隔四個噴射器單元的線。)雖然圖5的噴射器單元222除了淨化氣體通口255和真空通口245之外還具有第一反應性氣體通口225和第二氣體通口235,但噴射器單元222不需要所有這些部件。
參照圖5和圖6,根據一個或多個實施例的氣體分配組件220可包括複數個扇區(或噴射器單元222),且每個扇區是相同或不同的。氣體分配組件220位於處理腔室內並包括複數個細長氣體通口225、235、245於氣體分配組件220的正面221中。複數個細長氣體通口225、235、245、255從鄰近內周邊緣223的區域朝向鄰近氣體分配組件220的外周邊緣224的區域延伸。所示的複數個氣體通口包括第一反應性氣體通口225、第二氣體通口235、環繞各個第一反應性氣體通口和第二反應性氣體通口的真空通口245和淨化氣體通口255。
參照圖5或6中所示的實施例,然而在說明通口從至少約內周區域延伸到至少約外周區域時,通口可不僅僅徑向地從內區域向外區域延伸而已。通口可以切向延伸,如真空通口245環繞反應性氣體通口225和反應性氣體通口235。在圖5和圖6中所示的實施例中,楔形反應性氣體通口225、235在所有邊緣上被圍繞,包括由真空通口245所圍繞的鄰近內周區域和外周區域。
參照圖5,當基板沿路徑227移動時,基板表面的每個部分暴露於各種反應性氣體。為了遵循路徑227,基板將暴露於或「看見」、淨化氣體通口255、真空通口245、第一反應性氣體通口225、真空通口245、淨化氣體通口255、真空通口245、第二氣體通口235和真空通口245。因此,在圖5中所示的路徑227的末端,基板已暴露於第一反應性氣體225和第二反應性氣體235以形成層。所示的噴射器單元222形成四分之一圓,但可以更大或更小。圖6中所示的氣體分配組件220可以被認為是串聯連接的圖3的四個噴射器單元222的組合。
圖5的噴射器單元222顯示了分隔反應性氣體的氣簾250。詞彙「氣簾」用於描述分隔反應性氣體以避免混合的氣體流或真空的任何組合。圖5中所示的氣簾250包括真空通口245鄰接第一反應性氣體通口225的部分、中間的淨化氣體通口255和真空通口245鄰接第二氣體通口235的部分。氣流和真空的這種組合可用於防止或最小化第一反應性氣體和第二反應性氣體的氣相反應。
參照圖6,來自氣體分配組件220的氣體流和真空的組合在複數個製程區域350中形成分隔。製程區域以製程區域350之間的氣簾250大致限定圍繞個別氣體通口225、235。圖6中所示的實施例組成為八個獨立的製程區域350且之間具有八個獨立的氣簾250。處理腔室可具有至少兩個製程區域。在某些實施例中,至少有三個、四個、五個、六個、七個、八個、九個、10個、11個或12個製程區域。
處理過程中,基板可以在任何給定時間暴露於多於一個製程區域350。然而,暴露於不同製程區域的部分將具有將兩者分開的氣簾。舉例而言,如果基板的前緣邊緣進入包括第二氣體通口235的製程區域,基板的中間部分將位於氣簾250下方,而基板的後緣邊緣將處於包括第一反應性氣體通口225的製程區域。
可為例如裝載閘腔室的工廠界面280 (如圖4中所示)圖示為連接至處理腔室200。基板60顯示為疊加在氣體分配組件220上以提供參考框。基板60可經常位於基座組件上以固持在氣體分配板220的正面221附近。基板60藉由工廠界面280裝入處理腔室200到基板支撐件或基座組件上(參見圖4)。基板60可顯示在製程區域內,因為基板位於第一反應性氣體通口225附近並且位於兩個氣簾250a、250b之間。沿路徑227旋轉基板60將使基板圍繞處理腔室200逆時針移動。因此,基板60將暴露於第一製程區域350a至第八製程區域350h,包括兩者之間的所有製程區域。
揭露內容的某些實施例關於具有複數個製程區域350a-350h且每個製程區域由氣簾250與相鄰區域分隔的處理腔室200。舉例而言,處理腔室顯示於圖6中。處理腔室內的氣簾和製程區域的數目可為任何合適的數目,這取決於氣流的佈置。圖6中所示的實施例具有八個氣簾250和八個製程區域350a-350h。
回頭參照圖1,處理平臺100包括連接至中央轉移站110的第二側面112的處理腔室140。某些實施例的處理腔室140設置用來在第一批次處理腔室120中的處理之前和/或之後暴露晶圓至製程以處理晶圓。某些實施例的處理腔室140包括退火腔室。退火腔室可為熔爐退火腔室或快速熱退火腔室,或設置用來在預定溫度與壓力下固持晶圓並提供氣流至腔室的不同腔室。
在某些實施例中,處理平臺進一步包括連接至中央轉移站110的第三側面113的第二批次處理腔室130。第二批次處理腔室130可與批次處理腔室120類似地配置,或者可配置為執行不同的製程或處理不同數目的基板。
第二批次處理腔室130可與第一批次處理腔室120相同或不同。在某些實施例中,第一批次處理腔室120和第二批次處理腔室130被配置為在相同的批次時間內執行具有相同數目的晶圓的相同製程,使得x(第一批次處理腔室120中晶圓的數目)和y(第二批次處理腔室130中晶圓的數目)係相同的且(第二批次處理腔室130的)第一批次時間和第二批次時間係相同的。在某些實施例中,第一批次處理腔室120和第二批次處理腔室130被配置為具有不同數目的晶圓(x不等於y)、不同的批次時間或兩者的一者或多者。
在圖1中所示實施例中,處理平臺100包括連接至中央轉移站110的第四側面114的第二處理腔室150。第二處理腔室150可與處理腔室140相同或不同。
如圖1中所示,控制器195可以被提供並耦合到處理平台100的各種部件以控制部件的操作。控制器195可以是控制整個處理平臺100或單一處理腔室的單一控制器。在某些實施例中,控制器195包括控制處理平臺100的各個部分的多個子控制器。舉例而言,主要處理平臺控制器可以連接並配置成與控制特定處理腔室的操作的一個或多個個別腔室控制器通信。在某些實施例中,控制器195包括中央處理單元(CPU)、支援電路、記憶體、和輸入/輸出(I/O)系統/裝置。控制器195可直接控制處理平臺100或透過與特定處理腔室和/或支援系統部件相關的電腦(或控制器)控制處理平臺100。控制器195可以是可用於工業設定中控制各種腔室和子處理器的任何形式的通用電腦處理器之一。控制器195的記憶體或電腦可讀媒介可以是容易獲得的記憶體的一者或多者,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、光學儲存媒介(例如,光碟或數位影音光碟)、隨身碟、或任何其他形式的數位儲存(本端或遠端)。支援電路耦合到CPU以便以傳統方式支援處理器。這些電路包括快取記憶體、電源、時脈電路、輸入/輸出電路和子系統等。一個或多個製程可以作為軟體常用程式儲存在記憶體中,該軟體常用程式可經執行或調用而以本文所述的方式控制處理平臺100或個別處理腔室的操作。軟體常用程式亦可由第二CPU(未圖示)所儲存和/或執行,第二CPU位於CPU控制的硬體的遠端。輸入/輸出可包括鍵盤、電腦滑鼠、顯示器和/或印表機。軟體常用程式由處理器執行時造成控制器195充當特定目的控制器。當控制器195包括電腦時,控制器195充當特定目的電腦以控制處理平臺100。
在某些實施例中,處理平臺100可包括連接至機器人117的控制器195 (連接未圖示)。控制器195可設置用來以機器人117的第一臂118在預清洗腔室140和第一批次處理腔室120之間移動晶圓。在某些實施例中,控制器195亦設置用來以機器人117的第二臂119在第二單一晶圓處理腔室150和第二批次處理腔室130之間移動晶圓。
在某些實施例中,控制器195連接至處理腔室200的基座組件240和氣體分配組件220。控制器195可設置用來圍繞中央軸旋轉(以17表示)基座組件240。控制器亦可設置用來控制氣體通口225、235、245、255中的氣流。在某些實施例中,第一反應性氣體通口225提供第一沉積氣體的流動。在某些實施例中,第二反應性氣體通口235提供第二沉積氣體的流動。在某些實施例中,其他氣體通口(未標示)可提供修飾化合物的流動。第一反應性氣體通口225、第二反應性氣體通口235和其他反應性氣體通口(未標示)可用任何處理順序加以排列。
處理平臺100亦可包括連接至中央轉移站110的第五側面115的第一緩衝站151和/或連接至中央轉移站110的第六側面116的第二緩衝站152。第一緩衝站151和第二緩衝站152可執行相同或不同功能。舉例而言,緩衝站可固持晶圓的匣,晶圓經處理並返回原始匣,或者第一緩衝站151可固持未處理的晶圓,而晶圓在處理後移動至第二緩衝站152。在某些實施例中,緩衝站的一者或多者設置用來在處理之前和/或之後預處理、預加熱或清潔晶圓。
在某些實施例中,控制器195設置用來利用機器人117的第一臂118在第一緩衝站151以及處理腔室140和第一批次處理腔室120的一者或多者之間移動晶圓。在某些實施例中,控制器195設置用來利用機器人117的第二臂119在第二緩衝站152以及第二處理腔室150或第二批次處理腔室130的一者或多者之間移動晶圓。
處理平臺100亦可包括中央轉移站110與處理腔室的任一者之間的一個或多個狹縫閥160。在所示實施例中,在每個處理腔室120、130、140、150和中央轉移站110之間有一個狹縫閥160。狹縫閥160可以打開和關閉以將處理腔室內的環境與中央轉移站110內的環境隔離。舉例而言,如果處理腔室在處理過程中會產生電漿,關閉該處理腔室的狹縫閥可能會有所幫助,以防止雜散電漿損壞轉移站內的機器人。
在某些實施例中,處理腔室不容易從中央轉移站110移除。為了允許在處理腔室的任一者上進行維護,每個處理腔室還可進一步在處理腔室的側面上包括複數個進出門170。進出門170允許手動訪進出處理腔室而不從中央轉移站110移除處理腔室。在所示實施例中,除了連接至轉移站的側面之外,每個處理腔室的每個側面都具有進出門170。因為腔室內的硬體需要配置成可經由門進出,但包含這麼多進出門170會使所使用的處理腔室的結構複雜化。
某些實施例的處理平臺包括連接至轉移腔室110的水箱180。水箱180可設置用來提供冷卻劑至處理腔室的任一者或所有。儘管被稱為「水」箱,但是本領域技術人員將理解可以使用任何冷卻劑。
在某些實施例中,處理平臺100的尺寸允許透過單一電力連接器190連接至家用電力。單一電力連接器190附接至處理平臺100以提供電力至每個處理腔室和中央轉移站110。
處理平臺100可連接至工廠界面102以允許晶圓或晶圓的匣裝載進入平臺100。工廠界面102中的機器人103可移動晶圓或匣進出緩衝站151、152。晶圓或匣可藉由中央轉移站110中的機器人117移動於平臺100中。在某些實施例中,工廠界面102係另一群集工具的轉移站。
在某些實施例中,處理平臺100或批次處理腔室120連接至控制器。控制器可為相同的控制器195或不同的控制器。控制器可耦合至批次處理腔室120的基座組件和氣體分配組件並具有一個或多個配置。在某些實施例中,處理腔室係單一晶圓或時域處理腔室,且控制器可耦合至基板支撐件和氣體分配系統。配置可包括(但不限於)圍繞中央軸旋轉基座組件的第一配置、提供第一沉積氣體的流動至製程區域的第二配置、提供第二沉積氣體的流動至製程區域的第三配置、提供修飾化合物的流動至製程區域的一者或多者的第四配置、利用氣體分配系統控制反應性氣體和清除氣體的流動的第五配置或控制基板的溫度的第六配置。
基板可以是任何能夠在其上沉積材料的基板,諸如矽基板、III-V化合物基板、矽鍺(SiGe)基板、磊晶-基板、絕緣體上矽(SOI)基板、顯示器基板(諸如,液晶顯示器(LCD)、電漿顯示器、電致發光(EL)燈顯示器)、太陽能陣列、太陽能面板、發光二極體(LED)基板、半導體晶圓等等。在某些實施例中,一個或多個額外層可以設置在基板上,使得分子層可以至少部分地形成在額外層上。舉例而言,在某些實施例中,包括金屬、氮化物、氧化物等等或上述之組合的層可以設置在基板上並可具有形成在上述層或數個層上的分子層。
本文所用的「脈衝」或「劑量」旨在表示間歇地或非連續地引入處理腔室的一定數量的來源氣體。每個脈衝內的特定化合物的數量可以隨時間變化,這取決於脈衝的持續時間。特定製程氣體可包括單一化合物或兩個或多個化合物(例如,下述的製程氣體)的混合物/組合。
每個脈衝/劑量的持續時間是可變的,並且可以經調節以適應例如處理腔室的容量以及與處理腔室耦合的真空系統的能力。此外,製程氣體的劑量時間可根據製程氣體的流動速率、製程氣體的溫度、控制閥的類型、所採用的處理腔室的類型以及製程氣體的組分吸附到基板表面上的能力而變化。劑量時間也可以根據所形成的層的類型和所形成的元件的幾何形狀而變化。劑量時間應該足夠長,以提供足以吸附/化學吸附到基板的整個表面上並在表面上形成製程氣體組分的層的化合物的體積。
基板暴露於沉積氣體的時間週期可以是允許金屬前驅物在基板表面上形成足夠的成核層所需的任何合適的時間量。舉例而言,製程氣體可流入處理腔室持續約0.1秒至約90秒的週期。在某些時域ALD製程中,含金屬氣體暴露於基板表面持續約0.1秒至約90秒的範圍中、或約0.5秒至約60秒的範圍中、或約1秒至約30秒的範圍中、或約2秒至約25秒的範圍中、或約3秒至約20秒的範圍中、或約4秒至約15秒的範圍中、或約5秒至約10秒的範圍中的時間。
在某些實施例中,可在提供沉積氣體的同時另外向處理腔室提供惰性氣體。惰性氣體可與含金屬氣體(例如,作為稀釋氣體)混合或分隔並且可以是脈衝的或恆定的流動。在某些實施例中,惰性氣體在約1至約10000 sccm範圍中的恆定流動下流入處理腔室。惰性氣體可為任何惰性氣體,舉例而言諸如氬、氦、氖、上述之組合等等。在一個或多個實施例中,含金屬氣體在流入處理腔室之前與氬混合。
惰性流體的流動可以有助於從處理腔室中去除任何多餘的第一沉積氣體組分和/或過量的反應副產物,以防止第一和第二沉積氣體的不希望氣相反應。舉例而言,惰性氣體流可以從處理腔室中除去多餘的第一沉積氣體,防止第一沉積氣體與隨後的沉積氣體發生反應。
除了上述之外,在將基板暴露到含金屬製程氣體時可以調節額外的製程參數。舉例而言,在某些實施例中,處理腔室可以保持在一定的壓力或某一溫度下,以促進分子層的沉積。
雖然本揭露內容中描述的處理方法的一般實施例僅包括兩個反應性氣體脈衝,但是應該理解,這僅僅是示例性的,並且可以使用反應性氣體的附加脈衝。脈衝可以全部或部分重複。舉例而言,所有三個脈衝都可以重複,或者只重複兩個脈衝。這可以針對每個循環而變化。
儘管已經參考特定實施例描述了本文的揭露內容,但是應該理解,這些實施例僅僅是對本揭露內容的原理和應用的說明。對於本領域技術人員顯而易見的是,在不脫離本揭露內容的精神和範圍的情況下,可以對本揭露內容的方法和設備進行各種修改和變化。因此,本揭露內容旨在包括在隨附申請專利範圍及其等效物的範圍內的修改和變化。
17‧‧‧旋轉60、710‧‧‧基板84‧‧‧區域100‧‧‧處理平臺102‧‧‧工廠界面103、117‧‧‧機器人110‧‧‧中央轉移站111‧‧‧第一側面112‧‧‧第二側面113‧‧‧第三側面114‧‧‧第四側面115‧‧‧第五側面116‧‧‧第六側面118‧‧‧第一臂119‧‧‧第二臂120‧‧‧第一批次處理腔室130‧‧‧第二批次處理腔室140、200‧‧‧處理腔室150‧‧‧第二處理腔室151‧‧‧第一緩衝站152‧‧‧第二緩衝站160‧‧‧狹縫閥170‧‧‧進出門180‧‧‧水箱190‧‧‧電力連接器195‧‧‧控制器220‧‧‧氣體分配組件221‧‧‧正面222‧‧‧噴射器單元223‧‧‧內周邊緣224‧‧‧外周邊緣225‧‧‧第一反應性氣體通口227‧‧‧路徑235‧‧‧第二氣體通口240‧‧‧基座組件241‧‧‧頂表面242‧‧‧凹部243‧‧‧底表面244‧‧‧邊緣245‧‧‧真空通口250‧‧‧氣簾255‧‧‧淨化氣體通口260‧‧‧支撐柱262‧‧‧微調致動器280‧‧‧裝載閘腔室350‧‧‧製程區域350a‧‧‧第一製程區域350b‧‧‧第二製程區域350c‧‧‧第三製程區域350d‧‧‧第四製程區域350e‧‧‧第五製程區域350f‧‧‧第六製程區域350g‧‧‧第七製程區域350h‧‧‧第八製程區域711‧‧‧第一材料712‧‧‧第二材料
為了可詳細地理解本揭露內容的上方記載特徵,可藉由參照實施例(某些描繪於附圖中)而取得揭露內容更特定的描述內容(簡短概述於上)。然而,值得注意的是附圖僅描繪揭露內容的典型實施例並因此不被視為限制範圍,因為此揭露內容可允許其他等效性實施例。
圖1顯示根據揭露內容的一個或多個實施例的處理平臺的示意圖;
圖2顯示根據揭露內容的一個或多個實施例的批次處理腔室的橫剖面圖;
圖3顯示根據揭露內容的一個或多個實施例的批次處理腔室的部分透視圖;
圖4顯示根據揭露內容的一個或多個實施例的批次處理腔室的示意圖;
圖5顯示根據揭露內容的一個或多個實施例用於批次處理腔室的楔形氣體分配組件的一部分的示意圖;
圖6顯示根據揭露內容的一個或多個實施例的批次處理腔室的示意圖;及
圖7說明了根據本文所述的一個實施例,使用修飾化合物來修飾羥基封端表面的示範性反應方案,修飾化合物包含具有通式(CH3 O)3 Si-C2 H4 -Si(OCH3 )3 的修飾物種。
在附圖中,相似的部件和/或特徵可具有相同的元件符號。再者,可藉由在元件符號後跟隨短劃線和區分相似部件的第二符號來區分相同類型的各種部件。如果在說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號的相似部件的任何一者,與第二元件符號無關。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
221‧‧‧正面
222‧‧‧噴射器單元
223‧‧‧內周邊緣
224‧‧‧外周邊緣
225‧‧‧第一反應性氣體通口
227‧‧‧路徑
235‧‧‧第二氣體通口
245‧‧‧真空通口
250‧‧‧氣簾
255‧‧‧淨化氣體通口
350‧‧‧製程區域

Claims (8)

  1. 一種沉積一膜的方法,包括:提供一基板,該基板包括一第一材料和一第二材料,該第一材料具有一氫封端表面而該第二材料具有一羥基封端表面;暴露該基板至一修飾化合物,該修飾化合物包括一修飾物種,該修飾物種與該第二材料的該羥基封端表面反應以在該第二材料上形成一經修飾第二表面;及暴露該基板至一個或多個沉積氣體,該一個或多個沉積氣體與該第二材料的該經修飾第二表面反應以在該第二材料上形成一膜,其中該修飾物種具有一通式(RO)3Si-L-Si(OR)3,其中每個R獨立地選自C1-C4烷基基團,且L是一芳族部分或一包含1-3個碳原子的碳鏈。
  2. 如請求項1所述之方法,其中該第一材料包括矽且該第二材料包括氧化矽。
  3. 如請求項1所述之方法,其中R基本上由甲基所構成。
  4. 如請求項1所述之方法,其中L是一苯基基團。
  5. 如請求項4所述之方法,其中該苯基基團具有在一對位位置上的該兩個矽原子。
  6. 如請求項1所述之方法,其中L是-(CH2)2-。
  7. 如請求項1所述之方法,其中L包含至少一個雙鍵。
  8. 如請求項7所述之方法,其中L是-CH=CH-。
TW107117155A 2017-05-28 2018-05-21 有機及混合有機無機層的選擇性分子層沉積 TWI782021B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762512067P 2017-05-28 2017-05-28
US62/512,067 2017-05-28
US201762547436P 2017-08-18 2017-08-18
US62/547,436 2017-08-18

Publications (2)

Publication Number Publication Date
TW201900920A TW201900920A (zh) 2019-01-01
TWI782021B true TWI782021B (zh) 2022-11-01

Family

ID=64401432

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107117155A TWI782021B (zh) 2017-05-28 2018-05-21 有機及混合有機無機層的選擇性分子層沉積

Country Status (3)

Country Link
US (2) US20180342388A1 (zh)
TW (1) TWI782021B (zh)
WO (1) WO2018222444A1 (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160244581A1 (en) * 2015-02-19 2016-08-25 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
US20160322213A1 (en) * 2015-05-01 2016-11-03 Applied Materials, Inc. Selective Deposition Of Thin Film Dielectrics Using Surface Blocking Chemistry

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US10092927B2 (en) * 2006-11-13 2018-10-09 The Regents Of The University Of Colorado, A Body Corporate Molecular layer deposition process for making organic or organic-inorganic polymers
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP5109877B2 (ja) * 2008-06-03 2012-12-26 三菱瓦斯化学株式会社 耐屈曲性ラミネートフィルム
WO2010019125A1 (en) * 2008-08-15 2010-02-18 Energy Materials Corporation Composition and method to characterize membranes' defects
EP2770373A1 (en) * 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160244581A1 (en) * 2015-02-19 2016-08-25 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
US20160322213A1 (en) * 2015-05-01 2016-11-03 Applied Materials, Inc. Selective Deposition Of Thin Film Dielectrics Using Surface Blocking Chemistry

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Jiang YB, Xomeritakis G, Chen Z, Dunphy D, Kissel DJ, Cecchi JL, Brinker CJ. Sub-10 nm thick microporous membranes made by plasma-defined atomic layer deposition of a bridged silsesquioxane precursor. Journal of the American Chemical Society. 2007 Dec 19;129(50):15446-7. *

Also Published As

Publication number Publication date
TW201900920A (zh) 2019-01-01
US20220130663A1 (en) 2022-04-28
US20180342388A1 (en) 2018-11-29
WO2018222444A1 (en) 2018-12-06

Similar Documents

Publication Publication Date Title
TWI790320B (zh) 釕的選擇性原子層沉積
TW202200822A (zh) 使用催化沉積的間隙填充方法
TWI755607B (zh) 金屬薄膜之催化沉積
TWI686496B (zh) 沉積無氟/碳保形鎢之方法
US20100227059A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
TWI794175B (zh) 處理基板的方法
TWI782021B (zh) 有機及混合有機無機層的選擇性分子層沉積
US11866824B2 (en) Homoleptic lanthanide deposition precursors
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
US20190017165A1 (en) Methods And Apparatus For Depositing Tungsten Nucleation Layers
US9506146B2 (en) Thin film vapor deposition method and thin film vapor deposition apparatus