TWI686496B - 沉積無氟/碳保形鎢之方法 - Google Patents

沉積無氟/碳保形鎢之方法 Download PDF

Info

Publication number
TWI686496B
TWI686496B TW107143424A TW107143424A TWI686496B TW I686496 B TWI686496 B TW I686496B TW 107143424 A TW107143424 A TW 107143424A TW 107143424 A TW107143424 A TW 107143424A TW I686496 B TWI686496 B TW I686496B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
tungsten
chamber
heating element
Prior art date
Application number
TW107143424A
Other languages
English (en)
Other versions
TW201925514A (zh
Inventor
傅新宇
干德可塔史林尼維斯
傑拉多斯艾夫傑尼諾斯V
諾里阿提夫
張鎂
湯普森大衛
葛漢納耶史帝夫G
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201925514A publication Critical patent/TW201925514A/zh
Application granted granted Critical
Publication of TWI686496B publication Critical patent/TWI686496B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本案提供使用含鎢反應氣體沉積鎢薄膜或含鎢薄膜之原子層沉積方法,該含鎢反應氣體包含以下之一或更多者:五氯化鎢、具有經驗式WCl5 或WCl6 之化合物。

Description

沉積無氟/碳保形鎢之方法
本發明之實施例係關於半導體基板之處理。更特定言之,本發明之實施例係關於使用原子層沉積技術於半導體基板上低溫沉積鎢層或矽化鎢層之方法。
半導體處理工業繼續尋求更大的產出率,同時增加經沉積於具有較大表面積之基板上之層的均勻性。該等相同因素與新型材料結合亦提供基板之每單位面積上電路之更高整合。隨著電路整合增加,對有關層厚度之更大均勻性及製程控制的需要上升。因此,已開發各種技術來以有成本效益的方式於基板上沉積層,同時保持對層之特性的控制。
化學氣相沉積(chemical vapor deposition; CVD)為用於在基板上沉積層之最常見的沉積製程之一。CVD為通量相關沉積技術,該通量相關沉積技術要求精確控制引入處理腔室內之基板溫度及前驅物以產生均勻厚度之期望層。該等需求隨著基板大小的增加變得更為重要,從而需要更複雜的腔室設計及氣體流動技術來保持足夠均勻性。
表現出極好階梯覆蓋之CVD之變型為循環沉積或原子層沉積(atomic layer deposition; ALD)。循環沉積係基於原子層磊晶(atomic layer epitaxy; ALE)且使用化學吸附技術以在連續循環內於基板表面上輸送前驅物分子。循環將基板表面暴露至第一前驅物、淨化氣體、第二前驅物及淨化氣體。第一前驅物及第二前驅物發生反應以形成產物化合物作為基板表面上之薄膜。重複該循環以形成具有期望厚度之層。
在高沉積速率下形成薄膜層同時提供足夠的階梯覆蓋係相衝突的特性,該等相衝突的特性經常需要犧牲其中一者來獲得另一者。當耐火金屬層在互連以介電層分離之鄰近金屬層觸點之形成期間,經沉積在間隙或穿孔上時,此衝突尤其明顯。歷史上,已使用CVD技術沉積諸如耐火金屬之導電材料以經濟且快速地形成觸點。由於半導體電路系統之日趨增加的整合,鎢已基於優良的階梯覆蓋而被使用。因此,使用CVD技術沉積鎢由於製程之高產出量而在半導體處理中享有廣泛應用。
然而,藉由習知CVD方法沉積鎢伴隨有若干缺點。舉例而言,ALD製程將鎢薄膜沉積至含有高長寬比(例如,20)之穿孔內,而習知CVD製程將通常導致類似穿孔「交錯斷裂」且不完全填滿。同樣,鎢層在半導體晶圓上之毯覆沉積在低於400℃之溫度下非常耗時。鎢之沉積速率可藉由增加沉積溫度至例如約500℃至約550℃而提高。然而,在此較高範圍內之溫度可能損害正形成之積體電路之下層部分之結構及操作完整性。使用鎢亦使在製造製程期間的光刻步驟受挫,因為使用鎢導致具有70%之反射率或小於矽之反射率的相對粗糙表面(與厚度及波長相關的)。此外,鎢已經證實難以均勻沉積。不良的表面均勻性通常增加薄膜電阻率。
在具有替換閘極方案之高k金屬閘極中,當技術節點到達20 nm及以下時,需要被填滿之特徵結構變得極其小。需要良好地控制功函數薄膜之保形性及此薄膜之性質(無包括氟之有害元素)。另外,當由於較小結構內部之非常受限的可佔用面積而發展薄膜堆疊用於更小特徵結構時,需要組合已在較大結構上使用之數個功能層(諸如WF層、成核層、阻障層)。
一直可用的鎢薄膜及矽化鎢(WSix )薄膜係引入氟之主要基於WF6 之CVD/ALD製程且在沉積阻障層及成核層之前無法直接沉積於閘極上。具有金屬氧化物配位體之鎢前驅物遭受高碳含量之缺點,同時諸如氯化物之其他鹵化物前驅物係在高溫下(600℃及超過600℃)處理且不適合於替換閘極製程。高溫CVD製程亦遭受不良階梯覆蓋之缺點。
鎢金屬沉積製程可藉由與氫反應而執行。然而,該反應嚴格受限於氫之解離。氫電漿可增加反應速率但可對基板或正形成之薄膜造成損害。氫自由基亦可與鎢前驅物反應以形成鎢薄膜。然而,通常用來產生自由基之「熱線」與鎢前驅物不相容。
因此,在此技術領域需要使用原子層沉積技術以良好保形性沉積鎢層之改進技術。
本發明之一或更多個實施例係針對處理方法,該等處理方法包含將基板順序地暴露至包含含鎢化合物之第一反應氣體及第二反應氣體以形成含鎢薄膜,該含鎢化合物包含具有經驗式Wx Cl5x 之化合物。
本發明之一些實施例係針對處理方法。方法包含:將基板定位於處理腔室中及在小於或等於約475℃之溫度下將基板之至少一部分順序地暴露至第一反應氣體及第二反應氣體以形成含鎢薄膜,第一反應氣體包含五氯化鎢、具有經驗式Wx Cl5x 之化合物或六氯化鎢中之一或更多者。
本發明之一些實施例係針對沉積WSix 薄膜之方法。方法包含:將基板定位於處理腔室中;及在小於或等於約475℃之溫度下將基板之至少一部分順序地暴露至第一反應氣體及第二反應氣體以形成WSix 薄膜。第一反應氣體包含五氯化鎢、具有經驗式Wx Cl5x 之化合物或六氯化鎢中之一或更多者且第二反應氣體包含含矽氣體,含矽氣體與含鎢氣體之比在約100:2至約100:0.2的範圍內。
本發明之一些實施例係針對處理方法,該等處理方法包含將處理腔室中之基板之至少一部分順序地暴露至包含含鎢化合物之第一反應氣體及包含氫自由基之第二反應氣體以形成含鎢薄膜,該含鎢化合物具有經驗式WCl5 或WCl6
本發明之一或更多個實施例係針對處理方法,該處理方法包含:將基板定位在包含氣體分佈組件之處理腔室中,該氣體分佈組件包括複數個狹長氣體埠,該等複數個狹長氣體埠包括第一反應氣體埠及第二反應氣體埠,第一反應氣體埠與包含具有經驗式WCl5 或WCl6 之含鎢化合物的第一反應氣體流體連通且第二反應氣體埠與包含氫之第二反應氣體流體連通,氣體分佈組件使第一反應氣體及第二反應氣體兩者同時流入處理腔室內。使第二反應氣體通過加熱元件以在第二反應氣體中產生氫自由基。將基板之至少一部分順序地暴露至第一反應氣體及第二反應氣體中之氫自由基以於基板上形成鎢薄膜。
在一些實施例中,第二反應氣體包含含氫化合物且含鎢薄膜為鎢薄膜。在一些實施例中,含鎢薄膜基本上由鎢組成。在一或更多個實施例中,第二反應氣體包含含氮化合物且含鎢薄膜包含氮化鎢。在一些實施例中,第二反應氣體包含含矽化合物且含鎢薄膜包含矽化鎢(WSix )。
在一些實施例中,第二反應氣體進一步包含氫。在一或更多個實施例中,第二反應氣體包含含矽化合物及含氮化合物之混合物,且含鎢薄膜包含鎢矽氮化物(WSix Ny )。
在一些實施例中,基板包含功函數金屬。在一或更多個實施例中,功函數金屬包含Ti及/或TiAl。在一些實施例中,在功函數金屬與基本上由鎢組成之薄膜之間不存在介入層。在一或更多個實施例中,在功函數金屬與基本上由鎢組成之薄膜之間存在介入層,該介入層具有小於約5埃之厚度。
在一些實施例中,在沉積含鎢薄膜之前,基板包含氧化層,且方法進一步包含約5托至約20托之範圍內的分壓下以二矽烷或氫與矽烷之混合物浸漬基板。
在一些實施例中,含鎢薄膜以在約0.2 Å/循環及約3 Å/循環之範圍內的速率生長。
一些實施例進一步包含自氫氣產生氫自由基。在一或更多個實施例中,自氫氣產生氫自由基包含使氫氣通過加熱元件,該加熱元件具有足夠產生氫自由基之溫度。一些實施例進一步包含加熱加熱元件至足夠產生氫自由基之溫度。在一些實施例中,加熱加熱元件包含提供經由加熱元件流動之電流。一或更多個實施例進一步包含施加動態張力至加熱元件之端部以防止加熱元件在足夠產生氫自由基之溫度下下垂。
在一些實施例中,加熱元件係含在實質上耐熱膨脹的外殼內。在一些實施例中,外殼經附加至氣體分佈組件之前表面以便流自第二反應氣體埠之第二反應氣體經由外殼且在加熱元件周圍流動。一或更多個實施例進一步包含相對於氣體分佈組件移動基板,以便將基板之每一部分暴露至基本上按順序由第一反應氣體及第二反應氣體組成之氣體流動。
在一些實施例中,基板係保持在小於約475℃之溫度下。在一或更多個實施例中,基板係保持在大於約350℃之溫度下。
本發明之一或更多個實施例係針對處理方法,處理方法包含以矽烷浸漬基板及將先前以矽烷浸漬過之基板順序地暴露至第一反應氣體及第二反應氣體以形成鎢薄膜,第一反應氣體包含含鎢化合物及氫,該含鎢化合物包含具有經驗式Wx Cl5x 的化合物,第二反應氣體包含還原劑。在一些實施例中,第一反應氣體包含氫原子多於鎢原子。在一或更多個實施例中,第一反應氣體包含以約1:2至1:20之範圍內的比存在的含鎢化合物及氫。
本發明之一些實施例係針對處理方法,該等處理方法包含在電晶體內沉積一厚度的鎢作為功函數材料上之充填材料,處理沉積之鎢薄膜及重複以形成期望厚度之鎢充填,其中處理鎢薄膜包含以下之一或更多者:(1)將充填材料順序地暴露至四氯化鈦及氨;(2)將充填材料浸漬在四氯化鈦中;及(3)將充填材料暴露至氫電漿達在約10秒至約30秒之範圍內的時間。在一或更多個實施例中,在(a)中經沉積為充填材料的鎢之厚度係在約10 Å至約30 Å的範圍內。在一些實施例中,TiN之量係小於約½的單層厚度。
在一些實施例中,當鎢薄膜具有約70 Å之厚度時,鎢薄膜具有大於約60 Å之晶粒大小。在一或更多個實施例中,當鎢薄膜具有約200 Å之厚度時,鎢薄膜具有小於約30 μΩ•cm之電阻率。
形成保形鎢薄膜之方法包含:將一表面順序地暴露至包含含鎢化合物之第一反應氣體達第一時間及暴露至包含氫之第二反應氣體達第二時間來沉積鎢薄膜,第一時間及第二時間皆小於約2秒。在一些實施例中,鎢薄膜係以小於約1 Å/循環的速率生長。在一或更多個實施例中,鎢薄膜係以小於約0.8 Å/循環的速率生長。
本發明之一些實施例係針對積體電路電晶體裝置,該等積體電路電晶體裝置包含安置在通道上之介電層、安置在介電層上的功函數金屬及安置在功函數層上的充填層,其中充填層基本上由W組成。
一或更多個實施例係針對積體電路電晶體裝置,積體電路電晶體裝置包含安置在通道上之介電層、介電層上基本上由鎢組成之功函數層。
在一些實施例中,功函數金屬實質上不含氟。在一或更多個實施例中,充填層實質上不含氟。
在一些實施例中,在功函數金屬與基本上由鎢組成之薄膜之間不存在介入層。在一或更多個實施例中,在功函數金屬與基本上由鎢組成之薄膜之間存在介入層,該介入層具有小於約5埃之厚度。
一或更多個實施例係針對處理方法,處理方法包含在電晶體內沉積一厚度的鎢作為功函數材料上之充填材料;處理沉積之鎢薄膜;及重複以形成期望厚度之鎢充填。處理鎢薄膜包含以下之一或更多者:(1)將充填材料順序地暴露至四氯化鈦及氨;(2)將充填材料浸漬在四氯化鈦中;及(3)將充填材料暴露至氫電漿達在約10秒至約30秒之範圍內的時間。在一些實施例中,在(a)中經沉積為充填材料的鎢之厚度係在約10 Å至約30 Å的範圍內。在一或更多個實施例中,TiN之量係小於約½的單層厚度。
本發明之實施例提供用於沉積含鎢薄膜之改進製程。各種實施例之製程使用蒸氣沉積技術,諸如原子層沉積(ALD),以提供具有顯著改進之表面均勻性及生產水準產出量的鎢薄膜。在一些實施例中,製程允許在無阻障層的情況下將含鎢薄膜沉積於n-金屬表面上。在一些實施例中,方法藉由在較低的處理溫度下提供含鎢薄膜之保形沉積、節省正形成之裝置的熱預算而有利地提高生產力及處理半導體基板之效率。
如本文所使用,「基板表面」係指於上面執行薄膜處理之基板之任何部分或形成於基板上之材料表面之部分。舉例而言,於上面可執行處理之基板表面取決於應用包括:諸如矽、氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石之材料;及任何其他材料,諸如金屬、金屬氮化物、金屬合金及其他導電材料。基板表面上之阻障層、金屬或金屬氮化物包括鈦、氮化鈦、氮化鎢、鉭及氮化鉭。基板表面亦可包括介電材料,諸如二氧化矽及碳摻雜之氧化矽。基板可具有各種尺寸,諸如200 mm或300 mm直徑的晶圓以及矩形面板或正方形面板。在一些實施例中,基板包含剛性離散材料。
如本文所使用,「原子層沉積」或「循環沉積」係指順序暴露兩種或更多種反應化合物以於基板表面上沉積材料層。如在本說明書及隨附申請專利範圍中所使用,術語「反應化合物」、「反應氣體」、「反應物種」、「前驅物」、「製程氣體」等可互換用於意指具有能夠在表面反應中(例如,化學吸附、氧化、還原)與基板表面或基板表面上之材料反應之物種的物質。基板或基板之部分係順序地暴露至兩種或更多種反應化合物,該等反應化合物經引入處理腔室之反應區內。在時域ALD製程中,至每一反應化合物之暴露由時間延遲分開,以允許每一化合物黏著於基板表面及/或在基板表面上發生反應。在空間ALD製程中,基板表面之不同部分或基板表面上之材料係同時暴露至兩種或更多種反應化合物,以便基板上之任何已知點實質上不同時暴露至多於一種反應化合物。如在本說明書及隨附申請專利範圍中所使用,如熟習此項技術者將理解,用於此方面之術語「實質上」意指存在以下可能性:基板之小部分可能由於擴散而同時暴露至多種反應氣體且同時暴露是不當的。
在時域ALD製程之一個態樣中,第一反應氣體(亦即,第一前驅物或化合物A)經脈衝輸送至反應區內,繼之以第一時間延遲。緊接著,第二前驅物或化合物B經脈衝輸送至反應區內,繼之以第二延遲。在每一時間延遲期間,諸如氬氣之淨化氣體經引入處理腔室內以淨化反應區或另外自反應區移除任何殘留反應化合物或副產物。或者,整個沉積製程期間淨化氣體可連續不斷地流動,以便在介於反應化合物之脈衝之間的時間延遲期間僅淨化氣體流動。或者脈衝輸送反應化合物直至在基板表面上形成期望薄膜或薄膜厚度。在任一情況中,脈衝輸送化合物A、淨化氣體、化合物B及淨化氣體之ALD製程為循環。循環可從化合物A或化合物B開始且繼續各別順序之循環直至達到具有期望厚度之薄膜。
在空間ALD製程之態樣中,第一反應氣體及第二反應氣體(例如,氫自由基)係同時輸送至反應區但藉由惰性氣體幕及/或真空幕分離。基板係相對於氣體輸送設備移動以便將基板上之任何已知點暴露至第一反應氣體及第二反應氣體。
第1圖描繪根據本發明之一些實施例用於在基板上形成含鎢層之方法。方法100大體開始於102,其中提供具有表面之基板且將該基板置放至處理腔室內,含鎢層將形成於該表面上。如本文所使用,「基板表面」係指層可形成於上面之任何基板表面。基板表面可具有在該基板表面中形成之一或更多個特徵結構、在該基板表面上形成之一或更多個層及該一或更多個特徵結構與該一或更多個層之組合。基板(或基板表面)可在沉積含鎢層之前例如藉由研磨、蝕刻、還原、氧化、鹵化、羥基化、退火、烘烤等預先處理。
基板可為能夠使材料沉積於該基板上之任何基板,諸如矽基板、III-V族化合物基板、矽鍺(SiGe)基板、磊晶基板、絕緣層上矽(silicon-on-insulator; SOI)基板、諸如液晶顯示(LCD)、電漿顯示、電致發光(electro luminescence; EL)燈顯示之顯示基板、太陽能陣列、太陽能面板、發光二極體(light emitting diode; LED)基板、半導體晶圓等。在一些實施例中,一或更多個額外層可安置於基板上以使得含鎢層可至少部分地形成於該一或更多個額外層上。舉例而言,在一些實施例中,包含金屬、氮化物、氧化物等或前述各者之組合的層可安置於基板上且可使含鎢層形成於此層或多個層上。
在一些實施例中,在開始循環沉積製程以於基板上形成含鎢層(如下文在104處所論述)之前,可將基板暴露至可選浸漬製程103,如103處以虛線所示。在一或更多個實施例中,於基板上沉積含鎢層104之方法不要求浸漬製程。此意指,在沉積薄膜之前的浸漬實質上不存在優勢。如在本說明書及隨附申請專利範圍中所使用,在此方面使用之術語「實質上無優勢」意指,在沉積速率中存在小於約10%的增加或在所沉積薄膜之保形性及均勻性中存在小於約20%的差異。雖如此說,但存在下文進一步論述之實施例,其中預浸漬形成整體製程之重要部分。在一些實施例中,浸漬製程可包含將基板加熱至浸漬溫度,隨後將基板暴露至浸漬氣體。舉例而言,在一些實施例中,可將基板加熱至以下的溫度:約100℃至約600℃,或在一些實施例中,約200℃至約600℃,或在一些實施例中約300℃至約500℃,或在一些實施例中約350℃至約420℃,或在一些實施例中約375℃至約500℃。
在一些實施例中,浸漬氣體可包含還原氣體,該還原氣體包含氫氣及/或氫化物化合物,諸如矽烷化合物(例如,矽烷、二矽烷、三矽烷、四矽烷、氯矽烷、二氯矽烷、四氯矽烷、六氯二矽烷、甲基矽烷等)、硼烷化合物(例如,甲硼烷、二硼烷、三硼烷、四硼烷、五硼烷、烷基硼烷等)、磷化氫、氨、胺化合物、氫、前述各者之衍生物、前述各者之組合等。當存在還原氣體時,還原氣體吸附至基板表面及/或與基板表面反應以形成經處理表面。在一些實施例中,處理過的表面提供更快的沉積製程用於整體平滑及更加均勻之隨後沉積層。
在一些實施例中,基板經受矽烷浸漬。該等實施例中的一些實施例使用實質上僅矽烷的矽烷浸漬。如在本說明書及隨附申請專利範圍中所使用,在此方面使用之術語「實質上僅矽烷」意指浸漬流體為99%或更多矽烷作為浸漬製劑。舉例而言,當不包括稀釋劑(氫)時,氫中5%矽烷之浸漬溶液將被視為100%矽烷。
在一些實施例中,還原氣體含有以下的氫/氫化物流量比:約40:1或更大,或在一些實施例中,約100:1或更大,或在一些實施例中,約500:1或更大,或在一些實施例中,約800:1或更大,或在一些實施例中,約1000:1或更大。在一些實施例中,氫化物化合物(例如,二硼烷)可具有以下的流量:約1 sccm至約75 sccm,或在一些實施例中,約3 sccm至約30 sccm,或在一些實施例中,約5 sccm至約15 sccm。在一些實施例中,氫化物化合物可在載體氣體(例如,氫氣、氮氣、氬氣、氦氣等)內,以使得混合物可具有以下的流量:在約50 sccm至約500 sccm之範圍內,或在一些實施例中,約75 sccm至約400 sccm,或在一些實施例中,約100 sccm至約300 sccm。在一些實施例中,氫氣可以以下流量提供:約1 slm至約20 slm,或在一些實施例中,自約3 slm至約15 slm,或在一些實施例中,自約5 slm至約10 slm。氫/氫化物流量比可藉由總體氫流量除以總體氫化物流量而計算。總體氫流量含有全部氫源之和,包括任何氫載體氣體之流量及任何獨立氫氣之流量。
在一些實施例中,還原氣體可在處理/沉積腔室內混合或在外部混合且可來自多個源。舉例而言,在一些實施例中,將基板暴露至還原氣體,該還原氣體係藉由在腔室中組合還原或氫化物化合物及氫混合物(例如,H2 中5% B2 H6 )之氣體流動與氫氣之氣體流動而形成。在另一實例中,在一些實施例中,還原或氫化物化合物及氫混合物(例如,H2 中5% B2 H6 )之氣體流動及氫氣之氣體流動係在進入腔室之前組合。可利用額外的製程參數促進浸漬製程。舉例而言,在一些實施例中,浸漬製程可經執行同時保持製程腔室中之壓力為約1托至約150托,或在一些實施例中,自約1托至約100托,或在一些實施例中,自約10托至約50托,或在一些實施例中,自約20托至約40托,或在一些實施例中,約5托至約20托。在一些實施例中,浸漬製程可經執行達以下的時間週期:在約1秒至約90秒內,或在一些實施例中,小於約60秒,或在一些實施例中,小於約30秒,或在一些實施例中,小於約10秒。
緊接著,在步驟104,含鎢層係形成於基板上。含鎢層可經由諸如原子層沉積(ALD)等循環沉積製程形成。在一些實施例中,經由循環沉積製程形成含鎢層可大體包含將基板順序地暴露至兩種或更多種製程氣體。在時域ALD實施例中,至每一製程氣體之暴露由時間延遲/暫停分開,以允許製程氣體之組分黏著於基板表面及/或在基板表面上發生反應。替代地或在組合中,在一些實施例中,可在將基板暴露至製程氣體之前及/或在將基板暴露至製程氣體之後執行淨化,其中惰性氣體係用來執行淨化。舉例而言,第一製程氣體可經提供至製程腔室,隨後以惰性氣體淨化。緊接著,第二製程氣體可經提供至製程腔室,隨後以惰性氣體淨化。在一些實施例中,惰性氣體可經連續不斷地提供至製程腔室且第一製程氣體可經劑量投配或脈衝輸送至製程腔室內,隨後第二製程氣體經劑量投配或脈衝輸送至製程腔室內。在此等實施例中,在第一製程氣體及第二製程氣體之劑量投配之間可出現延遲或暫停,以允許連續流動之惰性氣體在製程氣體之劑量投配之間淨化製程腔室。
在空間ALD實施例中,至每一製程氣體之暴露同時出現至基板之不同部分,以便將基板之一部分暴露至第一反應氣體同時將基板之不同部分暴露至第二反應氣體(若僅使用兩種反應氣體)。基板係相對於氣體輸送系統移動,以便基板上之每一點係順序地暴露至第一反應氣體及第二反應氣體兩者。在上文對於時域ALD製程及空間ALD製程兩者所描述之任何實施例中,可重複順序直至在基板表面上形成期望層厚度。
如本文所使用,「脈衝」或「劑量」意欲代表間歇地或非連續地引入製程腔室內之源氣體之量。特定化合物在每一脈衝內的量可隨著時間推移而變化,此取決於脈衝的持續時間。特定製程氣體可包括單種化合物或兩種或更多種化合物之混合物/組合,例如,如下所述之製程氣體。
每一脈衝/劑量之持續時間係可變的且可經調整以適應例如處理腔室之體積容量以及耦接至處理腔室之真空系統的容量。另外,製程氣體之劑量時間可根據製程氣體之流量、製程氣體之溫度、控制閥之類型、所使用製程腔室之類型以及製程氣體吸附至基板表面上之組分的能力而變化。劑量時間亦可基於正形成之層的類型及正形成之裝置的幾何形狀而變化。劑量時間應足夠長以提供足以吸附/化學吸附至基板之實質上整體表面上的一定體積的化合物且在該整體表面上形成製程氣體組分之層。
在步驟104形成含鎢層之製程可藉由將基板暴露至第一反應氣體開始。在一些實施例中,第一反應氣體包含鎢前驅物(亦稱為含鎢氣體等)且經暴露至基板達第一時間週期,如在106所示。鎢前驅物可為任何合適的含鎢氣體,包括但不限於基於鹵化物之鎢前驅物或基於有機金屬的鎢前驅物。舉例而言,在一些實施例中,鎢前驅物可包含五氯化鎢(WCl5 )、具有經驗式Wx Cl5x 之化合物(例如,W2 Cl10 、W3 Cl15 )、六氯化鎢(WCl6 )、具有經驗式Wx Cl6x 之化合物(例如,W2 Cl12 )、六氟化鎢(WF6 )。在一或更多個實施例中,含鎢前驅物係選自由以下各者組成之群組:五氯化鎢、具有經驗式WCl5 之化合物及六氯化鎢。在一些實施例中,含鎢化合物包含具有經驗式Wx Cl5x 之化合物,其中x係大於或等於約1。在不受任何特定操作理論約束之情況下,應相信,自氟化物至氯化物改變陰離子導致限制擴散之較大離子,此導致更大的傳導。在一些實施例中,含鎢薄膜是實質上無碳的。如在本說明書及隨附申請專利範圍中所使用,術語「實質上無碳」意指在薄膜中存在小於約1%或0.5%或0.1%的碳原子。
含鎢製程氣體可以一或更多個脈衝提供或連續不斷地提供。含鎢氣體之流量可為任何合適的流量,包括但不限於以下的流量:在約1 sccm至約5000 sccm之範圍內,或在約2 sccm至約4000 sccm之範圍內,或在約3 sccm至約3000 sccm之範圍內或在約5 sccm至約2000 sccm之範圍內。含鎢前驅物可在任何合適的壓力下提供,包括但不限於以下的壓力:在約5 mTorr至約25托之範圍內,或在約100 mTorr至約20托之範圍內,或在約5托至約20托之範圍內,或在約50 mTorr至約2000 mTorr之範圍內,或在約100 mTorr至約1000 mTorr之範圍內,或在約200 mTorr至約500 mTorr之範圍內。
將基板暴露至含鎢氣體之時間週期可為允許鎢前驅物在基板表面頂上形成足夠成核層所必需的任何合適的時間量。舉例而言,製程氣體可流入製程腔室達約0.1秒至約90秒之週期。在一些時域ALD製程中,含鎢氣體經暴露至基板表面達以下的時間:在約0.1秒至約90秒之範圍內,或在約0.5秒至約60秒之範圍內,或在約1秒至約30秒之範圍內,或在約2秒至約25秒之範圍內,或在約3秒至約20秒之範圍內,或在約4秒至約15秒之範圍內,或在約5秒至約10秒之範圍內。
在一些實施例中,惰性氣體可在與含鎢氣體相同的時間另外提供至製程腔室。惰性氣體可與含鎢氣體(例如,作為稀釋氣體)混合或單獨存在且可經脈衝輸送或具有恆定流量。在一些實施例中,惰性氣體係以在約1 sccm至約10000 sccm之範圍內的恆定流量流入處理腔室。惰性氣體可為任何惰性氣體,例如,氬氣、氦氣、氖氣、前述各者之組合等。在一或更多個實施例中,含鎢氣體係在流入製程腔室之前與氬氣混合。
基板在沉積期間之溫度可例如藉由設置基板支撐件或基座之溫度而控制。在一些實施例中,基板係保持在以下溫度下:在約300℃至約475℃之範圍內,或在約350℃至約450℃之範圍內。在一或更多個實施例中,基板係保持在以下溫度下:小於約475℃,或小於約450℃,或小於約425℃,或小於約400℃,或小於約375℃。
除前述內容外,可調節額外的製程參數同時將基板暴露至含鎢製程氣體。舉例而言,在一些實施例中,製程腔室可經保持在約0.3托至約90托的壓力下。
在一些實施例中,低電阻率薄膜(或可調晶粒大小薄膜)係使用矽烷預浸漬及ALD W沉積之組合而沉積。舉例而言,基板在任何ALD循環之前係浸漬在實質上僅矽烷中(亦即,在第1圖之步驟103中)。含鎢前驅物在鎢暴露步驟106期間與相對低量的氫氣共流動。與含鎢前驅物共流動之氫的量係足夠低以使得實質上不存在CVD沉積。氫之相對低流量係相對於步驟110中還原所需要之氫的量,而不是相對於正共流動之鎢前驅物的量。舉例而言,氫係以約5 sccm共流動(其中,還原需大於此處氫的量約2000倍)。在一些實施例中,含有含鎢化合物及氫之第一反應氣體具有氫原子多於鎢原子。在一或更多個實施例中,含鎢前驅物與氫之混合物係在約1:2至1:20之範圍內。發明者已驚喜地發現,以使用矽烷浸漬及氫共流動之製程沉積之鎢薄膜具有比其他製程更高的晶粒大小及更低的電阻率。藉由標準製程(亦即,無矽烷預浸漬及/或無氫共流動)沉積之70 Å鎢薄膜具有33 Å之晶粒大小。使用矽烷浸漬及氫共流動之70 Å鎢薄膜之晶粒大小為約70 Å。在一些實施例中,當鎢薄膜之厚度為約70 Å時,鎢薄膜具有大於或等於約60 Å或65 Å之晶粒大小。藉由標準製程沉積之200 Å鎢薄膜具有約40 μΩ•cm之電阻率,同時藉由矽烷浸漬及氫共流動製程沉積之薄膜具有約20 μΩ•cm之電阻率。在一些實施例中,當鎢薄膜具有約200 Å之厚度時,鎢薄膜具有小於或等於約20 μΩ•cm或25 μΩ•cm之電阻率。
緊接著,在步驟108,製程腔室(尤其在時域ALD中)可使用惰性氣體淨化。(在空間ALD製程中可能不需要此操作,因為存在分離反應氣體之氣幕。)惰性氣體可為任何惰性氣體,例如氬氣、氦氣、氖氣等。在一些實施例中,惰性氣體可為相同的,或者可不同於在106處將基板暴露至第一製程氣體期間提供至製程腔室之惰性氣體。在其中惰性氣體為相同的實施例中,淨化可藉由使第一製程氣體自製程腔室轉移、允許惰性氣體流經製程腔室而執行,從而淨化具有任何過量第一製程氣體組分或反應副產物之製程腔室。在一些實施例中,惰性氣體可以上述結合第一製程氣體使用之相同流量提供,或在一些實施例中,可增加或減少流量。舉例而言,在一些實施例中,惰性氣體可以約0 sccm至約10000 sccm之流量提供至製程腔室以淨化製程腔室。在空間ALD中,淨化氣體幕係保持在反應氣體之流動之間且可能不需要淨化製程腔室。然而,在一些實施例中,製程腔室可以惰性氣體淨化。
惰性氣體之流動可促進自製程腔室移除任何過量的第一製程氣體組分及/或過量的反應副產物以防止第一製程氣體及第二製程氣體之不當氣相反應。舉例而言,惰性氣體之流動可自製程腔室移除過量的含鎢氣體,從而防止在鎢前驅物與後續反應氣體之間的反應。
緊接著,在步驟110,將基板暴露至第二製程氣體達第二時間週期。第二製程氣體與基板表面上之含鎢化合物反應以產生沉積薄膜。第二製程氣體可對所得鎢薄膜具有重要影響。舉例而言,當第二製程氣體為H2時,沉積鎢薄膜,但當第二反應氣體為矽烷或二矽烷時,沉積矽化鎢薄膜。
在一些實施例中,第二反應氣體包含氫且所得的形成薄膜為鎢薄膜。氫氣可以大於含鎢氣體濃度之流量供應至基板表面。在一或更多個實施例中,H2 之流量係大於含鎢氣體之流量約1倍,或大於含鎢氣體之流量約100倍,或在含鎢氣體之流量約3000倍至5000倍的範圍內。在時域ALD中,氫氣可經供應達以下的時間:在約1秒至約30秒之範圍內,或在約5秒至約20秒之範圍內,或在約10秒至約15秒之範圍內。氫氣可在以下壓力下供應:在約1托至約30托之範圍內,或在約5托至約25托之範圍內,或在約10托至約20托之範圍內,或多達約50托。基板溫度可保持在任何適合溫度下。在一或更多個實施例中,基板係保持在小於約475℃之溫度下或在大約與含鎢薄膜沉積期間基板之溫度相同的溫度下。
在一些實施例中,特徵結構之保形覆蓋在脈衝輸送步驟及淨化步驟兩者中係使用通常約1秒至2秒之小步時間執行。此時間對於以下各者係足夠的:在脈衝輸送步驟中前驅物至基板表面上之合理吸附及在淨化步驟中自氣體輸送路徑以及腔室空腔淨化除掉過量的前驅物或還原氣體。如在本說明書及隨附申請專利範圍中所使用,術語「合理吸附」係足夠用於薄膜生長之吸附。在一些實施例中,鎢薄膜係以以下速率生長:小於或等於約0.9 Å/循環、0.8 Å/循環、0.7 Å/循環或0.6 Å/循環。較低的生長速率有益於保形生長,而較高的生長速率(例如,高於約1 Å/循環)傾向於生長非保形薄膜。本發明之一或更多個實施例係針對保形鎢薄膜。
在一些實施例中,第二反應氣體包含氫自由基。氫自由基可藉由任何合適的手段產生,包括氫氣至「熱線」之暴露。如在本說明書及隨附申請專利範圍中所使用,術語「熱線」意指可經加熱至足以在繞元件流動之氣體中產生自由基之溫度的任何元件。此亦稱為加熱元件。
因此,本發明之一或更多個實施例係針對處理基板或沉積薄膜之方法。將基板之至少一部分順序地暴露至包含金屬錯合物之第一反應氣體及第二反應氣體。金屬錯合物可為用於ALD處理之任何合適的金屬錯合物,包括但不限於有機金屬錯合物。在一些實施例中,有機金屬錯合物包含含鎢化合物。一些實施例之含鎢化合物具有經驗式Wx Cl5x (例如,WCl5 、W2 Cl10 )。在一或更多個實施例中,含鎢化合物具有經驗式Wx Cl6x (例如,WCl6 、W2 Cl12 )。第二反應氣體可包含氫自由基。一些實施例之方法係空間原子層沉積腔室中之做法或藉由空間原子層沉積製程所進行之做法。空間ALD對於以氫自由基沉積鎢薄膜可尤其有效,因為用來產生自由基之裝置(例如,熱線)可與金屬有機前驅物隔離,該等金屬有機前驅物經常與熱線材料不相容。
第二反應氣體(例如,氫)在通過熱線或加熱元件時變得自由基化。舉例而言,通過熱鎢線之H2 可導致產生H* 。該等氫自由基比基態氫原子更容易反應。
為了有效,加熱元件必須經加熱至足以產生自由基之溫度。加熱可藉由例如傳遞足夠電流通過加熱元件以升高加熱元件之溫度而發生。
緊接著,在112,製程腔室可使用惰性氣體淨化。惰性氣體可為任何惰性氣體,例如氬氣、氦氣、氖氣等。在一些實施例中,惰性氣體可為相同或者可不同於在先前製程步驟期間提供至製程腔室之惰性氣體。在其中惰性氣體為相同的實施例中,淨化可藉由使第二製程氣體自製程腔室轉移、允許惰性氣體流經製程腔室而執行,從而淨化具有任何過量第二製程氣體組分或反應副產物之製程腔室。在一些實施例中,惰性氣體可以上述結合第二製程氣體使用之相同流量提供,或在一些實施例中,可增加或減少流量。舉例而言,在一些實施例中,惰性氣體可以約0 sccm至約10000 sccm之流量提供至製程腔室以淨化製程腔室。
儘管第1圖圖示之處理方法之通用實施例包括僅兩個脈衝之反應氣體,但應理解,此僅為示例性的且可要求反應氣體之額外脈衝。舉例而言,一些實施例之氮化物薄膜可藉由以下各者生長:含有如五氯化鎢之前驅物氣體的第一脈衝、具有還原劑之第二脈衝,繼之以淨化及用於氮化之第三脈衝。脈衝可重複該等脈衝之整體或部分。舉例而言,可重複全部三個脈衝或可僅重複兩個。此可按需要對於每一循環變化。
緊接著,在114,決定含鎢層是否達到預定厚度。若未達到預定厚度,則方法100返回至104以繼續形成含鎢層直至達到預定或期望的厚度。一旦已達到預定厚度,則方法100可結束或進行至116,此處可執行整體沉積製程以沉積剩餘厚度的含鎢層。在一些實施例中,整體沉積製程可為CVD製程。在完成沉積含鎢層至期望厚度後,方法100大體結束且基板可進行任何進一步處理。舉例而言,在一些實施例中,可執行CVD製程以整體沉積含鎢層至目標厚度。舉例而言,在一些實施例中,含鎢層可經由鎢前驅物與氫自由基之ALD或CVD反應而沉積以形成以下的總體層厚度:約10 Å至約10000 Å,或在一些實施例中,約10 Å至約1000 Å,或在一些實施例中,約500 Å至約5000 Å。
儘管描述已大體將含鎢氣體稱為第一氣體,但熟習此項技術者將瞭解,此僅為說明性的。在一些實施例中,將基板首先暴露至第二反應氣體,接著暴露至含鎢氣體。
在任何上述實施例中,由將基板暴露至第一製程氣體、以惰性氣體淨化、將基板暴露至第二製程氣體及以惰性氣體淨化組成之每一循環可在基板上形成具有約0.1 Å至約1.5 Å之厚度的含鎢層。在一些實施例中,厚度按以下速率生長:在約0.1 Å/循環至約5 Å/循環之範圍內,或在約0.2 Å/循環至約3 Å/循環之範圍內,或在約0.3 Å/循環至約2 Å/循環之範圍內。順序可經重複直至達到含鎢層之期望總體厚度。舉例而言,在一些實施例中,含鎢層可包含約2 Å至約200 Å之總體厚度,或在一些實施例中約50 Å之總體厚度。因此,沉積製程可要求多達約2000次循環以達到期望厚度。
在任何上述實施例中,每一脈衝之流量及/或持續時間可為相同或可在形成特定含鎢層所要求之總體循環之過程中變化,從而促進層具有均勻組合物或分級組合物。
在一些實施例中,預調節基板表面。舉例而言,若基板之表面為氧化物,則可能期望使用氫化物或氫化物/氫混合物執行預浸漬。氫化物吸附基板表面及/或與基板表面反應來形成經調節表面,以允許形成均勻含鎢層。在一些實施例中,氫化物可包含矽烷(Six Hy )化合物(例如,矽烷(SiH4 )、二矽烷(Si2 H6 )、三矽烷(Si3 H8 )、氯矽烷、二氯矽烷(H2 SiCl2 )等)、硼烷(Bx Hy )化合物(例如,二硼烷(B2 H6 )、三硼烷(B3 H8 )、五硼烷(B5 H9 )等)、磷化氫(PH3 )、前述各者之衍生物、前述各者之組合等。另外,在一些實施例中,氫化物可經稀釋在稀釋劑氣體中,例如惰性氣體,諸如氬氣(Ar)、氦氣(He)、氮氣(N2 )、氫氣(H2 )等。舉例而言,在此等實施例中,氫化物可以按體積計約5%氫化物與約95%稀釋劑氣體之混合物提供。在例如其中氫化物包含二硼烷之一些實施例中,氫化物之流量可為約1 sccm至約75 sccm。
在實施例中,鎢薄膜可藉由置放具有10 Å或更厚之金屬層(諸如ALD TiN、TiSiN、TiAl、PVD Ti、TiN)的基板來形成,或若是藉由置放具有氧化物之基板來形成,則於保持在約400℃至約475℃之範圍內的溫度下的底座上在5托至20托之分壓下以二矽烷或氫與矽烷之混合物浸漬。含鎢化合物(例如,WCl5 或WCl6 )可在5托至20托下以氬氣之混合物脈衝輸送至晶圓表面,隨後以氬氣(或另一惰性氣體)淨化。氬氣壓力經增加至約20托且隨後開始氫脈衝輸送。氫自由基脈衝輸送可在高流量下進行,以便氫與含鎢化合物之比在約3000至5000之範圍內達約10秒至15秒。腔室係以氬氣(或另一惰性氣體)淨化達5秒至10秒。重複循環直至鎢薄膜之生長達到指定厚度。
本發明之一些實施例係針對含鎢薄膜。該等薄膜包括鎢金屬薄膜、氮化鎢、矽化鎢及鎢矽氮化物。含鎢薄膜可用於許多適當目的,包括但不限於p-金屬功函數層及充填材料。
因此,本發明之一些實施例係針對無氟鎢薄膜,該等無氟鎢薄膜用作在邏輯應用及記憶體應用兩者中製造場效電晶體(field effect transistor; FET)裝置中之金屬閘極之p金屬功函數層。藉由所述製程生長之薄膜具有顯著權益,包括比目前用作閘極金屬之其他薄膜顯著更低的電阻率及更高的熱穩定性(可經退火高達1000℃)。熱穩定性對於記憶體應用中之VNAND及BWL尤其重要。本發明之一或更多個實施例係針對鎢薄膜,該等鎢薄膜具有大於約4.6 eV或約4.7 eV或約4.8 eV之功函數。功函數金屬可經沉積於FET之閘極氧化物上。功函數金屬控制電流流動之臨界值。低臨界值要求更少的能量使用,因此更導電之金屬更好。藉由熟知製程沉積之鎢薄膜導致薄膜通常具有約4.45 eV之功函數。
本發明之一些實施例係針對積體電路電晶體裝置,該等積體電路電晶體裝置包含安置在通道上之介電層。功函數金屬係安置在介電層上且基本上由鎢組成之充填層係沉積在功函數層上。如在本說明書及隨附申請專利範圍中所使用,在此方面使用之術語「基本上由鎢組成」意指充填層係大於約95%、98%或99%的鎢。一些實施例之功函數層包含Ti及TiAl中之一或更多者。在一或更多個實施例中,功函數金屬實質上不含氟。在一些實施例中,充填層實質上不含氟。如在本說明書及隨附申請專利範圍中所使用,術語「實質上無氟」意指在薄膜中存在小於約5%、4%、3%、2%、1%、0.5%或0.1%的氟原子。在一些實施例中,在功函數金屬與基本上由鎢組成之薄膜之間不存在介入層。
在一或更多個實施例中,在功函數金屬與基本上由鎢組成之薄膜之間存在介入層。介入層可取決於積體電路電晶體之預期用途具有任何合適的厚度。在一些實施例中,介入層具有小於約7 Å、6 Å、5 Å、4 Å或3 Å之厚度。
在一些實施例中,含鎢薄膜係用作低電阻率充填材料。為達到完全無縫充填,應用週期處理(例如,在每隔10 Å至30 Å的薄膜之後)。處理之方法包括(a)交替暴露至TiCl4及氨;(b)執行TiCl4浸漬或(c)氫直接或遠端電漿暴露達10秒至30秒。處理可在與沉積製程相同的溫度下執行。所描述之製程將沉積約0.7 Å TiN(小於1 Å TiN)以再生表面且移除在沉積期間的額外氯化物。在該等實施例中沉積之TiN的量小於約½的TiN單層或在約1/3至約1/5之TiN單層的範圍內。
已發現,所描述之各種鎢薄膜可用於:例如,(1)使用矽烷浸漬之成核及薄膜生長重複性;(2)藉由生長初始的一或兩層矽化鎢而於氧化物上之黏附;(3)藉由以氨浸漬接著以矽烷浸漬減少粗糙度(以增加成核密度);(4)藉由TiCl4/NH3循環(在氧化物或氧化表面上)在界面處沉積小於約1 Å的TiN;及(5)整合薄TiN,以提供良好的成核、黏附及保形性(藉由任何合適的方法及試劑沉積)。
第2圖圖示可用來執行本發明之時域ALD實施例的設備之實施例之示意橫截面圖。設備可為用於處理基板之任何合適設備,例如,GEMINI ALD腔室或Centura ALD腔室,兩者皆可購自加利福尼亞州聖克拉拉之應用材料公司。
第2圖之設備大體為製程腔室200,該製程腔室200具有界定內部容積234之腔室主體206及安置於腔室主體206之上表面210上的腔室蓋270。安置在內部容積234內之基板支撐件212在基板接收表面214上支撐基板220。基板支撐件212(或底座)經裝設至舉升電動機228以升高或降低基板支撐件212及安置於基板支撐件212上之基板220。耦接至舉升電動機218之舉升板216係裝設在製程腔室200內且升高或降低穿過基板支撐件212可移動安置之銷222。銷222升高或降低在基板支撐件212之表面上的基板220。在一些實施例中,基板支撐件212包括真空夾盤、靜電夾盤或夾緊環用於將基板220固定至基板支撐件212。形成於腔室主體206之壁204內的開口208促進基板進出製程腔室200。
基板支撐件212經加熱以增加安置於基板支撐件212上之基板220之溫度。舉例而言,基板支撐件212可使用諸如電阻加熱器之嵌入式加熱元件加熱,或可使用諸如安置在基板支撐件212上方之加熱燈的輻射熱加熱。淨化環224係安置於基板支撐件212上以界定淨化通道226,該淨化通道226提供淨化氣體至基板220之周圍部分,以防止在該周圍部分上的沉積。
排氣系統231係與泵通道232連通以自製程腔室200排空任何不當氣體。排氣系統231亦幫助維持製程腔室200內部之期望壓力或期望壓力範圍。
氣體輸送系統250經耦接至腔室主體206以提供前驅物、製程氣體、載體氣體及/或淨化氣體至製程腔室200。氣體輸送系統250可大體包含氣體面板251,該氣體面板251具有複數個氣源(圖示六個)252、253、255、265、267、269及複數個閥門(圖示兩個)257、259,該等複數個閥門257、259經耦接至一或更多個導管(例如,導管256、258)以控制自氣體面板251至製程腔室200之氣體流動。在一些實施例中,複數個氣源252、253、255、265、267、269可經設置以使得該等複數個氣源252、253、255、265、267、269中之每一者可提供單獨氣體(例如,前驅物、製程氣體、載體氣體、淨化氣體等),例如上文相對於第1圖描述之氣體。
在一些實施例中,例如第2圖中所描繪,氣體面板251可經設置以在藉由複數個氣源252、253、255、265、267、269提供之氣體到達製程腔室200之前組合該等氣體中的一些氣體。在一些實施例中,一或更多個閥門257、259可沿導管256、261安置以控制藉由複數個氣源252、253、255、265、267、269提供之氣體流動。閥門257、259可為任何類型之閥門,例如,開關閥門、高速閥門、截止閥等,以促進脈衝輸送藉由氣體面板251提供之氣體。在一些實施例中,例如,如第2圖中所描繪,閥門257、259可為雙向閥,例如經設置以經由耦接至排氣系統230、271之導管261、273轉移氣體流動離開製程腔室200之分流器閥門。排氣系統230、231及271每一者可為相同的排氣系統或可為部分或完全不同的系統以防止排氣系統內之材料之反應及/或沉積,該反應及/或沉積可縮短排氣系統壽命或要求維護及/或清洗排氣系統之部件(例如,泵、導管、閥門等)。在此等實施例中,閥門257、259可位於沿各個導管256、258適於同時選擇性控制一或更多種氣體的任何位置。舉例而言,閥門257(第一閥門)可安置在耦接第一氣源252及第二氣源255之接合點263之下游以選擇性地經由導管256提供氣體至製程腔室200或經由導管261使氣體轉移至排氣系統230,如第2圖中所描繪。另外,在一些實施例中,閥門259(第二閥門)可安置在第五氣源253之下游以選擇性地經由導管258提供氣體至製程腔室200或經由導管273使氣體轉移至排氣系統271。在一些實施例中,第六氣源269可在閥門259之上游(如圖所示)或閥門259之下游耦接至第五氣源253以允許一起提供藉由第六氣源269提供之氣體與來自第五氣源253之氣體。
在一些實施例中,一或更多個流量限制器(未圖示)可沿導管256安置在閥門257、259之前及/或在閥門257、259之後。包括一或更多個流量限制器可減少在將氣體流動轉移至製程腔室或自製程腔室轉移時在導管256內之壓力的變化,從而輸送藉由氣源252、253、255提供之一致量的氣體。
在例如其中利用固態或液態前驅物的一些實施例中,氣體輸送系統250亦可包含一或更多個安瓿。在此等實施例中,一或更多個安瓿可經設置以允許含有固態或液態前驅物且使固態或液態前驅物昇華成為氣態形式用於輸送至製程腔室200內。
返回第2圖,腔室蓋270之底部表面272之至少一部分可自擴展通道274至腔室蓋270之周圍部分為楔形。擴展通道274改進自擴展通道274之氣體流動跨過基板220之表面(亦即,自基板之中心至基板之邊緣)的速度輪廓。在一些實施例中,底部表面272包含一或更多個楔形表面,諸如直面、凹面、凸面或前述各者之組合。在一些實施例中,底部表面272係以漏斗之形狀為楔形。擴展通道274為氣體入口之一個示例性實施例,該氣體入口用於自導管256至基板220輸送昇華之前驅物及載體氣體。其他氣體入口係可能的,例如,漏斗、非楔形通道、噴嘴、噴淋頭等。
諸如程式化個人電腦、工作站電腦等控制器240經耦接至製程腔室200。控制器240說明性地包含中央處理單元(CPU) 242、支援電路系統244及含有關聯控制軟體248之記憶體246。控制器240控制在製程腔室中執行之製程的操作條件,諸如如上相對於第1圖所述之ALD製程。舉例而言,控制器240可經設置以在沉積循環之不同階段期間控制各種前驅物氣體及淨化氣體自氣體輸送系統250至製程腔室200的流動。
第3圖為根據本發明之一或更多個實施例的空間原子層沉積系統300或反應器之示意橫截面圖。系統300包括負載鎖定腔室301及處理腔室302。處理腔室302為大體可密封外殼,該可密封外殼係在真空或至少低壓下操作。處理腔室302藉由隔離閥303與負載鎖定腔室301隔離。隔離閥303在閉合位置密封負載鎖定腔室301與處理腔室302且允許基板360經由閥門自負載鎖定腔室301轉移至處理腔室302,且在打開位置反之亦然。
系統300包括能夠在整個基板360上分佈一或更多種氣體的氣體分佈組件310。氣體分佈組件310可為熟習此項技術者熟知的任何合適的分佈板,且所描述之特定氣體分佈組件將不會視為限制本發明之範疇。氣體分佈組件310之輸出面面向基板360之第一表面361。
氣體分佈組件310包含複數個氣體埠及複數個真空埠,該等複數個氣體埠經設置以傳輸一或更多個氣體流至基板360,該等複數個真空埠經安置在每一氣體埠之間且經設置以傳輸氣體流離開處理腔室302。在第3圖之詳細實施例中,氣體分佈組件310包含第一前驅物注射器320、第二前驅物注射器330及淨化氣體注射器340。
注射器320、330、340可藉由諸如主機之系統電腦(未圖示)控制或藉由諸如可程式化邏輯控制器之腔室特定控制器控制。前驅物注射器320經設置以經由複數個氣體埠325注射化合物A之反應前驅物之連續(或脈衝)流至處理腔室302內。前驅物注射器330經設置以經由複數個氣體埠335注射化合物B之反應前驅物之連續(或脈衝)流至處理腔室302內。淨化氣體注射器340經設置以經由複數個氣體埠345注射非反應或淨化氣體之連續(或脈衝)流至處理腔室302內。淨化氣體幫助自處理腔室302移除反應材料及反應副產物。淨化氣體通常為惰性氣體,諸如氮氣、氬氣及氦氣。氣體埠345經安置在氣體埠325與氣體埠335之間以便分離化合物A之前驅物與化合物B之前驅物,從而避免在該等前驅物之間的交叉污染。一些實施例之氣體埠325、335、345及真空埠355係狹長氣體埠,該等狹長氣體埠形成朝向(或遠離)基板表面導向之氣體的通道,以便通道延伸跨過基板之部分。
在另一態樣中,遠端電漿源(未圖示)可在將前驅物注射至腔室302內之前連接至前驅物注射器320及前驅物注射器330。反應物種之電漿可藉由對遠端電漿源內之化合物施加電場來產生。可使用能夠活化所想要化合物之任何電源。舉例而言,可使用以下的電源:該等使用基於DC、射頻(RF)及微波(MW)之放電技術的電源。若使用RF電源,則該RF電源可經電容式或電感式耦接。活化亦可藉由基於熱的技術、氣體擊穿技術、高強度光源(例如,UV能量)或至X射線源之暴露而產生。示例性遠端電漿源可購自供應商,諸如MKS Instruments公司及Advanced Energy Industries, Inc.公司。
系統300進一步包括連接至處理腔室302之泵浦系統350。泵浦系統350大體經設置以經由一或更多個真空埠355將氣體流排出處理腔室302外。術語「真空埠」與「泵埠」可交換使用。真空埠355安置在每一氣體埠之間,以便在氣體流與基板表面反應之後將氣體流排出處理腔室302外且進一步限制在前驅物之間的交叉污染。
系統300包括複數個隔板363,該等複數個隔板363係安置在處理腔室302內之每一埠之間。每一隔板363之下部分延伸接近於基板360之第一表面361。舉例而言,離第一表面361約0.5 mm或更大。以此方式,隔板363之下部分與基板表面361分離一距離,該距離足以允許氣體流在氣體流與基板表面361反應之後在下部分周圍朝向真空埠355流動。箭頭398指明氣體流之方向。因為隔板363操作作為氣體流之實體阻障,故該等隔板363亦限制在前驅物之間的交叉污染。圖示之配置僅為說明性的且將不應視為限制本發明之範疇。熟習此項技術者將理解,圖示之氣體分佈系統僅為一個可能的分佈系統且可使用其他類型之噴淋頭。
在操作中,基板360經輸送(例如,藉由機器人),至負載鎖定腔室301且置放於梭365上。在打開隔離閥303之後,梭365係沿軌道370移動。一旦梭365進入處理腔室302,則隔離閥303關閉,以密封處理腔室302。梭365隨後移動通過處理腔室302用於處理。在一個實施例中,梭365係沿直線路徑移動通過腔室。
當基板360移動通過處理腔室302時,基板360之第一表面361係重複地暴露至來自氣體埠325之化合物A之前驅物及來自氣體埠335之化合物B之前驅物以及化合物A之前驅物與化合物B之前驅物之間來自氣體埠345的淨化氣體。淨化氣體之注射經設計以在將基板表面361暴露至下一個前驅物之前自先前前驅物移除未反應的材料。在至各種氣體流(例如,前驅物或淨化氣體)之每一暴露之後,氣體流係藉由泵浦系統350經由真空埠355排空。因為真空埠可安置於每一氣體埠之兩側上,故氣體流係經由兩側上之真空埠355排空。因此,氣體流自各個氣體埠垂直向下朝向基板360之第一表面361、跨過基板表面361及在隔板363之下部分周圍及最終向上朝向真空埠355流動。以此方式,每一氣體可在整個基板表面361上均勻地分佈。箭頭398指明氣體流動之方向。基板360亦可旋轉的同時暴露至各種氣體流。基板之旋轉可用於防止在所形成層中形成條帶。基板之旋轉可為連續或離散的步驟。
在處理腔室302之端部大體提供足夠的空間以便確保在處理腔室302內最後氣體埠之完全暴露。一旦基板360到達處理腔室302之端部(亦即,第一表面361已經完全暴露至腔室302內之每一氣體埠),則基板360沿朝向負載鎖定腔室301之方向返回。當基板360朝向負載鎖定腔室301向後移動時,基板表面可以與第一次暴露相反的次序再次暴露至化合物A之前驅物、淨化氣體及化合物B之前驅物。
將基板表面361暴露至每一氣體的程度可藉由例如出自氣體埠之每一氣體之流量及基板360之移動速率決定。在一個實施例中,每一氣體之流量經設置以免自基板表面361移除吸附的前驅物。在每一隔板之間的寬度、安置於處理腔室302上之氣體埠的數量及基板經來回傳遞之次數亦可決定基板表面361暴露至各種氣體的程度。因此,沉積薄膜之量及品質可藉由改變上述因數而最佳化。
在另一實施例中,系統300可包括前驅物注射器320及前驅物注射器330且無淨化氣體注射器340。因此,當基板360移動通過處理腔室302時,基板表面361將交替地暴露至化合物A之前驅物及化合物B之前驅物,而不在化合物A之前驅物與化合物B之前驅物之間暴露至淨化氣體。
第3圖圖示之實施例在基板上方具有氣體分佈組件310。儘管已經描述實施例且相對於此垂直方向圖示實施例,但將理解,相反方向亦為可能的。在彼情況中,基板360之第一表面361將面向下,而朝向基板之氣體流動將向上導向。
在又一實施例中,系統300可經設置以處理複數個基板。在此實施例中,系統300可包括第二負載鎖定腔室(安置在負載鎖定腔室301之相反端)及複數個基板360或具有一或更多個氣體分佈組件之旋轉料架處理腔室。基板360可經輸送至負載鎖定腔室301且自第二負載鎖定腔室擷取基板360。在一或更多個實施例中,至少一個輻射熱燈390經定位以加熱基板360之第二側。
在一些實施例中,梭365為用於攜帶基板360之基座366。大體上,基座366為載體,該載體幫助在整個基板上形成均勻溫度。基座366可在負載鎖定腔室301與處理腔室302之間雙向移動(相對於第3圖之配置左至右及右至左)。基座366具有用於攜帶基板360之頂部表面367。基座366可為加熱之基座,以便基板360可經加熱用於處理。作為實例,基座366可藉由安置在基座366下面的輻射熱燈390、加熱板、電阻式線圈或其他加熱裝置加熱。
在另一實施例中,基座366之頂部表面367包括凹槽368,該凹槽368經設置以接受基板360,如第4圖所示。基座366係大體比基板之厚度更厚,以便有基座材料位於基板下方。在詳細實施例中,凹槽368經設置以使得當將基板360安置在凹槽368內部時,基板360之第一表面361與基座366之頂部表面367處於同一水平。換言之,一些實施例之凹槽368經設置以使得當將基板360安置在凹槽368內時,基板360之第一表面361不突出在基座366之頂部表面367上方。
第5圖至第14圖圖示根據本發明之各種實施例之氣體分佈組件310。氣體分佈組件310包含輸入面301及輸出面303。輸入面301(第5圖中圖示)具有第一反應氣體輸入305用於接收第一反應氣體A之流動及第二反應氣體輸入307用於接收第二反應氣體B之流動。輸入面301亦具有用於一或更多種淨化氣體之輸入309及用於連接至一或更多個真空埠之埠311。
各種實施例之輸出面303具有複數個狹長氣體埠313。氣體埠313經設置以將氣體流動導向基板,該等氣體埠313可經定位鄰近輸出面303。狹長氣體埠313包括至少一個第一反應氣體埠及至少一個第二反應氣體埠。每一第一反應氣體埠係與第一反應氣體輸入305流動連通以允許第一前驅物流經氣體分佈組件310。每一第二反應氣體埠係與第二反應氣體輸入307流動連通以允許第二前驅物流經氣體分佈組件310。
如第6圖所示,氣體埠在通道317內可包括複數個開口315。通道317為氣體分佈組件之輸出面內的凹陷式狹槽。氣體流動離開開口315且藉由通道317壁導向基板表面。開口315經圖示為圓形的,但應理解開口315可為任何合適的形狀,包括但不限於正方形、矩形及三角形。開口315之數量及大小亦可經改變以適配每一通道317內更多或更少的開口。在第6圖圖示之詳細實施例中,淨化氣體(P)、第一反應氣體埠(A)及第二反應氣體埠(B)包含定位在通道內之複數個開口。與真空埠相關聯之開口318位於氣體分佈組件310之輸出面303上,而不是在通道317內,但亦可定位在通道內。
第6圖圖示之特定實施例具有狹長氣體埠之組合,該組合在將基板沿箭頭350垂直移動至狹長氣體埠時將提供特定順序之氣體流至基板表面。儘管將基板描述為正移動,但熟習此項技術者將理解,基板可保持固定不動且氣體分佈組件310可移動。是在基板與氣體分佈組件310之間的相對移動才稱為基板移動。垂直移動至狹長氣體埠之基板將經受按順序為淨化氣體流、第一反應氣體A流、淨化氣體流、第二反應氣體B流、淨化氣體流、第一反應氣體A’流及淨化氣體流之氣體流動。真空埠係在每一氣體流之間,該等真空埠將氣體流導引離開處理腔室。此產生根據第3圖圖示之箭頭398之流動圖案。
在特定實施例中,氣體分佈組件基本上由按順序為前導第一反應氣體埠A、第二反應氣體埠B及收尾第一反應氣體埠A’組成。如在此上下文及隨附申請專利範圍中所使用,術語「基本上由...組成」意指氣體分佈組件不包括用於反應氣體之任何額外氣體埠。用於非反應氣體(例如,淨化氣體)及真空之埠可穿插在各處,同時仍處於基本上由條款組成的範圍內。舉例而言,氣體分佈組件310可具有八個真空埠V及四個淨化埠P,但仍基本上由前導第一反應氣體埠A、第二反應氣體埠B及收尾反應氣體埠A’組成。此多樣性之實施例可稱為ABA設置。
使用ABA設置確保自任一方向移動之基板將在遭遇第二反應氣體B埠之前遭遇第一反應氣體A埠。跨氣體分佈組件310之每一通過將產生組合物B之單薄膜。在此,兩個第一反應氣體A埠圍繞第二反應氣體B埠以便自圖式之頂部至底部移動(相對於氣體分佈組件)的基板將按順序遇見前導第一反應氣體A、第二反應氣體B及收尾第一反應氣體A’,導致在基板上形成完整層。沿相同路徑返回之基板將遇見相反次序的反應氣體,導致每一全循環產生兩個層。跨此氣體分佈組件來回移動之基板將暴露至AB AAB AAB (AAB)n ... AABA的脈衝順序以形成均勻薄膜組合物B。在順序結束時暴露至第一反應氣體A是不重要的,因為不存在後續的第二反應氣體B。熟習此項技術者將理解,儘管將薄膜組合物稱為B,但B確實為反應氣體A及反應氣體B之表面反應產物中之一種產物,且僅使用B係為了便於描述薄膜。
如第7圖所示,氣體分佈組件310包括加熱元件501以激勵氣體物種,該加熱元件501亦可稱為「線」或「熱線」。加熱元件501係定位在第一反應氣體埠及第二反應氣體埠之任一者或兩者中。加熱元件501經連接至電源線323(第5圖中圖示),該電源線323經設置以使得電流流經加熱元件501而加熱加熱元件501。加熱元件501經加熱至高溫以激勵鄰近加熱元件501通過之氣體中的物種。線的目的是在氣體中產生自由基物種,而非造成基板中之溫度升高。加熱元件501可經置放於其中不存在至基板表面之直接暴露的位置中,同時仍能夠在氣體中導致自由基物種形成。舉例而言,若將加熱元件501置放在第二反應氣體埠中,則元件將導致第二反應氣體中之一部分分子變為經激勵的。在激勵態中,分子具有較高能量且更可能在給定處理溫度下與基板表面反應。
加熱元件之置放可對接觸基板之自由基物種的量有影響。置放加熱元件離基板太遠可相對於更近置放允許更大數量之自由基物種在接觸基板表面之前變得去活化。自由基物種可藉由與其他自由基、氣體流中之分子及氣體分佈組件接觸而變得去活化。然而,置放加熱元件遠離基板可幫助防止加熱元件加熱基板表面同時仍在氣體中產生自由基物種。加熱元件501可經置放足夠接近基板之表面以確保所激勵物種存在足夠久以在不造成基板之局部溫度的顯著變化的情況下接觸表面。如在本說明書及隨附申請專利範圍中所使用,術語「局部溫度之顯著變化」意指基板鄰近線的部分不具有大於約10℃的溫度增加。加熱元件501可類似第7圖所圖示般定位在敞開通道317內或在氣體擴散部件之後。氣體擴散部件之實施例亦圖示在第7圖中,該氣體擴散部件具有複數個小間隔孔徑,該等小間隔孔徑經置放在氣體埠之出口區。加熱元件501可經定位在氣體擴散部件之後,此能夠在不顯著改變基板之局部溫度的情況下激勵氣體物種。在詳細實施例中,線經加熱以激勵氣體物種,同時產生小於約10℃之表面溫度改變。在各種實施例中,在基板表面之溫度中的局部改變係小於約7℃、5℃或3℃。在特定實施例中,局部溫度改變係小於約2℃、1℃或0.5℃。
加熱元件可由能夠在相對短時間週期內升高至高溫的任何合適材料製得。合適材料係與反應氣體相容的一種材料。如在本說明書及隨附申請專利範圍中所使用,在此方面使用之術語「相容」意指加熱元件在標準溫度及壓力下與反應氣體不自發地反應。加熱元件之溫度可對氣體物種之自由基化的程度有影響。舉例而言,氧氣可要求溫度高達約2000℃,同時聚合物種可僅需要在約300℃至約500℃之範圍內的溫度。在一些實施例中,加熱元件係能夠經加熱至至少以下溫度:約1000℃、1100℃、1200℃、1300℃、1400℃、1500℃、1600℃、1700℃、1800℃、1900℃或2000℃。在各種實施例中,加熱元件係能夠經加熱至以下溫度:在約300℃至約2000℃之範圍內,或在約700℃與約1400℃之範圍內,或在約800℃至約1300℃之範圍內。供應至加熱元件之功率可在整個處理之任何點處經調節或開啟及關閉。此允許對於僅部分的處理加熱加熱元件以產生激勵氣體物種。
加熱元件之厚度及長度亦可取決於所使用之材料而改變。用於加熱元件之合適材料的實例包括但不限於鎢、鉭、銥、釕、鎳、鉻、石墨及前述各者之合金。舉例而言,在氧氣為正自由基化之物種的情況中,鉭或鎢之使用可能不當,因為該等材料對氧氣敏感且可導致線之破壞。在詳細實施例中,加熱元件包含鎢。
返回參考第5圖,電源可為能夠控制經由加熱元件之電流流動的任何合適電源。第5圖圖示之電源饋通321具有電源線323且為加熱元件提供機械支撐及電氣支援兩者且允許將加熱元件置放在氣體流動之路徑中。電源饋通321經由安裝區塊327連接至氣體分佈組件310,該安裝區塊327可包括絕緣體來以電氣方式將電源線323及加熱元件與氣體分佈組件隔離。第5圖之實施例中的加熱元件延伸通過第一反應氣體通道且可為環繞第二反應氣體通道之個別加熱元件或單個加熱元件。
第7圖圖示之加熱元件501延伸通過通道317且加熱元件501之端部與電源線323、324接觸。然而,在本發明之一或更多個實施例中,加熱元件為可插入通道317內或附接至氣體分佈組件310之輸出面303的單獨組件之部分。因此,參閱第8圖,本發明之一或更多個實施例係針對此組件600。圖示之組件600包含沿縱軸630延伸之狹長外殼605。狹長外殼具有敞開內部區域606,該敞開內部區域606可允許氣體流動通過外殼605。如箭頭630圖示之氣體流動可沿實質上垂直於縱軸之方向通過外殼。如在本說明書及隨附申請專利範圍中所使用,術語「實質上垂直」意指氣體流動通過外殼且以鈍角在加熱元件601周圍。熟習此項技術者將理解,氣體流動可與外殼處於非90°的角度且仍屬於「實質上垂直」的含義內。在一些實施例中,氣體流動實質上垂直於外殼,形成以下角度:大於約25°、30°、35°、40°、45°、50°、55°、60°、65°、70°、75°、80°或85°。在一些實施例中,氣體流動相對於外殼形成以下角度:在約25°至約90°之範圍內,或在約45°至約90°之範圍內,或在約60°至約90°之範圍內,或在約75°至約90°之範圍內,或在約80°至約90°之範圍內。
圖示之外殼605在兩側上具有平面611,且側613自外殼605之一端至另一端具有實質上均勻厚度。然而,熟習此項技術者將理解,圖示之形狀及近似尺寸僅為示例性的且將不會視為限制本發明之範疇。
外殼605係由在處理腔室內所經受的溫度下實質上耐熱膨脹的材料製得。如在本說明書及隨附申請專利範圍中所使用,術語「實質上耐熱膨脹」意指外殼605之總長度在自由基化期望氣體物種所要求之溫度下改變不超過約5%。在各種實施例中,外殼之總長度相對於外殼605在室溫下之長度改變不超過約4%、3%、2%、1%或0.5%。在詳細實施例中,外殼係由基於石英或陶瓷的材料製得,包括石英及陶瓷。如在本說明書及隨附申請專利範圍中所使用,術語「陶瓷」係指無機非金屬材料。陶瓷之合適實例包括但不限於氧化鋁、氧化鈹、二氧化鈰、氧化鋯、碳化物、硼化物、氮化物、矽化物、複合材料、該等材料之氧化物及非氧化物。加熱元件之厚度可為均勻的或為變化厚度。在一些實施例中,加熱元件係具有在約0.01 mm至約5 mm之範圍內的橫截面直徑的線。一些實施例之加熱元件具有變化的密度/單位長度。
加熱元件601自狹長外殼605之第一端620延伸至第二端622。如已經描述,加熱元件601包含適合於藉由電流加熱的材料。第8圖圖示之實施例包括至少一個電導線610,圖示為兩個導線,與加熱元件601電氣連通或電氣接觸以允許電流流經加熱元件601。電導線610可與定位在氣體分佈組件上之電氣觸點相互作用。舉例而言,氣體分佈組件之通道內或氣體分佈組件之表面上可包括電氣觸點對(正觸點及負觸點)。該等電氣觸點對中每一者可經單獨供電或作為一或更多個單元供電。在詳細實施例中,至少一個電導線610施加電流至加熱元件時實質上不增加溫度。在特定實施例中,至少一個電導線610實質上不導致外殼605之膨脹。
儘管第8圖圖示兩個單獨電導線610,但將理解,在外殼之任一端上僅可定位一根電導線610。在此類別之實施例中,加熱元件601可延伸通過外殼605且存在延伸超出外殼之端部的部分。舉例而言,第9圖圖示組件700之實施例,其中加熱元件701包含部分730,延伸超出狹長外殼705之第一端720及第二端722中一或多個。延伸的部分730可充當電導線。
當被加熱時,加熱元件可膨脹,導致加熱元件之下垂。此降低自由基化之效率且允許加熱元件變得更接近於基板,此舉加熱基板。此下垂可能不當。為最小化加熱元件之下垂,加熱元件之一或更多個端可經固持在張力器內(未圖示)。張力器拉起加熱元件之端部以最小化下垂。施加於加熱元件之張力的量可為恆定或動態的。在動態張力環境中,當加熱元件變熱且變長時,增加加熱元件上之張力的量以防止下垂。
加熱元件可具有任何合適的形狀且不局限於圖式所具體化之形狀。合適的形狀包括但不限於直線形、正弦形、螺旋形、曲線形、手風琴形及方波形。在詳細實施例中,如第9圖所示,加熱元件701以實質上直線路徑自外殼705之第一端720延伸至第二端722。第10圖圖示組件800之另一實施例,其中加熱元件801以螺旋形路徑延伸。螺旋之圈數及緊度可以變化且不應視為局限於圖式所圖示之形狀。第11圖圖示另一組件900,其中有兩個加熱元件901延伸在外殼905之第一端920與第二端922之間。第11圖圖示對於與加熱元件電氣連接之加熱元件901之每一端的單個延伸930,但將理解,對於每一加熱元件901可存在延伸。另外,可存在任何數量的個別加熱元件且將理解,每一元件之形狀可能不同且形狀之混合是可能的。
第12圖圖示組件1000之另一實施例,其中加熱元件1001經密封在外罩1002內。在施加電流後,加熱元件1001增加溫度且加熱外罩1002。外罩1002經暴露至流經外殼之內部區域1006的氣體。此類別之實施例可具有特定用途,其中加熱元件1001係與流經內部區域1006之氣體不相容。在詳細實施例中,外罩1002為能夠藉由加熱元件1001加熱而不變形的材料。在特定實施例中,外罩1002包含石英。
各種實施例之組件可經大小調整以適配在氣體分佈組件之通道內,以便加熱元件可容易添加至自氣體分佈組件或自氣體分佈組件移除。第13圖圖示其中狹長外殼1105經大小調整以適配在氣體分佈組件310之氣體埠內的實施例。將加熱元件501併入外殼1105內允許加熱元件501容易自處理腔室移除以進行替換或清洗。儘管圖示之實施例具有敞開通道317,但將理解,在加熱元件與基板之間可存在漫射器。
參考第13圖之底部部分,圖示有另一實施例,其中狹長外殼1155經設置以附接至氣體分佈組件310之正面303。外殼1155可經定位以使得來自氣體埠之氣體通過外殼1155之敞開內部區域1156且組件實質上不干擾來自鄰近氣體埠之氣體流動。外殼1155係藉由連接1123、1124供電,如上所述。
第14圖圖示之加熱元件係圖示為直線組件。然而,組件之形狀可取決於期望用途而改變。第14圖圖示本發明之另一實施例,其中組件1200覆蓋具有單個加熱元件1201之兩個通道317。組件1200包含具有兩個敞開內部區域1206之狹長外殼1205,該等兩個敞開內部區域1206定位在通道317上。加熱元件1201經連接至電源線1223、1224。此實施例之加熱元件1201可在其中加熱元件1201不暴露至氣體流動的絕緣部分1208內轉向通過外殼1205。在一些實施例中,加熱元件1201經暴露在整個路徑上。換言之,敞開內部區域可使外殼之形狀與未定位在氣體埠前面之加熱元件1201之部分匹配。
在第14圖圖示之實施例類別中,電源線1223、1224具有相反極性以允許電流流動。因此,一電源線將為正且另一電源線將為負。此設置可相對易於設置,且單個電源經連接至電源線1223、1224兩者。單個電源(未圖示)可包括控制流經線之電流的機構,諸如電位計。
氣體分佈組件之一些實施例包含基本上由以下各者組成之複數個狹長氣體埠:按順序為交替的第一反應氣體A埠及第二反應氣體B埠的至少兩個重複單元,接著是收尾第一反應氣體A’埠。換言之,第一反應氣體A埠及第二反應氣體B埠之組合(該組合可稱為AB單元)與收尾第一反應氣體A'埠經重複至少兩次。熟習此項技術者將理解,氣體分佈組件中可存在淨化、真空及複數個開口。
在一些實施例中,一或更多個層可在電漿增強原子層沉積(PEALD)製程期間形成。在一些製程中,使用電漿提供足夠能量以促進物種進入表面反應變得良好且可能的激勵態。將電漿引入製程內可為連續或脈衝輸送式。在一些實施例中,前驅物(或反應氣體)及電漿之順序脈衝係用來處理層。在一些實施例中,試劑可經本地(亦即,在處理區內)或遠端(亦即,在處理區外部)離子化。在一些實施例中,遠端離子化可出現在沉積腔室之上游,以使得離子或其他高能或發光物種不與沉積薄膜直接接觸。在一些PEALD製程中,電漿係諸如藉由遠端電漿產生器系統產生自處理腔室外部。電漿可經由熟習此項技術者熟知的任何合適電漿產生製程或技術而產生。舉例而言,電漿可藉由微波(MW)頻率產生器或射頻(RF)產生器中之一或更多者而產生。電漿之頻率可取決於正使用之特定反應物種而調整。合適的頻率包括但不限於2 MHz、13.56 MHz、40 MHz、60 MHz及100 MHz。儘管可在本文揭示之沉積製程期間使用電漿,但應注意可能不需要電漿。實際上,其他實施例係關於在無電漿之情況下在極溫和條件下的沉積製程。
如上所述之鎢成核層已圖示在與傳統整體充填技術整合以形成具有極佳薄膜性質之特徵結構時的特定效用。整合方案可包括ALD製程或脈衝式CVD製程以沉積成核層,同時整體層可藉由CVD製程或PVD製程沉積。能夠執行此整合方案之整合處理系統包括Endura™處理系統、Endura SL™處理系統、Centura™處理系統及Producer™處理系統,以上每一者可購自位於加利福尼亞州聖克拉拉之應用材料公司。該等系統任一者可經設置以包括用於沉積成核層之至少一個ALD或脈衝式CVD腔室及包括用來整體充填之至少一個CVD腔室或PVD腔室。
第15A圖為示例性多腔室處理系統1500之示意頂視圖。類似的多腔室處理系統係揭示在共同讓渡之美國專利第5,186,718號中,該美國專利以引用之方式併入本文。系統1500大體包括負載鎖定腔室1502、1504,用來將基板轉移至系統1500內及將基板轉移離開系統1500。通常,因為系統1500處於真空下,故負載鎖定腔室1502、1504可「向下泵送」經引入系統1500內的基板。第一機器人1510可在負載鎖定腔室1502、1504與一或更多個基板處理腔室1512、1514、1516、1518(圖示有四個)之第一集合之間轉移基板。每一處理腔室1512、1514、1516、1518可經裝備以執行一定數量個基板處理操作,諸如循環層沉積、CVD、PVD、蝕刻、預清洗、脫氣、定向及其他基板製程。第一機器人1510亦轉移基板至一或更多個轉移腔室1522、1524/自一或更多個轉移腔室1522、1524轉移基板。
轉移腔室1522、1524係用來維持超高真空條件同時允許將基板在系統1500內轉移。第二機器人1530可在轉移腔室1522、1524與一或更多個處理腔室1532、1534、1536、1538之第二集合之間轉移基板。類似於處理腔室1512、1514、1516、1518,處理腔室1532、1534、1536、1538可經裝備以執行各種基板處理操作,諸如循環沉積、CVD、PVD、蝕刻、預清洗、脫氣及定向。基板處理腔室1512、1514、1516、1518、1532、1534、1536、1538中之任何者若對於待藉由系統1500執行之特定製程為不必要,則可自系統1500移除。
在一個配置中,每一處理腔室1532及1538可為適應於沉積成核層之循環沉積腔室;每一處理腔室1534與1536可為適應於形成整體層之循環沉積腔室、化學氣相沉積腔室或物理氣相沉積腔室;每一處理腔室1512及1514可為適應於沉積介電層之物理氣相沉積腔室、化學氣相沉積腔室或循環沉積腔室;及每一處理腔室1516及1518可為經裝備以蝕刻互連特徵結構之孔徑或開口的蝕刻腔室。系統1500之此一特定配置經提供以說明本發明且將不應用來限制本發明之範疇。
另一整合系統可包括在單個腔室內之成核沉積以及整體充填沉積。可使用經設置以在循環沉積模式以及習知CVD模式兩者中操作的腔室。此腔室之一個實例係描述在2001年12月12日提出申請之共同讓渡之美國專利申請案第10/016,300號中,該美國專利申請案係以引用之方式併入本文。
在另一整合方案中,一或更多個循環沉積成核腔室經整合至第一處理系統上,同時一或更多個整體層沉積腔室經整合至第二處理系統上。在此設置中,基板在將成核層沉積於基板上之第一系統中經第一次處理。此後,基板經移動至發生整體沉積之第二處理系統。
第15B圖為示例性多腔室處理系統1550之示意頂視圖。系統1550大體包括負載鎖定腔室1552、1554用於轉移基板至系統1550內及轉移基板離開系統1550。通常,因為系統1550處於真空下,故負載鎖定腔室1552、1554可「向下泵送」經引入系統1550內之基板。機器人1560可在負載鎖定腔室1552、1554與基板處理腔室1562、1564、1566、1568、1570及1572之間轉移基板。每一處理腔室1562、1564、1566、1568、1570及1572可經裝備以執行一定數量個基板處理操作,諸如循環層沉積、CVD、PVD、蝕刻、預清洗、脫氣、加熱、定向及其他基板製程。機器人1560亦轉移基板至轉移腔室1556/自轉移腔室1556轉移基板。基板處理腔室1562、1564、1566、1568、1570及1572中之任何者若對於待藉由系統1550執行之特定製程為不必要,則可自系統1550移除。
在一個配置中,每一處理腔室1564及1570可為適應於沉積成核層之循環沉積腔室;每一處理腔室1566及1568可為適應於形成整體充填沉積層之循環沉積腔室、化學氣相沉積腔室或物理氣相沉積腔室。系統1550之此一特定配置經提供以說明本發明且不應用來限制本發明之範疇。
或者,在單個腔室內具有複數個站之旋轉料架類型批處理系統可適應於將成核及整體層沉積併入單個處理系統內。在此處理系統中,可在每一站之間建立諸如氬氣幕之淨化氣體幕以在每一站處創造微型或小型環境。基板經順序裝載至系統內且隨後轉動通過每一站且在每一站處至少部分地經處理。舉例而言,基板可在第一站處經暴露至循環沉積成核步驟且隨後在每一後續站處經暴露至局部的整體充填CVD步驟。或者,成核可在超過一站處發生且整體充填可在一或更多個站處發生。更進一步,成核層及整體層可在單獨旋轉料架類型系統中沉積。在另一態樣中,浸漬及成核步驟係在一個旋轉料架內完成,同時整體步驟係在另一旋轉料架上進行,其中兩個旋轉料架為相同製程系統之部分。每一台板可經溫度控制以在每一站處提供至少一些製程控制。然而,製程壓力在站之間通常保持相同,因為該等站係容納在單個腔室內。一些壓力控制可由於惰性氣體幕而可用於在每一站處存在之微型或小型環境。
無論整合方案如何,成核層通常經沉積至在自約10 Å至約200 Å之範圍內的厚度,且整體層具有以下的厚度:在自約100 Å至約10000 Å之範圍內,較佳地在自約1000 Å至約5000 Å之範圍內。然而,該等薄膜之厚度可取決於給定應用之特徵結構大小及長寬比而變化。因此,薄膜經適當地大小調整以適應給定應用之幾何形狀。以下為可得益於根據本文描述之實施例沉積之成核層的一些示例性幾何形狀及應用。以下描述僅意欲為說明性目的且不意欲限制本發明之使用。
第16圖圖示處理腔室1600之橫截面,該處理腔室1600包括亦稱為注射器或注射器組件之氣體分佈組件1620及基座組件1640。氣體分佈組件1620為用於處理腔室之任何類型的氣體輸送裝置。氣體分佈組件1620包括前表面1621,該前表面1621面向基座組件1640。前表面1621可具有任何數量或種類之開口以朝向基座組件1640輸送氣體流動。氣體分佈組件1620亦包括外邊緣1624,該外邊緣1624在實施例中圖示為實質上圓形的。
所使用之氣體分佈組件1620之特定類型可取決於正使用之特定製程而變化。本發明之實施例可與其中基座與氣體分佈組件之間的間隙受控的任何類型之處理系統一起使用。儘管可使用各種類型之氣體分佈組件(例如,噴淋頭),但本發明之實施例可尤其有用於空間ALD氣體分佈組件,該等空間ALD氣體分佈組件具有複數個實質上平行的氣體通道。如在本說明書及隨附申請專利範圍中所使用,術語「實質上平行」意指氣體通道之狹長軸沿相同的大體方向延伸。在氣體通道之平行中可存在微小缺陷。複數個實質上平行的氣體通道可包括至少一個第一反應氣體A通道、至少一個第二反應氣體B通道、至少一個淨化氣體P通道及/或至少一個真空V通道。流自一或更多個第一反應氣體A通道、一或更多個第二反應氣體B通道及一或更多個淨化氣體P通道之氣體係經導向晶圓之頂部表面。一些氣體流動水平移動跨過晶圓之表面且經由一或更多個淨化氣體P通道離開處理區域。自氣體分佈組件之一端移動至另一端的基板將依次暴露至每一製程氣體,從而於基板表面上形成層。
在一些實施例中,氣體分佈組件1620為由單個注射器單元構成之剛性固定主體。在一或更多個實施例中,氣體分佈組件1620係由複數個個別扇區1622組成(見第17圖)。具有單塊主體或多扇區主體之氣體分佈組件可與所描述之本發明各種實施例一起使用。
基座組件1640係定位在氣體分佈組件1620下方。基座組件1640包括邊緣1644、頂部表面1641及底部表面1643以界定厚度。頂部表面1641可包括至少一個凹槽1642,該至少一個凹槽1642經大小調整以支撐基板用於處理。凹槽1642可取決於正處理之晶圓1660之形狀及大小為任何合適的形狀及大小。在第16圖圖示之實施例中,凹槽1642具有平底以支撐晶圓之底部,但應理解,凹槽之底部可變化。在一些實施例中,凹槽在凹槽之外周邊邊緣周圍具有臺階區域,該等臺階區域經大小調整以支撐晶圓之外周邊邊緣。藉由臺階支撐之晶圓之外周邊邊緣的量可取決於例如晶圓之厚度及已經存在於晶圓之背側上的特徵結構之存在而變化。
在一些實施例中,如第16圖所示,在基座組件1640之頂部表面1641中的凹槽1642經大小調整以便支撐在凹槽1642內之晶圓1660具有與基座1640之頂部表面1641實質上共平面的頂部表面1661。如在本說明書及隨附申請專利範圍中所使用,術語「實質上共平面」意指晶圓之頂部表面與基座組件之頂部表面在±0.2 mm內共平面。在一些實施例中,頂部表面係在±0.15 mm、±0.10 mm或±0.05 mm內共平面。
第16圖之基座組件1640包括支柱1690,該支柱1690能夠舉升、降低及轉動基座組件1640。基座組件在支柱1690之中心內可包括加熱器或氣體管線或電氣部件。支柱1690可為增加或減少在基座組件1640與氣體分佈組件1620之間的間隙的主要手段。基座組件1640亦可包括細調致動器1662,該等細調致動器1662可對基座組件1640進行微調整以在基座組件1640與氣體注射器組件1620之間產生期望間隙1670。
在一些實施例中,在處理期間的間隙1670距離為:在約0.1 mm至約5.0 mm之範圍內,或在約0.1 mm至約3.0 mm之範圍內,或在約0.1 mm至約2.0 mm之範圍內,或在約0.2 mm至約1.8 mm之範圍內,或在約0.3 mm至約1.7 mm之範圍內,或在約0.4 mm至約1.6 mm之範圍內,或在約0.5 mm至約1.5 mm之範圍內,或在約0.6 mm至約1.4 mm之範圍內,或在約0.7 mm至約1.3 mm之範圍內,或在約0.8 mm至約1.2 mm之範圍內,或在約0.9 mm至約1.1 mm之範圍內,或約1 mm。
第16圖及第17圖中圖示之處理腔室1600為其中基座組件1640可固持複數個晶圓1660之旋轉料架類型腔室。如第17圖所示,氣體分佈組件1620可包括複數個單獨注射器單元1622,每一注射器單元1622能夠在晶圓在注射器單元下方移動時在晶圓上沉積薄膜。四個大體餅狀的注射器單元1622經圖示定位在基座組件1640之近似相對側上且在基座組件1640上方。展示此注射器單元1622之數量僅用於說明性目的。應理解,可包括更多或更少的注射器單元1622。在一些實施例中,存在足夠數量的餅狀注射器單元1622以形成符合基座組件1640之形狀的形狀。在一些實施例中,個別餅狀注射器單元1622中之每一者可經獨立移動、移除及/或更換而不影響任何的其他注射器單元1622。舉例而言,一個區段可經升高以允許機器人接入在基座組件1640與氣體分佈組件1620之間的區域來裝載/卸載晶圓1660。
類似地,儘管未圖示,但基座組件1640可由複數個單獨的塊或單元組成。複數個單元可大體為餅狀且可組裝在一起以形成具有頂部表面及底部表面之基座組件。
基座組件1640之大小可取決於特定處理腔室及待處理之晶圓之大小而變化。在一些實施例中,基座組件經大小調整以支撐至少三個晶圓。在一或更多個實施例中,基座組件經大小調整以支撐至少3個、4個、5個、6個、7個、8個、9個、10個、11個、12個、13個、14個、15個、16個或更多個晶圓。晶圓可為任何大小晶圓,包括但不限於150 mm晶圓、200 mm晶圓、300 mm晶圓及450 mm晶圓。基座組件之直徑亦可變化。在一些實施例中,基座組件具有以下的直徑:在約0.75公尺至約2公尺之範圍內,或在約1公尺至約1.75公尺之範圍內,或在約1.25公尺至約1.75公尺之範圍內或約1.5公尺。
具有多個氣體注射器之處理腔室可用來同時處理多個晶圓以便晶圓經受相同的製程流程。舉例而言,如第17圖所示,處理腔室1600具有四個氣體注射器單元1622及四個晶圓1660。四個注射器單元1622之圖式僅為代表性的且經選取以允許製程之更簡易視圖及描述。熟習此項技術者將理解,氣體分佈組件可為單個部件且可具有與基座組件近似相同的大小及/或形狀。在處理開始時,晶圓1660可經定位在注射器單元1622之間。將基座組件1640轉動1617 45°將導致在注射器單元1622之間的每一晶圓1660經移動至注射器單元1622用於薄膜沉積,如藉由在注射器組件1622下的點線圓所圖示。額外的45°轉動將移動晶圓1660離開注射器組件1622。對於空間ALD注射器,薄膜係在晶圓相對於注射器組件之移動期間沉積於晶圓上。在一些實施例中,基座組件1640以增量方式轉動,此防止晶圓1660停止在注射器單元1622下方。晶圓1660及注射器單元1622之數量可相同或不同。在一些實施例中,當存在氣體分佈組件時,存在相同數量的正處理之晶圓。在一或更多個實施例中,正處理之晶圓的數量為氣體分佈組件之數量的分數或整數倍數。舉例而言,若存在四個氣體分佈組件,則存在4x個正處理之晶圓,其中x為大於或等於一的整數值。
第17圖圖示之處理腔室1600僅代表一種可能設置且將不應視為限制本發明之範疇。在此,處理腔室1600包括複數個氣體分佈組件1620。在所圖示之實施例中,存在四個氣體分佈組件1622均勻間隔在處理腔室1600周圍。所圖示之處理腔室1600為八邊形,然而,熟習此項技術者將理解,此為一種可能形狀且將不應視為限制本發明之範疇。所圖示之氣體分佈組件1620為梯形,但熟習此項技術者將理解,氣體分佈組件可為單個圓形部件或由具有輻射式內周邊邊緣及/或外周邊邊緣之複數個餅狀區段組成。
第17圖圖示之實施例包括負載鎖定腔室1680或如緩衝站之輔助腔室。此腔室1680經連接至處理腔室1600之一側以允許例如將基板1660自腔室1600裝載/卸載。晶圓機器人可定位在腔室1680內以移動基板。
旋轉料架(例如,基座組件1640)之轉動可為連續或非連續的。在連續處理中,晶圓係不斷地轉動,以便將晶圓依次暴露至每一注射器。在非連續處理中,晶圓可經移動至注射器區域且停止,且隨後移動至在注射器之間的區域1684且停止。舉例而言,旋轉料架可轉動以便晶圓自注射器之間區域移動跨過注射器(或鄰近注射器停止)且繼續至其中晶圓可再次暫停之下一個注射器之間區域。在注射器之間的暫停可提供時間用於在每一層沉積之間的額外處理步驟(例如,暴露至電漿)。
根據一或更多個實施例,基板係連續不斷地處於真空或「負載鎖定」條件下,且在自一腔室移動至下一個腔室時不暴露至環境空氣。因此,轉移腔室係處於真空且在真空壓力下「向下泵送」。惰性氣體可存在於處理腔室或轉移腔室內。在一些實施例中,惰性氣體係用作淨化氣體以在於基板之表面上形成矽層之後移除一些或全部的反應物。根據一或更多個實施例,在沉積腔室之出口處注射淨化氣體以防止反應物自沉積腔室移動至轉移腔室及/或額外的處理腔室。因此,惰性氣體之流動在腔室之出口處形成幕。
可在單個基板沉積腔室內處理基板,其中在處理另一基板之前裝載、處理及卸載單個基板。亦可以連續方式處理基板,如傳送帶系統,其中多個基板經單獨裝載至腔室之第一部分內、移動通過腔室且自腔室之第二部分卸載。腔室之形狀及關聯之傳送帶系統可形成直線路徑或曲線路徑。另外,處理腔室可為旋轉料架,其中多個基板繞中心軸移動且在整個旋轉料架路徑上經暴露至沉積、蝕刻、退火、清洗等製程。
在處理期間,基板可經加熱或冷卻。此加熱或冷卻可藉由任何合適的手段實現,包括但不限於改變基板支撐件之溫度及使經加熱或冷卻之氣體流動至基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,該加熱器/冷卻器可經控制以熱傳導式改變基板溫度。在一或更多個實施例中,正使用之氣體(或反應氣體或惰性氣體)經加熱或冷卻以局部改變基板溫度。在一些實施例中,加熱器/冷卻器係定位在腔室內鄰近基板表面以對流方式改變基板溫度。
基板在處理期間亦可為固定或轉動的。轉動基板可連續不斷地轉動或以離散步驟轉動。舉例而言,基板可在整個整個製程中轉動,或基板可在暴露至不同反應氣體或淨化氣體之間少量轉動。在處理期間轉動基板(連續不斷地或按步驟地)可藉由最小化例如氣體流動幾何形狀中之局部可變性的影響而幫助產生更均勻沉積或蝕刻。
第一實施例係針對一種處理方法,該處理方法包含將基板順序地暴露至包含含鎢化合物之第一反應氣體及第二反應氣體以形成含鎢薄膜,該含鎢化合物包含具有經驗式WxCl5x之化合物。
在第二實施例中,修改第一實施例,其中第二反應氣體包含含氫化合物且含鎢薄膜為鎢薄膜。
在第三實施例中,修改第一實施例或第二實施例,其中第二反應氣體包含含氮化合物且含鎢薄膜包含氮化鎢。
在第四實施例中,修改第一實施例至第三實施例中之任何實施例,其中第二反應氣體包含含矽化合物且含鎢薄膜包含矽化鎢(WSix )。
在第五實施例中,修改第一實施例或第四實施例,其中第二反應氣體進一步包含氫。
在第六實施例中,修改第一實施例至第五實施例中之任何實施例,其中第二反應氣體包含含矽化合物及含氮化合物之混合物,且含鎢薄膜包含鎢矽氮化物(WSix Ny )。
在第七實施例中,修改第一實施例至第六實施例中之任何實施例,其中基板係維持在小於約475℃之溫度下。
在第八實施例中,修改第一實施例、第二實施例或第七實施例中之任何實施例,其中含鎢薄膜基本上由鎢組成。
在第九實施例中,修改第八實施例,其中基板包含功函數金屬。
在第十實施例中,修改第九實施例,其中功函數金屬包含Ti。
在第十一實施例中,修改第九實施例或第十實施例中之任何實施例,其中功函數金屬包含TiAl。
在第十二實施例中,修改第八實施例至第十一實施例中之任何實施例,其中在功函數金屬與基本上由鎢組成之薄膜之間不存在介入層。
在第十三實施例中,修改第八實施例至第十一實施例中之任何實施例,其中在功函數金屬與基本上由鎢組成之薄膜之間存在介入層,該介入層具有小於約5埃之厚度。
本發明之第十四實施例係針對一種處理方法,該處理方法包含:將基板定位在處理腔室內;及在小於或等於約475℃之溫度下將基板之至少一部分順序地暴露至第一反應氣體及第二反應氣體以形成含鎢薄膜,第一反應氣體包含五氯化鎢、具有經驗式Wx Cl5x 之化合物或六氯化鎢中之一或更多者。
在第十五實施例中,修改第十四實施例,其中第二反應氣體包含含氫化合物且含鎢薄膜為鎢薄膜。
在第十六實施例中,修改第十四實施例或第十五實施例中之任何實施例,其中第二反應氣體包含含氮化合物且含鎢薄膜包含氮化鎢。
在第十七實施例中,修改第十四實施例至第十六實施例中之任何實施例,其中第二反應氣體包含含矽化合物且含鎢薄膜包含矽化鎢(WSix )。
在第十八實施例中,修改第十七實施例,其中第二反應氣體進一步包含氫。
在第十九實施例中,修改第十四實施例至第十八實施例中之任何實施例,其中第二反應氣體包含含矽化合物及含氮化合物之混合物,且含鎢薄膜包含鎢矽氮化物(WSix Ny )。
在第二十實施例中,修改第十四實施例至第十九實施例中之任何實施例,其中在沉積含鎢薄膜之前,基板包含金屬層。
在第二十一實施例中,修改第十四實施例至第二十實施例中之任何實施例,其中在沉積含鎢薄膜之前,基板包含氧化層且方法進一步包含在約5托至約20托之範圍內的分壓下以二矽烷或氫與矽烷之混合物浸漬基板。
在第二十二實施例中,修改第十四實施例至第二十一實施例中之任何實施例,其中含鎢薄膜以在約0.2 Å/循環及約3 Å/循環之範圍內的速率下生長。
本發明之第二十三實施例係針對一種沉積WSix 薄膜之方法。方法包含:將基板定位在處理腔室內;及在小於或等於約475℃之溫度下將基板之至少一部分順序地暴露至第一反應氣體及第二反應氣體以形成WSix 薄膜,其中第一反應氣體包含五氯化鎢、具有經驗式Wx Cl5x 之化合物或六氯化鎢中之一或更多者,且第二反應氣體包含含矽氣體,含矽氣體與含鎢氣體之比在約100:2及約100:0.2之範圍內。
在第二十四實施例中,修改第二十三實施例,其中含矽氣體包含矽烷及二矽烷中之一或更多者且第二反應氣體進一步包含氫。
在第二十五實施例中,修改第二十三實施例至第二十四實施例中之任何實施例,以進一步包含在交替循環上將基板之至少一部分暴露至含氫氣體而非含矽氣體。
在第二十六實施例中,修改第二十五實施例,其中基板在經暴露至含矽氣體之前暴露至含氫氣體。
本發明之第二十七實施例係針對一種積體電路電晶體裝置,該積體電路電晶體裝置包含:安置在通道上之介電層;安置在介電層上之功函數金屬;及安置在功函數層上之充填層,該充填層基本上由W組成。
在第二十八實施例中,修改第二十七實施例,其中功函數層包含Ti。
在第二十九實施例中,修改第二十七實施例至第二十八實施例中之任何實施例,其中功函數層包含TiAl。
在第三十實施例中,修改第二十八實施例或第二十九實施例中之任何實施例,其中功函數金屬實質上不含氟。
在第三十一實施例中,修改第二十七實施例至第第三十實施例中之任何實施例,其中充填層實質上不含氟。
在第三十二實施例中,修改第二十七實施例至第三十一實施例中之任何實施例,其中基本上由W組成之充填層為ALD W層。
在第三十三實施例中,修改第三十二實施例,其中ALD W層係藉由Wx Cl5x 及包含含氫化合物之第二反應氣體之順序暴露而形成。
在第三十四實施例中,修改第二十七實施例至第三十三實施例中之任何實施例,其中在功函數金屬與基本上由鎢組成之薄膜之間不存在介入層。
在第三十五實施例中,修改第二十七實施例至第三十三實施例中之任何實施例,其中在功函數金屬與基本上由鎢組成之薄膜之間存在介入層,該介入層具有小於約5埃之厚度。
在第三十六實施例中,修改第二十七實施例至第三十五實施例中之任何實施例,其中充填層為CVD W,且CVD W係藉由Wx Cl5x 及包含含氫化合物之第二反應氣體之同時暴露而形成。
本發明之第三十七實施例係針對一種處理方法,該處理方法包含將處理腔室中之基板之至少一部分順序地暴露至包含具有經驗式WCl5 或WCl6 之含鎢化合物的第一反應氣體及包含氫自由基之第二反應氣體以形成含鎢薄膜。
在第三十八實施例中,第三十七實施例進一步包含自氫氣產生氫自由基。
在第三十九實施例中,修改第三十八實施例,其中自氫氣產生氫自由基包含使氫氣通過加熱元件,該加熱元件具有足以產生氫自由基之溫度。
在第四十實施例中,第三十九實施例進一步包含加熱加熱元件至足以產生氫自由基之溫度。
在第四十一實施例中,修改第四十實施例,其中加熱加熱元件包含提供電流流經加熱元件。
在第四十二實施例中,第四十實施例及第四十一實施例中之任何實施例進一步包含施加動態張力至加熱元件之端部以防止加熱元件在足以產生氫自由基之溫度下下垂。
在第四十三實施例中,修改第四十實施例至第四十二實施例中之任何實施例,其中加熱元件係含在實質上耐熱膨脹的外殼內。
在第四十四實施例中,修改第三十七實施例至第四十三實施例中之任何實施例,其中第一反應氣體及第二反應氣體係在相同時間流至處理腔室內。
在第四十五實施例中,修改第三十七實施例至第四十四實施例中之任何實施例,其中第一反應氣體及第二反應氣體係經由包含鄰近狹長氣體埠之氣體分佈組件流至處理腔室內,流經不同狹長氣體埠之第一反應氣體及第二反應氣體係藉由淨化氣體埠及真空埠中之至少一者來分離。
在第四十六實施例中,修改第三十九實施例至第四十五實施例中之任何實施例,其中加熱元件係定位在第二反應氣體埠內。
在第四十七實施例中,修改第三十九實施例至第四十五實施例中之任何實施例,其中加熱元件係含在實質上耐熱膨脹的外殼內。
在第四十八實施例中,修改第四十七實施例,其中外殼經附加至氣體分佈組件之前表面,以便流自第二反應氣體埠之第二反應氣體經由外殼且在加熱元件周圍流動。
在第四十九實施例中,第四十五實施例至第四十八實施例中之任何實施例進一步包含相對於氣體分佈組件移動基板以便將基板之每一部分暴露至氣體流動,該氣體流動基本上按順序由第一反應氣體及第二反應氣體組成。
在第五十實施例中,修改第三十七實施例至第四十九實施例中之任何實施例,其中基板係維持在小於約475℃之溫度下。
第五十一實施例係針對處理方法,該處理方法包含:將基板定位在包含氣體分佈組件之處理腔室內,該氣體分佈組件包括複數個狹長氣體埠,該等複數個狹長氣體埠包括第一反應氣體埠及第二反應氣體埠,第一反應氣體埠與包含具有經驗式WCl5 或WCl6 之含鎢化合物的第一反應氣體流體連通且第二反應氣體埠與包含氫之第二反應氣體流體連通,氣體分佈組件使第一反應氣體及第二反應氣體兩者同時流至處理腔室內;使第二反應氣體通過加熱元件以在第二反應氣體中產生氫自由基;及將基板之至少一部分順序地暴露至第一反應氣體及第二反應氣體中之氫自由基以於基板上形成鎢薄膜。
在第五十二實施例中,修改第五十一實施例,其中加熱元件係含在實質上耐熱膨脹的外殼內,外殼經附加至氣體分佈組件之前面,以便第二反應氣體流經外殼。
在第五十三實施例中,修改第五十一實施例至第五十二實施例中之任何實施例,其中在沉積鎢薄膜之前,基板包含金屬層。
在第五十四實施例中,修改第五十一實施例至第五十三實施例中之任何實施例,其中在沉積鎢薄膜之前,基板包含氧化層且方法進一步包含在約5托至約20托之範圍內的分壓下以二矽烷或氫與矽烷之混合物浸漬基板。
在第五十五實施例中,修改第五十一實施例至第五十四實施例中之任何實施例,其中鎢薄膜以在約0.2 Å/循環及約3 Å/循環之範圍內的速率生長。
在第五十六實施例中,修改第五十一實施例至第五十五實施例中之任何實施例,其中將基板之至少一部分順序地暴露至第一反應氣體及第二反應氣體中之氫自由基包含:相對於氣體分佈組件移動基板以便將基板之每一部分暴露至基本上按順序由第一反應氣體及氫自由基組成之氣體流動。
本發明之第五十七實施例係針對一種處理方法,該處理方法包含:以矽烷浸漬基板;及將先前以矽烷浸漬之基板順序地暴露至第一反應氣體及第二反應氣體以形成鎢薄膜,第一反應氣體包含含鎢化合物及氫,該含鎢化合物包含具有經驗式Wx Cl5x 之化合物,第二反應氣體包含還原劑。
在第五十八實施例中,修改第五十七實施例,其中第二反應氣體包含含氫化合物。
在第五十九實施例中,修改第五十七實施例至第五十八實施例中之任何實施例,其中基板係維持在大於約350℃之溫度下。
在第六十實施例中,修改第五十七實施例至第五十九實施例中之任何實施例,其中在鎢薄膜具有約70 Å之厚度時,該鎢薄膜具有大於約60 Å之晶粒大小。
在第六十一實施例中,修改第五十七實施例至第六十實施例中之任何實施例,其中在鎢薄膜具有約200 Å之厚度時,該鎢薄膜具有小於約30 μΩ•cm之電阻率。
在第六十二實施例中,修改第五十七實施例至第六十一實施例中之任何實施例,其中第一反應氣體包含氫原子多於鎢原子。
在第六十三實施例中,修改第五十七實施例至第六十二實施例中之任何實施例,其中第一反應氣體包含以在約1:2至1:20之範圍內之比存在的含鎢化合物及氫。
本發明之第六十四實施例係針對一種形成保形鎢薄膜之方法,包含:將表面順序地暴露至包含含鎢化合物之第一反應氣體達第一時間及包含氫之第二反應氣體達第二時間以沉積鎢薄膜,該第一時間及第二時間皆小於約2秒。
在第六十五實施例中,修改第六十四實施例,其中鎢薄膜係以小於約1 Å/循環之速率生長。
在第六十六實施例中,修改第六十四實施例至第六十五實施例中之任何實施例,其中鎢薄膜係以小於約0.8 Å/循環的速率生長。
第六十八實施例係針對一種積體電路電晶體裝置,該積體電路電晶體裝置包含:安置在通道上之介電層;及介電層上基本上由鎢組成之功函數層。
本發明之第六十九實施例係針對一種處理方法,該處理方法包含:(a)沉積鎢之厚度作為在電晶體中功函數材料上之充填材料;(b)處理沉積之鎢薄膜;及(c)重複(a)及(b)以形成期望厚度之鎢充填,其中處理鎢薄膜包含以下之一或更多者:(1)將充填材料順序地暴露至四氯化鈦及氨;(2)將充填材料浸漬在四氯化鈦中;及(3)將充填材料暴露至氫電漿達在約10秒至約30秒之範圍內的時間。
在第六十九實施例中,修改第六十八實施例,其中在(a)中經沉積為充填材料的鎢之厚度係在約10 Å至約30 Å之範圍內。
在第七十實施例中,修改第六十八實施例至第六十九實施例中之任何實施例,其中TiN的量係小於約½單層厚度。
儘管在本文已參考特定實施例描述本發明,但應理解,該等實施例僅說明本發明之原理及應用。對熟習此項技術者將顯而易見的是:可在不脫離本發明之精神及範疇的情況下對本發明之方法及設備進行各種修飾及變化。因此,本發明意欲包括在隨附申請專利範圍及申請專利範圍之等效物之範疇內的修改及變化。
100‧‧‧方法102‧‧‧步驟103‧‧‧步驟104‧‧‧步驟106‧‧‧步驟108‧‧‧步驟110‧‧‧步驟112‧‧‧步驟114‧‧‧步驟116‧‧‧步驟200‧‧‧製程腔室204‧‧‧壁206‧‧‧腔室主體208‧‧‧開口210‧‧‧上表面212‧‧‧基板支撐件214‧‧‧基板接收表面216‧‧‧舉升板218‧‧‧舉升電動機220‧‧‧基板222‧‧‧銷224‧‧‧淨化環226‧‧‧淨化通道228‧‧‧舉升電動機230‧‧‧排氣系統231‧‧‧排氣系統232‧‧‧泵通道234‧‧‧內部容積240‧‧‧控制器242‧‧‧中央處理單元244‧‧‧支援電路系統246‧‧‧記憶體248‧‧‧關聯控制軟體250‧‧‧氣體輸送系統251‧‧‧氣體面板252‧‧‧氣源253‧‧‧氣源255‧‧‧氣源256‧‧‧導管257‧‧‧閥門258‧‧‧導管259‧‧‧閥門261‧‧‧導管263‧‧‧接合點265‧‧‧氣源267‧‧‧氣源269‧‧‧氣源270‧‧‧腔室蓋271‧‧‧排氣系統272‧‧‧底部表面273‧‧‧導管274‧‧‧擴展通道300‧‧‧系統301‧‧‧腔室/輸入面302‧‧‧腔室303‧‧‧隔離閥/輸出面305‧‧‧輸入307‧‧‧輸入309‧‧‧輸入310‧‧‧氣體分佈組件311‧‧‧埠313‧‧‧氣體埠315‧‧‧開口317‧‧‧通道318‧‧‧開口320‧‧‧注射器321‧‧‧電源饋通323‧‧‧電源線324‧‧‧電源線325‧‧‧氣體埠327‧‧‧安裝區塊330‧‧‧注射器335‧‧‧氣體埠340‧‧‧注射器345‧‧‧氣體埠350‧‧‧泵浦系統/箭頭355‧‧‧真空埠360‧‧‧基板361‧‧‧第一表面363‧‧‧隔板365‧‧‧梭366‧‧‧基座367‧‧‧頂部表面368‧‧‧凹槽370‧‧‧軌道390‧‧‧輻射熱燈398‧‧‧箭頭501‧‧‧加熱元件600‧‧‧組件601‧‧‧加熱元件605‧‧‧外殼606‧‧‧敞開內部區域610‧‧‧電導線611‧‧‧平面613‧‧‧側620‧‧‧端622‧‧‧端630‧‧‧縱軸700‧‧‧組件701‧‧‧加熱元件705‧‧‧外殼720‧‧‧端722‧‧‧端730‧‧‧部分800‧‧‧組件801‧‧‧加熱元件900‧‧‧組件901‧‧‧加熱元件905‧‧‧外殼920‧‧‧端922‧‧‧端930‧‧‧延伸1000‧‧‧組件1001‧‧‧加熱元件1002‧‧‧外罩1006‧‧‧內部區域1105‧‧‧外殼1123‧‧‧連接1124‧‧‧連接1155‧‧‧外殼1156‧‧‧敞開內部區域1200‧‧‧組件1201‧‧‧加熱元件1205‧‧‧外殼1206‧‧‧敞開內部區域1208‧‧‧絕緣部分1223‧‧‧電源線1224‧‧‧電源線1500‧‧‧系統1502‧‧‧腔室1504‧‧‧腔室1510‧‧‧機器人1512‧‧‧腔室1514‧‧‧腔室1516‧‧‧腔室1518‧‧‧腔室1522‧‧‧腔室1524‧‧‧腔室1530‧‧‧機器人1532‧‧‧腔室1534‧‧‧腔室1536‧‧‧腔室1538‧‧‧腔室1550‧‧‧系統1552‧‧‧腔室1554‧‧‧腔室1556‧‧‧腔室1560‧‧‧機器人1562‧‧‧腔室1564‧‧‧腔室1566‧‧‧腔室1568‧‧‧腔室1570‧‧‧腔室1572‧‧‧腔室1600‧‧‧腔室1617‧‧‧轉動1620‧‧‧氣體分佈組件/氣體注射器組件1621‧‧‧前表面1622‧‧‧扇區/注射器單元1624‧‧‧外邊緣1640‧‧‧基座組件1641‧‧‧頂部表面1643‧‧‧底部表面1644‧‧‧邊緣1660‧‧‧晶圓1661‧‧‧頂部表面1662‧‧‧致動器1670‧‧‧間隙1680‧‧‧腔室1684‧‧‧區域1690‧‧‧支柱A‧‧‧化合物A'‧‧‧反應氣體/反應氣體埠B‧‧‧化合物
因此,可詳細理解本發明之上述特徵結構之方式,即可參照實施例更具體描述上文簡要概述之本發明,一些實施例圖示於附加圖式中。然而,應注意,附加圖式僅圖示本發明之典型實施例,且因此不欲視為本發明範疇之限制,因為本發明可允許其他同等有效之實施例。
第1圖圖示根據本文描述之一個實施例使用雙脈衝循環沉積技術形成鎢層之示例性製程序列;
第2圖圖示示例性ALD處理系統;
第3圖圖示示例性空間ALD處理系統;
第4圖圖示供空間ALD處理系統使用之基座;
第5圖圖示根據本發明之一或更多個實施例之氣體分佈組件之透視圖;
第6圖圖示根據本發明之一或更多個實施例之氣體分佈組件之前視圖;
第7圖圖示根據本發明之一或更多個實施例之氣體分佈組件之前視圖;
第8圖圖示根據本發明之一或更多個實施例之供氣體分佈組件使用之線外殼的透視圖;
第9圖圖示根據本發明之一或更多個實施例之組件的視圖;
第10圖圖示根據本發明之一或更多個實施例之組件的視圖;
第11圖圖示根據本發明之一或更多個實施例之組件的視圖;
第12圖圖示根據本發明之一或更多個實施例之組件的視圖;
第13圖圖示根據本發明之一或更多個實施例之氣體分佈組件之橫截面圖;及
第14圖圖示根據本發明之一或更多個實施例之氣體分佈組件之橫截面圖。
第15A圖圖示示例性整合處理平臺;
第15B圖圖示另一示例性整合處理平臺;
第16圖圖示供本發明之實施例使用之批處理腔室之示意性橫截面圖;及
第17圖圖示供本發明之實施例使用之批處理腔室之示意圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
1600‧‧‧腔室
1620‧‧‧氣體分佈組件/氣體注射器組件
1621‧‧‧前表面
1624‧‧‧外邊緣
1640‧‧‧基座組件
1641‧‧‧頂部表面
1643‧‧‧底部表面
1644‧‧‧邊緣
1660‧‧‧晶圓
1661‧‧‧頂部表面
1662‧‧‧致動器
1670‧‧‧間隙
1690‧‧‧支柱

Claims (5)

  1. 一種沉積一WSix薄膜的方法,該方法包含以下步驟:將一基板定位在一處理腔室內;及在小於或等於約475℃的一溫度下將該基板之至少一部分順序地暴露至一第一反應氣體及一第二反應氣體以形成該WSix薄膜,其中該第一反應氣體包含五氯化鎢、具有經驗式WxCl5x的一化合物或六氯化鎢中的一或更多者,以及該第二反應氣體包含一含矽氣體,該含矽氣體與該含鎢氣體之比在約100:2至約100:0.2的範圍內。
  2. 如請求項1所述之方法,其中該含矽氣體包含矽烷及二矽烷中的一或更多者,以及該第二反應氣體更包含氫。
  3. 如請求項1所述之方法,更包含在交替循環上將該基板之至少一部分暴露至一含氫氣體而非該含矽氣體。
  4. 如請求項3所述之方法,其中該基板在經暴露至該含矽氣體之前暴露至該含氫氣體。
  5. 如請求項1所述之方法,其中該第二反應氣體更包含一含氮化合物,且該WSix薄膜包含鎢矽氮化物(WSixNy)。
TW107143424A 2012-10-26 2013-10-28 沉積無氟/碳保形鎢之方法 TWI686496B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201261719350P 2012-10-26 2012-10-26
US61/719,350 2012-10-26
US201361784281P 2013-03-14 2013-03-14
US61/784,281 2013-03-14
US201361825983P 2013-05-21 2013-05-21
US61/825,983 2013-05-21
US14/062,804 2013-10-24
US14/062,804 US9230815B2 (en) 2012-10-26 2013-10-24 Methods for depositing fluorine/carbon-free conformal tungsten

Publications (2)

Publication Number Publication Date
TW201925514A TW201925514A (zh) 2019-07-01
TWI686496B true TWI686496B (zh) 2020-03-01

Family

ID=50545326

Family Applications (3)

Application Number Title Priority Date Filing Date
TW107143424A TWI686496B (zh) 2012-10-26 2013-10-28 沉積無氟/碳保形鎢之方法
TW106127754A TWI647329B (zh) 2012-10-26 2013-10-28 沉積無氟/碳保形鎢之方法
TW102138925A TWI599673B (zh) 2012-10-26 2013-10-28 沉積無氟/碳保形鎢之方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW106127754A TWI647329B (zh) 2012-10-26 2013-10-28 沉積無氟/碳保形鎢之方法
TW102138925A TWI599673B (zh) 2012-10-26 2013-10-28 沉積無氟/碳保形鎢之方法

Country Status (5)

Country Link
US (4) US9230815B2 (zh)
KR (2) KR102266379B1 (zh)
CN (2) CN107829075A (zh)
TW (3) TWI686496B (zh)
WO (1) WO2014066792A1 (zh)

Families Citing this family (366)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11043386B2 (en) * 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015080058A1 (ja) * 2013-11-27 2015-06-04 東京エレクトロン株式会社 タングステン膜の成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6437324B2 (ja) * 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) * 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US20150360242A1 (en) * 2014-06-11 2015-12-17 Veeco Ald Inc. Linear Deposition Apparatus with Modular Assembly
US9397107B2 (en) * 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9960023B2 (en) 2014-12-31 2018-05-01 Applied Materials, Inc. Methods and apparatus for nodule control in a titanium-tungsten target
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9928994B2 (en) 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
TW201700761A (zh) * 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9768177B2 (en) * 2015-08-04 2017-09-19 Micron Technology, Inc. Method of forming conductive material of a buried transistor gate line and method of forming a buried transistor gate line
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR20200113032A (ko) * 2015-10-06 2020-10-05 엔테그리스, 아이엔씨. 고체 전구체의 저온 소결
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR20180075701A (ko) * 2015-11-25 2018-07-04 어플라이드 머티어리얼스, 인코포레이티드 통합 프로세스 흐름 시스템들을 통한 저-저항 콘택들을 형성하기 위한 방법들
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR20170120443A (ko) * 2016-04-21 2017-10-31 삼성전자주식회사 텅스텐 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9862606B1 (en) * 2017-03-27 2018-01-09 Lyten, Inc. Carbon allotropes
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TW201908511A (zh) * 2017-07-13 2019-03-01 美商應用材料股份有限公司 用於沉積鎢成核層的方法及設備
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10519544B2 (en) * 2017-08-24 2019-12-31 United Technologies Corporation Method for enabling optimized material deposition
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019055510A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. LOW TEMPERATURE DEPOSITION OF IRIDIUM-CONTAINING FILM
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102404056B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 반도체 장치의 제조 방법
WO2019103995A1 (en) * 2017-11-22 2019-05-31 Applied Materials, Inc. Methods of reducing or eliminating defects in tungsten film
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019204120A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Tuning work function of p-metal work function films through vapor deposition
US10899630B2 (en) 2018-04-30 2021-01-26 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Tungsten pentachloride conditioning and crystalline phase manipulation
US10710896B2 (en) 2018-04-30 2020-07-14 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tungsten pentachloride conditioning and crystalline phase manipulation
US10669160B2 (en) 2018-04-30 2020-06-02 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11535931B2 (en) * 2018-06-26 2022-12-27 Kokusai Electric Corporation Method of manufacturing semiconductor device, method of managing parts, and recording medium
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7149788B2 (ja) * 2018-09-21 2022-10-07 東京エレクトロン株式会社 成膜方法及び成膜装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020118100A1 (en) 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
CN109250759B (zh) * 2018-12-10 2021-03-02 湖南省华京粉体材料有限公司 一种五氯化钨的制备方法及其装置
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202108217UA (en) 2019-01-28 2021-08-30 Lam Res Corp Deposition of metal films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
WO2020185618A1 (en) 2019-03-11 2020-09-17 Lam Research Corporation Precursors for deposition of molybdenum-containing films
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
WO2021183728A1 (en) * 2020-03-11 2021-09-16 Applied Materials, Inc. Gap fill methods using catalyzed deposition
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11377733B2 (en) * 2020-08-07 2022-07-05 Sandisk Technologies Llc Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US20220098731A1 (en) * 2020-09-29 2022-03-31 Applied Materials, Inc. Method Of Reducing Titanium Nitride Etching During Tungsten Film Formation
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200710968A (en) * 2005-07-01 2007-03-16 Tokyo Electron Ltd Method for depositing tungsten film, film deposition system, storage medium, and semiconductor device
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
US20090035941A1 (en) * 2007-08-01 2009-02-05 Park Jin-Ho Methods and apparatus for manufacturing a semiconductor device in a processing chamber
US20090200672A1 (en) * 2005-12-28 2009-08-13 Soo Hyun Kim Method for manufacturing semiconductor device
US20100184294A1 (en) * 2009-01-16 2010-07-22 Samsung Electronics Co., Ltd. Method of Manufacturing a Semiconductor Device

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4391846A (en) 1979-04-05 1983-07-05 The United States Of America As Represented By The United States Department Of Energy Method of preparing high-temperature-stable thin-film resistors
JPS58181714A (ja) 1982-04-19 1983-10-24 Sanyo Electric Co Ltd a−si感光体ドラム作成装置
US4699801A (en) 1985-02-28 1987-10-13 Kabuskiki Kaisha Toshiba Semiconductor device
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
EP0546670B2 (en) 1991-12-13 2000-11-08 Ford Motor Company Limited Metal nitride films
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100432378B1 (ko) * 2001-08-30 2004-05-22 주성엔지니어링(주) Hdp-cvd 장치
GB0124427D0 (en) * 2001-10-11 2001-12-05 Eblana Photonics Ltd A method of manufacturing a semiconductor device
US7060632B2 (en) 2002-03-14 2006-06-13 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
KR100831974B1 (ko) * 2002-07-12 2008-05-26 주식회사 하이닉스반도체 텅스텐을 적용한 이중 일 함수 금속 게이트 전극 및 그제조 방법
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7071086B2 (en) * 2003-04-23 2006-07-04 Advanced Micro Devices, Inc. Method of forming a metal gate structure with tuning of work function by silicon incorporation
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
KR100551073B1 (ko) * 2003-12-18 2006-02-10 주식회사 하이닉스반도체 펄스식 화학기상증착 방식을 이용한 박막 형성 방법
CN1989597A (zh) 2004-07-30 2007-06-27 应用材料股份有限公司 薄硅化钨层沉积和栅金属集成
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7674710B2 (en) 2006-11-20 2010-03-09 Tokyo Electron Limited Method of integrating metal-containing films into semiconductor devices
DE102007001655A1 (de) * 2007-01-04 2008-07-10 Nitrochemie Aschau Gmbh Formteil sowie Verfahren zum Herstellen des Formteils
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
KR20090001328A (ko) 2007-06-29 2009-01-08 한국단자공업 주식회사 커넥터어셈블리
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100075037A1 (en) 2008-09-22 2010-03-25 Marsh Eugene P Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
US20120003833A1 (en) 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for forming tungsten-containing layers
US20120213929A1 (en) * 2011-02-18 2012-08-23 Tokyo Electron Limited Method of operating filament assisted chemical vapor deposition system
US20130164445A1 (en) * 2011-12-23 2013-06-27 Garry K. Kwong Self-Contained Heating Element
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
KR101840759B1 (ko) 2014-01-05 2018-05-04 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착 또는 펄스형 화학 기상 증착을 사용하는 필름 증착
JP2017533995A (ja) 2014-10-17 2017-11-16 ロータス アプライド テクノロジー エルエルシーLotus Applied Technology, Llc 混合酸化バリア膜の高速堆積

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200710968A (en) * 2005-07-01 2007-03-16 Tokyo Electron Ltd Method for depositing tungsten film, film deposition system, storage medium, and semiconductor device
US20090200672A1 (en) * 2005-12-28 2009-08-13 Soo Hyun Kim Method for manufacturing semiconductor device
CN101308794A (zh) * 2007-05-15 2008-11-19 应用材料股份有限公司 钨材料的原子层沉积
US20090035941A1 (en) * 2007-08-01 2009-02-05 Park Jin-Ho Methods and apparatus for manufacturing a semiconductor device in a processing chamber
US20100184294A1 (en) * 2009-01-16 2010-07-22 Samsung Electronics Co., Ltd. Method of Manufacturing a Semiconductor Device

Also Published As

Publication number Publication date
TW201428126A (zh) 2014-07-16
KR102266379B1 (ko) 2021-06-16
US9230815B2 (en) 2016-01-05
US20140120723A1 (en) 2014-05-01
KR102071442B1 (ko) 2020-01-30
TWI647329B (zh) 2019-01-11
TW201812069A (zh) 2018-04-01
WO2014066792A1 (en) 2014-05-01
US9601339B2 (en) 2017-03-21
CN104737275A (zh) 2015-06-24
US11887855B2 (en) 2024-01-30
CN104737275B (zh) 2018-03-16
CN107829075A (zh) 2018-03-23
TW201925514A (zh) 2019-07-01
KR20150074178A (ko) 2015-07-01
US20210225655A1 (en) 2021-07-22
US20170194156A1 (en) 2017-07-06
US10985023B2 (en) 2021-04-20
US20160104624A1 (en) 2016-04-14
TWI599673B (zh) 2017-09-21
KR20200010612A (ko) 2020-01-30

Similar Documents

Publication Publication Date Title
US11887855B2 (en) Methods for depositing fluorine/carbon-free conformal tungsten
US9514933B2 (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
CN111492467B (zh) 钌的选择性原子层沉积
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
US20120003833A1 (en) Methods for forming tungsten-containing layers
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
US20130323422A1 (en) Apparatus for CVD and ALD with an Elongate Nozzle and Methods Of Use
CN110892507A (zh) 沉积含钇膜的方法及设备