CN104737275B - 沉积无氟/碳保形钨的方法 - Google Patents

沉积无氟/碳保形钨的方法 Download PDF

Info

Publication number
CN104737275B
CN104737275B CN201380054229.7A CN201380054229A CN104737275B CN 104737275 B CN104737275 B CN 104737275B CN 201380054229 A CN201380054229 A CN 201380054229A CN 104737275 B CN104737275 B CN 104737275B
Authority
CN
China
Prior art keywords
gas
substrate
tungsten
film
reacting gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380054229.7A
Other languages
English (en)
Other versions
CN104737275A (zh
Inventor
傅新宇
斯里尼瓦斯·甘迪科塔
阿夫耶里诺斯·V·杰拉托斯
阿蒂夫·努里
张镁
戴维·汤普森
史蒂夫·G·加奈耶姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201711049354.5A priority Critical patent/CN107829075A/zh
Publication of CN104737275A publication Critical patent/CN104737275A/zh
Application granted granted Critical
Publication of CN104737275B publication Critical patent/CN104737275B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供使用含钨反应气体沉积钨膜或含钨膜的原子层沉积方法,所述含钨反应气体包含以下的一或多种:五氯化钨、具有经验式WCl5或WCl6的化合物。

Description

沉积无氟/碳保形钨的方法
本发明的实施例涉及半导体基板的处理。更具体地说,本发明的实施例涉及使用原子层沉积技术于半导体基板上低温沉积钨层或硅化钨层的方法。
半导体处理工业继续寻求更大的产出率,同时增加经沉积于具有较大表面积的基板上的层的均匀性。这些相同因素与新型材料结合亦提供基板的每单位面积上电路的更高集成。随着电路集成增加,对有关层厚度的更大均匀性及工艺控制的需要上升。因此,已开发各种技术来以有成本效益的方式于基板上沉积层,同时保持对层的特性的控制。
化学气相沉积(chemical vapor deposition;CVD)为用于在基板上沉积层的最常见的沉积工艺之一。CVD为通量相关沉积技术,所述通量相关沉积技术要求精确控制基板温度及引入处理腔室内的前驱物以产生均匀厚度的期望层。这些需求随着基板大小的增加变得更为重要,从而需要更复杂的腔室设计及气体流动技术来保持足够均匀性。
表现出极好阶梯覆盖的CVD的变型为循环沉积或原子层沉积(atomic layerdeposition;ALD)。循环沉积是基于原子层外延(atomic layer epitaxy;ALE)且使用化学吸附技术以在连续循环内于基板表面上输送前驱物分子。循环将基板表面暴露至第一前驱物、净化气体、第二前驱物及净化气体。第一前驱物及第二前驱物发生反应以形成产物化合物作为基板表面上的膜。重复所述循环以形成具有期望厚度的层。
在高沉积速率下形成膜层同时提供足够的阶梯覆盖是相冲突的特性,所述相冲突的特性经常需要牺牲其中一个特性来获得另一个特性。当耐火金属层在互连由介电层分离的邻近金属层的触点形成期间沉积在间隙或通孔上时,此冲突尤其明显。历史上,已使用CVD技术沉积诸如耐火金属的导电材料以经济且快速地形成触点。由于半导体电路系统的日趋增加的集成,钨已基于优良的阶梯覆盖而被使用。因此,使用CVD技术沉积钨由于工艺的高产出量而在半导体处理中享有广泛应用。
然而,通过常规CVD方法沉积钨伴随有若干缺点。举例而言,ALD工艺将钨膜沉积至含有高深宽比(例如,20)的通孔内,而常规CVD工艺将通常导致类似通孔“交错断裂”且不完全填满。同样,钨层在半导体晶片上的覆盖沉积在低于400℃的温度下非常耗时。钨的沉积速率可通过增加沉积温度至例如约500℃至约550℃而提高。然而,在此较高范围内的温度可能损害正形成的集成电路的下层部分的结构及操作完整性。使用钨亦使在制造工艺期间的光刻步骤受挫,因为使用钨导致具有70%的反射率或小于硅的反射率的相对粗糙表面(与厚度及波长相关的)。此外,钨已经证实难以均匀沉积。不良的表面均匀性通常增加膜电阻率。
在具有替换栅极方案的高k金属栅极中,当技术节点到达20nm及以下时,需要被填满的特征结构变得极其小。需要良好地控制功函数膜的保形性及此膜的性质(无包括氟的有害元素)。另外,当由于较小结构内部的非常受限的可占用面积而发展膜层叠用于更小特征结构时,需要组合已在较大结构上使用的数个功能层(诸如WF层、成核层、阻挡层)。
一直可用的钨膜及硅化钨(WSix)膜是引入氟的主要基于WF6的CVD/ALD工艺且在沉积阻挡层及成核层之前无法直接沉积于栅极上。具有金属氧化物配位体的钨前驱物遭受高碳含量的缺点,同时诸如氯化物的其它卤化物前驱物在高温下(600℃及超过600℃)处理且不适合于替换栅极工艺。高温CVD工艺亦遭受不良阶梯覆盖的缺点。
钨金属沉积工艺可通过与氢反应而执行。然而,所述反应严格受限于氢的解离。氢等离子体可增加反应速率但可对基板或正形成的膜造成损害。氢自由基亦可与钨前驱物反应以形成钨膜。然而,通常用来产生自由基的“热线”与钨前驱物不相容。
因此,在此技术领域需要使用原子层沉积技术以良好保形性沉积钨层的改进技术。
发明内容
本发明的一或多个实施例涉及处理方法,所述处理方法包含将基板顺序地暴露至包含第一反应气体及第二反应气体以形成含钨膜,所述第一反应气体包含含钨化合物,所述含钨化合物包含具有经验式WxCl5x的化合物。
本发明的一些实施例针对处理方法。方法包含:将基板定位于处理腔室中及在小于或等于约475℃的温度下将基板的至少一部分顺序地暴露至第一反应气体及第二反应气体以形成含钨膜,第一反应气体包含五氯化钨、具有经验式WxCl5x的化合物或六氯化钨中的一或多种。
本发明的一些实施例针对沉积WSix膜的方法。方法包含:将基板定位于处理腔室中;及在小于或等于约475℃的温度下将基板的至少一部分顺序地暴露至第一反应气体及第二反应气体以形成WSix膜。第一反应气体包含五氯化钨、具有经验式WxCl5x的化合物或六氯化钨中的一或多种且第二反应气体包含含硅气体,含硅气体与含钨气体之比在约100:2至约100:0.2的范围内。
本发明的一些实施例针对处理方法,所述处理方法包含将处理腔室中的基板的至少一部分顺序地暴露至包含含钨化合物的第一反应气体及包含氢自由基的第二反应气体以形成含钨膜,所述含钨化合物具有经验式WCl5或WCl6
本发明的一或多个实施例针对处理方法,所述处理方法包含:将基板定位在包含气体分布组件的处理腔室中,所述气体分布组件包括多个狭长气体端口,所述多个狭长气体端口包括第一反应气体端口及第二反应气体端口,第一反应气体端口与包含具有经验式WCl5或WCl6的含钨化合物的第一反应气体流体连通且第二反应气体端口与包含氢的第二反应气体流体连通,气体分布组件使第一反应气体及第二反应气体两者同时流入处理腔室内。使第二反应气体通过加热元件以在第二反应气体中产生氢自由基。将基板的至少一部分顺序地暴露至第一反应气体及在第二反应气体中的氢自由基以于基板上形成钨膜。
在一些实施例中,第二反应气体包含含氢化合物且含钨膜为钨膜。在一些实施例中,含钨膜基本上由钨组成。在一或多个实施例中,第二反应气体包含含氮化合物且含钨膜包含氮化钨。在一些实施例中,第二反应气体包含含硅化合物且含钨膜包含硅化钨(WSix)。
在一些实施例中,第二反应气体进一步包含氢。在一或多个实施例中,第二反应气体包含含硅化合物及含氮化合物的混合物,且含钨膜包含钨硅氮化物(WSixNy)。
在一些实施例中,基板包含功函数金属。在一或多个实施例中,功函数金属包含Ti及/或TiAl。在一些实施例中,在功函数金属与基本上由钨组成的膜之间不存在介入层。在一或多个实施例中,在功函数金属与基本上由钨组成的膜之间存在介入层,所述介入层具有小于约5埃的厚度。
在一些实施例中,在沉积含钨膜之前,基板包含氧化层,且方法进一步包含约5托至约20托的范围内的分压下以二硅烷,或氢与硅烷的混合物浸渍基板。
在一些实施例中,含钨膜以在约循环及约循环的范围内的速率生长。
一些实施例进一步包含自氢气产生氢自由基。在一或多个实施例中,自氢气产生氢自由基包含使氢气通过加热元件,所述加热元件具有足够产生氢自由基的温度。一些实施例进一步包含加热加热元件至足够产生氢自由基的温度。在一些实施例中,加热加热元件包含提供经由加热元件流动的电流。一或多个实施例进一步包含施加动态张力至加热元件的端部以防止加热元件在足够产生氢自由基的温度下下垂。
在一些实施例中,加热元件含在实质上耐热膨胀的外壳内。在一些实施例中,外壳附加至气体分布组件的前表面以便流自第二反应气体端口的第二反应气体经由外壳且在加热元件周围流动。一或多个实施例进一步包含相对于气体分布组件移动基板,以便将基板的每一部分暴露至基本上按顺序由第一反应气体及第二反应气体组成的气体流动。
在一些实施例中,基板保持在小于约475℃的温度下。在一或多个实施例中,基板保持在大于约350℃的温度下。
本发明的一或多个实施例针对处理方法,处理方法包含以硅烷浸渍基板及将先前以硅烷浸渍过的基板顺序地暴露至第一反应气体及第二反应气体以形成钨膜,第一反应气体包含含钨化合物及氢,所述含钨化合物包含具有经验式WxCl5x的化合物,第二反应气体包含还原剂。在一些实施例中,第一反应气体包含氢原子多于钨原子。在一或多个实施例中,第一反应气体包含以约1:2至1:20的范围内的比存在的含钨化合物及氢。
本发明的一些实施例针对处理方法,所述处理方法包含在晶体管内沉积一厚度的钨作为功函数材料上的填充材料,处理沉积的钨膜及重复以形成期望厚度的钨填充,其中处理钨膜包含以下的一或多个:(1)将填充材料顺序地暴露至四氯化钛及氨;(2)将填充材料浸渍在四氯化钛中;及(3)将填充材料暴露至氢等离子体达在约10秒至约30秒的范围内的时间。在一或多个实施例中,在(a)中沉积为填充材料的钨的厚度是在约至约的范围内。在一些实施例中,TiN的量小于约1/2的单层厚度。
在一些实施例中,当钨膜具有约的厚度时,钨膜具有大于约的晶粒大小。在一或多个实施例中,当钨膜具有约的厚度时,钨膜具有小于约30μΩ·cm的电阻率。
形成保形钨膜的方法包含:将表面顺序地暴露至包含含钨化合物的第一反应气体达第一时间及暴露至包含氢的第二反应气体达第二时间来沉积钨膜,第一时间及第二时间皆小于约2秒。在一些实施例中,钨膜以小于约循环的速率生长。在一或多个实施例中,钨膜以小于约循环的速率生长。
本发明的一些实施例针对集成电路晶体管装置,所述集成电路晶体管装置包含安置在沟道上的介电层、安置在介电层上的功函数金属及安置在功函数层上的填充层,其中填充层基本上由W组成。
一或多个实施例针对集成电路晶体管装置,集成电路晶体管装置包含安置在沟道上的介电层、介电层上基本上由钨组成的功函数层。
在一些实施例中,功函数金属实质上不含氟。在一或多个实施例中,填充层实质上不含氟。
在一些实施例中,在功函数金属与基本上由钨组成的膜之间不存在介入层。在一或多个实施例中,在功函数金属与基本上由钨组成的膜之间存在介入层,所述介入层具有小于约5埃的厚度。
一或多个实施例针对处理方法,处理方法包含在晶体管内沉积一厚度的钨作为功函数材料上的填充材料;处理沉积的钨膜;及重复以形成期望厚度的钨填充。处理钨膜包含以下的一或多个:(1)将填充材料顺序地暴露至四氯化钛及氨;(2)将填充材料浸渍在四氯化钛中;及(3)将填充材料暴露至氢等离子体达在约10秒至约30秒的范围内的时间。在一些实施例中,在(a)中沉积为填充材料的钨的厚度在约至约的范围内。在一或多个实施例中,TiN的量小于约1/2的单层厚度。
附图简单说明
因此,可详细理解本发明的上述特征结构的方式,即可参照实施例更具体描述上文简要概述的本发明,一些实施例图示于附图中。然而,应注意,附图仅图示本发明的典型实施例,且因此不欲视为本发明范围的限制,因为本发明可允许其它同等有效的实施例。
图1图示根据本文描述的一个实施例使用双脉冲循环沉积技术形成钨层的示例性工艺序列;
图2图示示例性ALD处理系统;
图3图示示例性空间ALD处理系统;
图4图示供空间ALD处理系统使用的基座;
图5图示根据本发明的一或多个实施例的气体分布组件的透视图;
图6图示根据本发明的一或多个实施例的气体分布组件的前视图;
图7图示根据本发明的一或多个实施例的气体分布组件的前视图;
图8图示根据本发明的一或多个实施例的供气体分布组件使用的线外壳的透视图;
图9图示根据本发明的一或多个实施例的组件的视图;
图10图示根据本发明的一或多个实施例的组件的视图;
图11图示根据本发明的一或多个实施例的组件的视图;
图12图示根据本发明的一或多个实施例的组件的视图;
图13图示根据本发明的一或多个实施例的气体分布组件的横截面图;及
图14图示根据本发明的一或多个实施例的气体分布组件的横截面图。
图15A图示示例性集成处理平台;
图15B图示另一示例性集成处理平台;
图16图示供本发明的实施例使用的批处理腔室的示意性横截面图;及
图17图示供本发明的实施例使用的批处理腔室的示意图。
具体描述
本发明的实施例提供用于沉积含钨膜的改进工艺。各种实施例的工艺使用蒸气沉积技术,诸如原子层沉积(ALD),以提供具有显著改进的表面均匀性及生产水平产出量的钨膜。在一些实施例中,工艺允许在无阻挡层的情况下将含钨膜沉积于n-金属表面上。在一些实施例中,方法通过在较低的处理温度下提供含钨膜的保形沉积、节省正形成的装置的热预算而有利地提高生产力及处理半导体基板的效率。
如本文所使用,“基板表面”指于上面执行膜处理的基板的任何部分或形成于基板上的材料表面的部分。举例而言,于上面可执行处理的基板表面取决于应用包括:诸如硅、氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料;及任何其它材料,诸如金属、金属氮化物、金属合金及其它导电材料。基板表面上的阻挡层、金属或金属氮化物包括钛、氮化钛、氮化钨、钽及氮化钽。基板表面亦可包括介电材料,诸如二氧化硅及碳掺杂的氧化硅。基板可具有各种尺寸,诸如200mm或300mm直径的晶片以及矩形面板或正方形面板。在一些实施例中,基板包含刚性离散材料。
如本文所使用,“原子层沉积”或“循环沉积”指顺序暴露两种或更多种反应化合物以于基板表面上沉积材料层。如在本说明书及随附权利要求书中所使用,术语“反应化合物”、“反应气体”、“反应物种”、“前驱物”、“工艺气体”和类似物可互换用于意指具有能够在表面反应中(例如,化学吸附、氧化、还原)与基板表面或基板表面上的材料反应的物种的物质。基板或基板的部分顺序地暴露至两种或更多种反应化合物,所述反应化合物经引入处理腔室的反应区内。在时域ALD工艺中,至每一反应化合物的暴露由时间延迟分开,以允许每一化合物黏着于基板表面及/或在基板表面上发生反应。在空间ALD工艺中,基板表面的不同部分或基板表面上的材料同时暴露至两种或更多种反应化合物,以便基板上的任何已知点实质上不同时暴露至多于一种反应化合物。如在本说明书及权利要求书中所使用,如本领域的技术人员将理解,用于此方面的术语“实质上”意指存在以下可能性:基板的小部分可能由于扩散而同时暴露至多种反应气体且同时暴露是非故意的。
在时域ALD工艺的一个方面中,第一反应气体(亦即,第一前驱物或化合物A)经脉冲输送至反应区内,继之以第一时间延迟。紧接着,第二前驱物或化合物B经脉冲输送至反应区内,继之以第二延迟。在每一时间延迟期间,诸如氩气的净化气体引入处理腔室内以净化反应区或另外自反应区移除任何残留反应化合物或副产物。或者,整个沉积工艺期间净化气体可连续不断地流动,以便在介于反应化合物的脉冲之间的时间延迟期间仅净化气体流动。或者脉冲输送反应化合物直至在基板表面上形成期望膜或膜厚度。在任一情况中,脉冲输送化合物A、净化气体、化合物B及净化气体的ALD工艺为循环。循环可从化合物A或化合物B开始且继续各个顺序的循环直至达到具有期望厚度的膜。
在空间ALD工艺的方面中,第一反应气体及第二反应气体(例如,氢自由基)同时输送至反应区但由惰性气体幕及/或真空幕分离。基板相对于气体输送设备移动以便将基板上的任何已知点暴露至第一反应气体及第二反应气体。
图1描绘根据本发明的一些实施例用于在基板上形成含钨层的方法。方法100大体开始于102,其中提供具有表面的基板且将所述基板放置至处理腔室内,含钨层将形成于所述表面上。如本文所使用,“基板表面”指层可形成于上面的任何基板表面。基板表面可具有在所述基板表面中形成的一或多个特征结构、在所述基板表面上形成的一或多个层及所述一或多个特征结构与所述一或多个层的组合。基板(或基板表面)可在沉积含钨层之前例如通过研磨、蚀刻、还原、氧化、卤化、羟基化、退火、烘烤或类似方式预先处理。
基板可为能够使材料沉积于所述基板上的任何基板,诸如硅基板、III-V族化合物基板、硅锗(SiGe)基板、外延基板、绝缘层上硅(silicon-on-insulator;SOI)基板、诸如液晶显示(LCD)、等离子体显示、电致发光(electro luminescence;EL)灯显示的显示基板、太阳能阵列、太阳能面板、发光二极管(light emitting diode;LED)基板、半导体晶片或类似物。在一些实施例中,一或多个额外层可安置于基板上以使得含钨层可至少部分地形成于所述一或多个额外层上。举例而言,在一些实施例中,包含金属、氮化物、氧化物或类似物或前述的组合的层可安置于基板上且可使含钨层形成于此层或多个层上。
在一些实施例中,在开始循环沉积工艺以于基板上形成含钨层(如下文在104处所论述)之前,可将基板暴露至可选浸渍工艺103,如103处以虚线所示。在一或多个实施例中,于基板上沉积含钨层104的方法不要求浸渍工艺。此意指,在沉积膜之前的浸渍实质上不存在优势。如在本说明书及随附权利要求书中所使用,在此方面使用的术语“实质上无优势”意指,在沉积速率中存在小于约10%的增加或在所沉积膜的保形性及均匀性中存在小于约20%的差异。虽如此说,但存在下文进一步论述的实施例,其中预浸渍形成整体工艺的重要部分。在一些实施例中,浸渍工艺可包含将基板加热至浸渍温度,随后将基板暴露至浸渍气体。举例而言,在一些实施例中,可将基板加热至以下的温度:约100℃至约600℃,或在一些实施例中,约200℃至约600℃,或在一些实施例中约300℃至约500℃,或在一些实施例中约350℃至约420℃,或在一些实施例中约375℃至约500℃。
在一些实施例中,浸渍气体可包含还原气体,所述还原气体包含氢气及/或氢化物化合物,诸如硅烷化合物(例如,硅烷、二硅烷、三硅烷、四硅烷、氯硅烷、二氯硅烷、四氯硅烷、六氯二硅烷、甲基硅烷或类似物)、硼烷化合物(例如,甲硼烷、二硼烷、三硼烷、四硼烷、五硼烷、烷基硼烷或类似物)、磷化氢、氨、胺化合物、氢、上述物质的衍生物、上述物质的组合或类似物。当存在还原气体时,还原气体吸附至基板表面及/或与基板表面反应以形成经处理表面。在一些实施例中,处理过的表面提供更快的沉积工艺用于整体平滑及更加均匀的随后沉积层。
在一些实施例中,基板经受硅烷浸渍。所述实施例中的一些实施例使用实质上仅硅烷的硅烷浸渍。如在本说明书及随附权利要求书中所使用,在此方面使用的术语“实质上仅硅烷”意指浸渍流体为99%或更多硅烷作为浸渍制剂。举例而言,当不包括稀释剂(氢)时,氢中5%硅烷的浸渍溶液将被视为100%硅烷。
在一些实施例中,还原气体含有以下的氢/氢化物流量比:约40:1或更大,或在一些实施例中,约100:1或更大,或在一些实施例中,约500:1或更大,或在一些实施例中,约800:1或更大,或在一些实施例中,约1000:1或更大。在一些实施例中,氢化物化合物(例如,二硼烷)可具有以下的流量:约1sccm至约75sccm,或在一些实施例中,约3sccm至约30sccm,或在一些实施例中,约5sccm至约15sccm。在一些实施例中,氢化物化合物可在载气(例如,氢气、氮气、氩气、氦气或类似物)内,以使得混合物可具有以下的流量:在约50sccm至约500sccm的范围内,或在一些实施例中,约75sccm至约400sccm,或在一些实施例中,约100sccm至约300sccm。在一些实施例中,氢气可以以下流量提供:约1slm至约20slm,或在一些实施例中,自约3slm至约15slm,或在一些实施例中,自约5slm至约10slm。氢/氢化物流量比可由总体氢流量除以总体氢化物流量而计算。总体氢流量含有全部氢源之和,包括任何氢载气的流量及任何独立氢气的流量。
在一些实施例中,还原气体可在处理/沉积腔室内混合或在外部混合且可来自多个源。举例而言,在一些实施例中,将基板暴露至还原气体,所述还原气体是通过在腔室中组合还原或氢化物化合物及氢混合物(例如,H2中5%B2H6)的气体流动与氢气的气体流动而形成。在另一实例中,在一些实施例中,还原或氢化物化合物及氢混合物(例如,H2中5%B2H6)的气体流动及氢气的气体流动在进入腔室之前组合。可利用额外的工艺参数促进浸渍工艺。举例而言,在一些实施例中,浸渍工艺可经执行同时保持工艺腔室中的压力为约1托至约150托,或在一些实施例中,自约1托至约100托,或在一些实施例中,自约10托至约50托,或在一些实施例中,自约20托至约40托,或在一些实施例中,约5托至约20托。在一些实施例中,浸渍工艺可执行达以下的时间周期:在约1秒至约90秒内,或在一些实施例中,小于约60秒,或在一些实施例中,小于约30秒,或在一些实施例中,小于约10秒。
紧接着,在步骤104,含钨层形成于基板上。含钨层可经由诸如原子层沉积(ALD)或类似的循环沉积工艺形成。在一些实施例中,经由循环沉积工艺形成含钨层可大体包含将基板顺序地暴露至两种或更多种工艺气体。在时域ALD实施例中,至每一工艺气体的暴露由时间延迟/暂停分开,以允许工艺气体的组分黏着于基板表面及/或在基板表面上发生反应。替代地或在组合中,在一些实施例中,可在将基板暴露至工艺气体之前及/或在将基板暴露至工艺气体之后执行净化,其中惰性气体用来执行净化。举例而言,第一工艺气体可提供至处理腔室,随后以惰性气体净化。紧接着,第二工艺气体可提供至处理腔室,随后以惰性气体净化。在一些实施例中,惰性气体可连续不断地提供至处理腔室且第一工艺气体可经剂量投配或脉冲输送至处理腔室内,随后第二工艺气体经剂量投配或脉冲输送至处理腔室内。在这类实施例中,在第一工艺气体及第二工艺气体的剂量投配之间可出现延迟或暂停,以允许连续流动的惰性气体在工艺气体的剂量投配之间净化处理腔室。
在空间ALD实施例中,至每一工艺气体的暴露同时出现至基板的不同部分,以便将基板的一部分暴露至第一反应气体同时将基板的不同部分暴露至第二反应气体(若仅使用两种反应气体)。基板相对于气体输送系统移动,以便基板上的每一点顺序地暴露至第一反应气体及第二反应气体两者。在上文对于时域ALD工艺及空间ALD工艺两者所描述的任何实施例中,可重复顺序直至在基板表面上形成期望层厚度。
如本文所使用,“脉冲”或“剂量”意欲代表间歇地或非连续地引入处理腔室内的源气体的量。特定化合物在每一脉冲内的量可随着时间推移而变化,此取决于脉冲的持续时间。特定工艺气体可包括单种化合物或两种或更多种化合物的混合物/组合,例如,如下所述的工艺气体。
每一脉冲/剂量的持续时间是可变的且可经调整以适应例如处理腔室的体积容量以及耦接至处理腔室的真空系统的容量。另外,工艺气体的剂量时间可根据工艺气体的流量、工艺气体的温度、控制阀的类型、所使用处理腔室的类型以及工艺气体吸附至基板表面上的组分的能力而变化。剂量时间亦可基于正形成的层的类型及正形成的装置的几何形状而变化。剂量时间应足够长以提供足以吸附/化学吸附至基板的实质上整体表面上的一定体积的化合物且在所述整体表面上形成工艺气体组分的层。
在步骤104形成含钨层的工艺可通过将基板暴露至第一反应气体开始。在一些实施例中,第一反应气体包含钨前驱物(亦称为含钨气体和类似物)且暴露至基板达第一时间周期,如在106所示。钨前驱物可为任何合适的含钨气体,包括但不限于基于卤化物的钨前驱物或基于有机金属的钨前驱物。举例而言,在一些实施例中,钨前驱物可包含五氯化钨(WCl5)、具有经验式WCl5的化合物(例如,W2Cl10、W3Cl15)、六氯化钨(WCl6)、具有经验式WCl6的化合物(例如,W2Cl12)、六氟化钨(WF6)。在一或多个实施例中,含钨前驱物选自由以下物质组成的群组:五氯化钨、具有经验式WCl5的化合物及六氯化钨。在一些实施例中,含钨化合物包含具有经验式WxCl5x的化合物,其中x大于或等于约1且y大于或等于约5。在不受任何特定操作理论约束的情况下,应相信,自氟化物至氯化物改变阴离子导致限制扩散的较大离子,此导致更大的传导。在一些实施例中,含钨膜是实质上无碳的。如在本说明书及随附权利要求书中所使用,术语“实质上无碳”意指在膜中存在小于约1%或0.5%或0.1%的碳原子。
含钨工艺气体可以一或多个脉冲提供或连续不断地提供。含钨气体的流量可为任何合适的流量,包括但不限于以下的流量:在约1sccm至约5000sccm的范围内,或在约2sccm至约4000sccm的范围内,或在约3sccm至约3000sccm的范围内或在约5sccm至约2000sccm的范围内。含钨前驱物可在任何合适的压力下提供,包括但不限于以下的压力:在约5mTorr至约25托的范围内,或在约100mTorr至约20托的范围内,或在约5托至约20托的范围内,或在约50mTorr至约2000mTorr的范围内,或在约100mTorr至约1000mTorr的范围内,或在约200mTorr至约500mTorr的范围内。
将基板暴露至含钨气体的时间周期可为允许钨前驱物在基板表面顶上形成足够成核层所必需的任何合适的时间量。举例而言,工艺气体可流入处理腔室达约0.1秒至约90秒的周期。在一些时域ALD工艺中,含钨气体经暴露至基板表面达以下的时间:在约0.1秒至约90秒的范围内,或在约0.5秒至约60秒的范围内,或在约1秒至约30秒的范围内,或在约2秒至约25秒的范围内,或在约3秒至约20秒的范围内,或在约4秒至约15秒的范围内,或在约5秒至约10秒的范围内。
在一些实施例中,惰性气体可在与含钨气体相同的时间另外提供至处理腔室。惰性气体可与含钨气体(例如,作为稀释气体)混合或单独存在且可经脉冲输送或具有恒定流量。在一些实施例中,惰性气体以在约1sccm至约10000sccm的范围内的恒定流量流入处理腔室。惰性气体可为任何惰性气体,例如,氩气、氦气、氖气、前述气体的组合等。在一或多个实施例中,含钨气体在流入处理腔室之前与氩气混合。
基板在沉积期间的温度可例如通过设置基板支撑件或基座的温度而控制。在一些实施例中,基板保持在以下温度下:在约300℃至约475℃的范围内,或在约350℃至约450℃的范围内。在一或多个实施例中,基板保持在以下温度下:小于约475℃,或小于约450℃,或小于约425℃,或小于约400℃,或小于约375℃。
除前述内容外,可调节额外的工艺参数同时将基板暴露至含钨工艺气体。举例而言,在一些实施例中,处理腔室可经保持在约0.3托至约90托的压力下。
在一些实施例中,低电阻率膜(或可调晶粒大小膜)是使用硅烷预浸渍及ALD W沉积的组合而沉积。举例而言,基板在任何ALD循环之前浸渍在实质上仅硅烷中(亦即,在图1的步骤103中)。含钨前驱物在钨暴露步骤106期间与相对低量的氢气共流动。与含钨前驱物共流动的氢的量足够低以使得实质上不存在CVD沉积。氢的相对低流量是相对于步骤110中还原所需要的氢的量,而不是相对于正共流动的钨前驱物的量。举例而言,氢以约5sccm共流动(其中,还原的氢的量是约2000倍)。在一些实施例中,含有含钨化合物及氢的第一反应气体具有氢原子多于钨原子。在一或多个实施例中,含钨前驱物与氢的混合物在约1:2至1:20的范围内。发明者已惊喜地发现,以使用硅烷浸渍及氢共流动的工艺沉积的钨膜具有比其它工艺更高的晶粒大小及更低的电阻率。由标准工艺(亦即,无硅烷预浸渍及/或无氢共流动)沉积的钨膜具有的晶粒大小。使用硅烷浸渍及氢共流动的钨膜的晶粒大小为约在一些实施例中,当钨膜的厚度为约时,钨膜具有大于或等于约的晶粒大小。由标准工艺沉积的钨膜具有约40μΩ·cm的电阻率,而由硅烷浸渍及氢共流动工艺沉积的膜具有约20μΩ·cm的电阻率。在一些实施例中,当钨膜具有约的厚度时,钨膜具有小于或等于约20μΩ·cm或25μΩ·cm的电阻率。
紧接着,在步骤108,处理腔室(尤其在时域ALD中)可使用惰性气体净化。(在空间ALD工艺中可能不需要此操作,因为存在分离反应气体的气幕。)惰性气体可为任何惰性气体,例如氩气、氦气、氖气或类似气体。在一些实施例中,惰性气体可为相同的,或者可不同于在106处将基板暴露至第一工艺气体期间提供至处理腔室的惰性气体。在其中惰性气体为相同的实施例中,净化可通过使第一工艺气体自处理腔室转移、允许惰性气体流经处理腔室而执行,从而净化具有任何过量第一工艺气体组分或反应副产物的处理腔室。在一些实施例中,惰性气体可以上述结合第一工艺气体使用的相同流量提供,或在一些实施例中,可增加或减少流量。举例而言,在一些实施例中,惰性气体可以约0sccm至约10000sccm的流量提供至处理腔室以净化处理腔室。在空间ALD中,净化气体幕保持在反应气体的流动之间且可能不需要净化处理腔室。然而,在一些实施例中,处理腔室可以惰性气体净化。
惰性气体的流动可促进自处理腔室移除任何过量的第一工艺气体组分及/或过量的反应副产物以防止第一工艺气体及第二工艺气体的不当气相反应。举例而言,惰性气体的流动可自处理腔室移除过量的含钨气体,从而防止在钨前驱物与后续反应气体之间的反应。
紧接着,在步骤110,将基板暴露至第二工艺气体达第二时间周期。第二工艺气体与基板表面上的含钨化合物反应以产生沉积膜。第二工艺气体可对所得钨膜具有重要影响。举例而言,当第二工艺气体为H2时,沉积钨膜,但当第二反应气体为硅烷或二硅烷时,沉积硅化钨膜。
在一些实施例中,第二反应气体包含氢且所得的形成膜为钨膜。氢气可以大于含钨气体浓度的流量供应至基板表面。在一或多个实施例中,H2的流量大于含钨气体的流量约1倍,或大于含钨气体的流量约100倍,或是含钨气体的流量约3000倍至5000倍的范围内。在时域ALD中,氢气可供应达以下的时间:在约1秒至约30秒的范围内,或在约5秒至约20秒的范围内,或在约10秒至约15秒的范围内。氢气可在以下压力下供应:在约1托至约30托的范围内,或在约5托至约25托的范围内,或在约10托至约20托的范围内,或多达约50托。基板温度可保持在任何适合温度下。在一或多个实施例中,基板保持在小于约475℃的温度下或在大约与含钨膜沉积期间基板的温度相同的温度下。
在一些实施例中,特征结构的保形覆盖在脉冲输送步骤及净化步骤两者中都使用通常约1秒至2秒的小步时间执行。此时间对于以下是足够的:在脉冲输送步骤中前驱物至基板表面上的合理吸附及在净化步骤中自气体输送路径以及腔室空腔净化除掉过量的前驱物或还原气体。如在本说明书及随附权利要求书中所使用,术语“合理吸附”是足够用于膜生长的吸附。在一些实施例中,钨膜是以以下速率生长:小于或等于约循环、循环、循环或循环。较低的生长速率有益于保形生长,而较高的生长速率(例如,高于约循环)倾向于生长非保形膜。本发明的一或多个实施例针对保形钨膜。
在一些实施例中,第二反应气体包含氢自由基。氢自由基可由任何合适的手段产生,包括氢气至“热线”的暴露。如在本说明书及随附权利要求书中所使用,术语“热线”意指可加热至足以在绕元件流动的气体中产生自由基的温度的任何元件。此亦称为加热元件。
因此,本发明的一或多个实施例针对处理基板或沉积膜的方法。将基板的至少一部分顺序地暴露至包含金属络合物的第一反应气体及第二反应气体。金属络合物可为用于ALD处理的任何合适的金属络合物,包括但不限于有机金属络合物。在一些实施例中,有机金属络合物包含含钨化合物。一些实施例的含钨化合物具有经验式WCl5(例如,WCl5、W2Cl10)。在一或多个实施例中,含钨化合物具有经验式WCl6(例如,WCl6、W2Cl12)。第二反应气体可包含氢自由基。一些实施例的方法是空间原子层沉积腔室中的做法或通过空间原子层沉积工艺所进行的做法。空间ALD对于以氢自由基沉积钨膜可尤其有效,因为用来产生自由基的装置(例如,热线)可与金属有机前驱物隔离,所述金属有机前驱物经常与热线材料不兼容。
第二反应气体(例如,氢)在通过热线或加热元件时变得自由基化。举例而言,通过热钨线的H2可导致产生H*。所述氢自由基比基态氢原子更容易反应。
为了有效,加热元件必须加热至足以产生自由基的温度。加热可由例如传递足够电流通过加热元件以升高加热元件的温度而发生。
紧接着,在112,处理腔室可使用惰性气体净化。惰性气体可为任何惰性气体,例如氩气、氦气、氖气或类似气体。在一些实施例中,惰性气体可为相同或者可不同于在先前工艺步骤期间提供至处理腔室的惰性气体。在其中惰性气体为相同的实施例中,净化可通过使第二工艺气体自处理腔室转移、允许惰性气体流经处理腔室而执行,从而净化具有任何过量第二工艺气体组分或反应副产物的处理腔室。在一些实施例中,惰性气体可以上述结合第二工艺气体使用的相同流量提供,或在一些实施例中,可增加或减少流量。举例而言,在一些实施例中,惰性气体可以约0sccm至约10000sccm的流量提供至处理腔室以净化处理腔室。
尽管图1图示的处理方法的通用实施例包括仅两个脉冲的反应气体,但应理解,此仅为示例性的且可要求反应气体的额外脉冲。举例而言,一些实施例的氮化物膜可通过以下生长:含有如五氯化钨的前驱物气体的第一脉冲、具有还原剂的第二脉冲,继之以净化及用于氮化的第三脉冲。脉冲可重复所述脉冲的整体或部分。举例而言,可重复全部三个脉冲或可仅重复两个。此可按需要对于每一循环变化。
紧接着,在114,决定含钨层是否达到预定厚度。若未达到预定厚度,则方法100返回至104以继续形成含钨层直至达到预定或期望的厚度。一旦已达到预定厚度,则方法100可结束或进行至116,此处可执行整体沉积工艺以沉积剩余厚度的含钨层。在一些实施例中,整体沉积工艺可为CVD工艺。在完成沉积含钨层至期望厚度后,方法100大体结束且基板可进行任何进一步处理。举例而言,在一些实施例中,可执行CVD工艺以整体沉积含钨层至目标厚度。举例而言,在一些实施例中,含钨层可经由钨前驱物与氢自由基的ALD或CVD反应而沉积以形成以下的总体层厚度:约至约或在一些实施例中,约至约或在一些实施例中,约至约
尽管描述已大体将含钨气体称为第一气体,但本领域的技术人员将了解,此仅为说明性的。在一些实施例中,将基板首先暴露至第二反应气体,接着暴露至含钨气体。
在任何上述实施例中,由将基板暴露至第一工艺气体、以惰性气体净化、将基板暴露至第二工艺气体及以惰性气体净化组成的每一循环可在基板上形成具有约至约的厚度的含钨层。在一些实施例中,厚度按以下速率生长:在约循环至约循环的范围内,或在约循环至约循环的范围内,或在约循环至约循环的范围内。顺序可重复直至达到含钨层的期望总体厚度。举例而言,在一些实施例中,含钨层可包含约至约的总体厚度,或在一些实施例中约的总体厚度。因此,沉积工艺可要求多达约2000次循环以达到期望厚度。
在任何上述实施例中,每一脉冲的流量及/或持续时间可为相同或可在形成特定含钨层所要求的总体循环的过程中变化,从而促进层具有均匀组合物或分级组合物。
在一些实施例中,预调节基板表面。举例而言,若基板的表面为氧化物,则可能期望使用氢化物或氢化物/氢混合物执行预浸渍。氢化物吸附基板表面及/或与基板表面反应来形成经调节表面,以允许形成均匀含钨层。在一些实施例中,氢化物可包含硅烷(SixHy)化合物(例如,硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、氯硅烷、二氯硅烷(H2SiCl2)或类似物)、硼烷(BxHy)化合物(例如,二硼烷(B2H6)、三硼烷(B3H8)、五硼烷(B5H9)或类似物)、磷化氢(PH3)、前述物质的衍生物、前述物质的组合或类似物。另外,在一些实施例中,氢化物可经稀释在稀释剂气体中,例如惰性气体,诸如氩气(Ar)、氦气(He)、氮气(N2)、氢气(H2)或类似物。举例而言,在这类实施例中,氢化物可以按体积计约5%氢化物与约95%稀释剂气体的混合物提供。在例如其中氢化物包含二硼烷的一些实施例中,氢化物的流量可为约1sccm至约75sccm。
在实施例中,钨膜可通过放置具有或更厚的金属层(诸如ALD TiN、TiSiN、TiAl、PVD Ti、TiN)的基板来形成,或若是通过放置具有氧化物的基板来形成,则于保持在约400℃至约475℃的范围内的温度下的底座上在5托至20托的分压下以二硅烷,或氢与硅烷的混合物浸渍。含钨化合物(例如,WCl5或WCl6)可在5托至20托下以氩气的混合物脉冲输送至晶片表面,随后以氩气(或另一惰性气体)净化。氩气压力增加至约20托且随后开始氢脉冲输送。氢自由基脉冲输送可在高流量下进行,以便氢与含钨化合物之比在约3000至5000的范围内达约10秒至15秒。腔室以氩气(或另一惰性气体)净化达5秒至10秒。重复循环直至钨膜的生长达到指定厚度。
本发明的一些实施例针对含钨膜。这些膜包括钨金属膜、氮化钨、硅化钨及钨硅氮化物。含钨膜可用于许多适当目的,包括但不限于p-金属功函数层及填充材料。
因此,本发明的一些实施例针对无氟钨膜,所述无氟钨膜用作在逻辑应用及存储器应用两者中制造场效晶体管(field effect transistor;FET)装置中的金属栅极的p金属功函数层。通过所述工艺生长的膜具有显著优点,所述优点包括比目前用作栅极金属的其它膜显著更低的电阻率及更高的热稳定性(可被退火高达1000℃)。热稳定性对于存储器应用中的VNAND及BWL尤其重要。本发明的一或多个实施例针对钨膜,所述钨膜具有大于约4.6eV或约4.7eV或约4.8eV的功函数。功函数金属可沉积于FET的栅极氧化物上。功函数金属控制电流流动的临界值。低临界值要求更少的能量使用,因此更导电的金属更好。通过熟知工艺沉积的钨膜导致膜通常具有约4.45eV的功函数。
本发明的一些实施例针对集成电路晶体管装置,所述集成电路晶体管装置包含安置在沟道上的介电层。功函数金属安置在介电层上且基本上由钨组成的填充层沉积在功函数层上。如在本说明书及随附权利要求书中所使用,在此方面使用的术语“基本上由钨组成”意指填充层大于约95%、98%或99%的钨。一些实施例的功函数层包含Ti及TiAl中的一或多个。在一或多个实施例中,功函数金属实质上不含氟。在一些实施例中,填充层实质上不含氟。如在本说明书及随附权利要求书中所使用,术语“实质上无氟”意指在膜中存在小于约5%、4%、3%、2%、1%、0.5%或0.1%的氟原子。在一些实施例中,在功函数金属与基本上由钨组成的膜之间不存在介入层。
在一或多个实施例中,在功函数金属与基本上由钨组成的膜之间存在介入层。介入层可取决于集成电路晶体管的预期用途具有任何合适的厚度。在一些实施例中,介入层具有小于约的厚度。
在一些实施例中,含钨膜用作低电阻率填充材料。为达到完全无缝填充,应用周期处理(例如,在每隔的膜之后)。处理的方法包括(a)交替暴露至TiCl4及氨;(b)执行TiCl4浸渍或(c)氢直接或远程等离子体暴露达10秒至30秒。处理可在与沉积工艺相同的温度下执行。所描述的工艺将沉积约TiN(小于TiN)以再生表面且移除在沉积期间的额外氯化物。在这些实施例中沉积的TiN的量小于约1/2的TiN单层或在约1/3至约1/5的TiN单层的范围内。
已发现,所描述的各种钨膜可用于:例如,(1)使用硅烷浸渍的成核及膜生长重复性;(2)通过生长初始的一或两层硅化钨而于氧化物上的黏附;(3)通过以氨浸渍接着以硅烷浸渍减少粗糙度(以增加成核密度);(4)通过TiCl4/NH3循环(在氧化物或氧化表面上)在界面处沉积小于约的TiN;及(5)集成薄TiN,以提供良好的成核、黏附及保形性(通过任何合适的方法及试剂沉积)。
图2图示可用来执行本发明的时域ALD实施例的设备的实施例的示意横截面图。设备可为用于处理基板的任何合适设备,例如,GEMINI ALD腔室或Centura ALD腔室,两者皆可购自加利福尼亚州圣克拉拉的应用材料公司。
图2的设备大体为处理腔室200,所述处理腔室200具有限定内部容积234的腔室主体206及安置于腔室主体206的上表面210上的腔室盖270。安置在内部容积234内的基板支撑件212在基板接收表面214上支撑基板220。基板支撑件212(或底座)装设至升降电动机228以升高或降低基板支撑件212及安置于基板支撑件212上的基板220。耦接至升降电动机218的升降板216装设在处理腔室200内且升高或降低穿过基板支撑件212可移动安置的杆222。杆222升高或降低在基板支撑件212的表面上的基板220。在一些实施例中,基板支撑件212包括真空夹盘、静电夹盘或夹紧环用于将基板220固定至基板支撑件212。形成于腔室主体206的壁204内的开口208促进基板进出处理腔室200。
基板支撑件212经加热以增加安置于基板支撑件212上的基板220的温度。举例而言,基板支撑件212可使用诸如电阻加热器的嵌入式加热元件加热,或可使用诸如安置在基板支撑件212上方的加热灯的辐射热加热。净化环224安置于基板支撑件212上以界定净化通道226,所述净化通道226提供净化气体至基板220的周围部分,以防止在所述周围部分上的沉积。
排气系统231与泵通道232连通以自处理腔室200排空任何不当气体。排气系统231亦帮助维持处理腔室200内部的期望压力或期望压力范围。
气体输送系统250耦接至腔室主体206以提供前驱物、工艺气体、载气及/或净化气体至处理腔室200。气体输送系统250可大体包含气体面板251,所述气体面板251具有多个气源(图示六个)252、253、255、265、267、269及多个阀门(图示两个)257、259,所述多个阀门257、259经耦接至一或更多个导管(例如,导管256、258)以控制自气体面板251至处理腔室200的气体流动。在一些实施例中,多个气源252、253、255、265、267、269可经设置以使得所述多个气源252、253、255、265、267、269中的每一者可提供单独气体(例如,前驱物、工艺气体、载气、净化气体等),例如上文相对于图1描述的气体。
在一些实施例中,例如图2中所描绘,气体面板251可经设置以在由多个气源252、253、255、265、267、269提供的气体到达处理腔室200之前组合所述气体中的一些气体。在一些实施例中,一或多个阀门257、259可沿导管256、261安置以控制由多个气源252、253、255、265、267、269提供的气体流动。阀门257、259可为任何类型的阀门,例如,开关阀门、高速阀门、截止阀或类似物,以促进脉冲输送由气体面板251提供的气体。在一些实施例中,例如,如图2中所描绘,阀门257、259可为双向阀,例如经设置以经由耦接至排气系统230、271的导管261、273转移气体流动离开处理腔室200的分流阀。排气系统230、231及271每一个可为相同的排气系统或可为部分或完全不同的系统以防止排气系统内的材料的反应及/或沉积,所述反应及/或沉积可缩短排气系统寿命或要求维护及/或清洗排气系统的部件(例如,泵、导管、阀门和类似物)。在这类实施例中,阀门257、259可位于沿各个导管256、258适于同时选择性控制一或多种气体的任何位置。举例而言,阀门257(第一阀门)可安置在耦接第一气源252及第二气源255的接合点263的下游,以选择性地经由导管256提供气体至处理腔室200或经由导管261使气体转移至排气系统230,如图2中所描绘。另外,在一些实施例中,阀门259(第二阀门)可安置在第五气源253的下游,以选择性地经由导管258提供气体至处理腔室200或经由导管273使气体转移至排气系统271。在一些实施例中,第六气源269可在阀门259的上游(如图所示)或阀门259的下游耦接至第五气源253以允许一起提供由第六气源269提供的气体与来自第五气源253的气体。
在一些实施例中,一或多个流量限制器(未图示)可沿导管256安置在阀门257、259之前及/或在阀门257、259之后。包括一或多个流量限制器可减少在将气体流动转移至处理腔室或自处理腔室转移时在导管256内的压力的变化,从而输送由气源252、253、255提供的一致量的气体。
在例如其中利用固态或液态前驱物的一些实施例中,气体输送系统250亦可包含一或多个安瓿。在这类实施例中,一或多个安瓿可经设置以允许含有固态或液态前驱物且使固态或液态前驱物升华成为气态形式用于输送至处理腔室200内。
返回图2,腔室盖270的底部表面272的至少一部分可自扩展通道274至腔室盖270的周围部分为楔形。扩展通道274改进自扩展通道274的气体流动跨过基板220的表面(亦即,自基板的中心至基板的边缘)的速度轮廓。在一些实施例中,底部表面272包含一或多个楔形表面,诸如直面、凹面、凸面或前述的组合。在一些实施例中,底部表面272是以漏斗的形状为楔形。扩展通道274为气体入口的一个示例性实施例,所述气体入口用于自导管256至基板220输送升华的前驱物及载气。其它气体入口是可能的,例如,漏斗、非楔形通道、喷嘴、喷头或类似物。
诸如程序化个人计算机、工作站计算机或类似物这样的控制器240耦接至处理腔室200。控制器240说明性地包含中央处理单元(CPU)242、支持电路系统244及含有关联控制软件248的存储器246。控制器240控制在处理腔室中执行的工艺的操作条件,诸如如上相对于图1所述的ALD工艺。举例而言,控制器240可经设置以在沉积循环的不同阶段期间控制各种前驱物气体及净化气体自气体输送系统250至处理腔室200的流动。
图3为根据本发明的一或多个实施例的空间原子层沉积系统300或反应器的示意横截面图。系统300包括负载锁定腔室301及处理腔室302。处理腔室302为大体可密封外壳,所述可密封外壳在真空或至少低压下操作。处理腔室302由隔离阀303与负载锁定腔室301隔离。隔离阀303在闭合位置密封负载锁定腔室301与处理腔室302,且允许基板360经由阀门自负载锁定腔室301转移至处理腔室302,且在打开位置反之亦然。
系统300包括能够在整个基板360上分布一或多种气体的气体分布组件310。气体分布组件310可为本领域的技术人员熟知的任何合适的分布板,且所描述的特定气体分布组件将不会视为限制本发明的范围。气体分布组件310的输出面面向基板360的第一表面361。
气体分布组件310包含多个气体端口及多个真空端口,所述多个气体端口经设置以传输一或多个气体流至基板360,所述多个真空端口经安置在每一气体端口之间且经设置以传输气体流离开处理腔室302。在图3的详细实施例中,气体分布组件310包含第一前驱物注射器320、第二前驱物注射器330及净化气体注射器340。
注射器320、330、340可通过诸如主机的系统计算机(未图示)控制或通过诸如可程序化逻辑控制器的腔室特定控制器控制。前驱物注射器320经设置以经由多个气体端口325注射化合物A的反应前驱物的连续(或脉冲)流至处理腔室302内。前驱物注射器330经设置以经由多个气体端口335注射化合物B的反应前驱物的连续(或脉冲)流至处理腔室302内。净化气体注射器340经设置以经由多个气体端口345注射非反应或净化气体的连续(或脉冲)流至处理腔室302内。净化气体帮助自处理腔室302移除反应材料及反应副产物。净化气体通常为惰性气体,诸如氮气、氩气及氦气。气体端口345经安置在气体端口325与气体端口335之间以便分离化合物A的前驱物与化合物B的前驱物,从而避免在所述前驱物之间的交叉污染。一些实施例的气体端口325、335、345及真空端口355是狭长气体端口,所述狭长气体端口形成朝向(或远离)基板表面导向的气体的通道,以便通道延伸跨过基板的部分。
在另一方面中,远程等离子体源(未图示)可在将前驱物注射至腔室302内之前连接至前驱物注射器320及前驱物注射器330。反应物种的等离子体可通过对远程等离子体源内的化合物施加电场来产生。可使用能够活化所想要化合物的任何电源。举例而言,可使用以下的电源:使用基于DC、射频(RF)及微波(MW)的放电技术的电源。若使用RF电源,则所述RF电源可经电容式或电感式耦接。活化亦可通过基于热的技术、气体击穿技术、高强度光源(例如,UV能量)或至X射线源的暴露而产生。示例性远程等离子体源可购自供货商,诸如MKSInstruments公司及Advanced Energy Industries,Inc.公司。
系统300进一步包括连接至处理腔室302的抽吸系统350。抽吸系统350大体经设置以经由一或多个真空端口355将气体流排出处理腔室302外。术语“真空端口”与“泵端口”可交换使用。真空端口355安置在每一气体端口之间,以便在气体流与基板表面反应之后将气体流排出处理腔室302外且进一步限制在前驱物之间的交叉污染。
系统300包括多个隔板363,所述多个隔板363安置在处理腔室302内的每一端口之间。每一隔板363的下部分延伸接近于基板360的第一表面361。举例而言,离第一表面361约0.5mm或更大。以此方式,隔板363的下部分与基板表面361分离一距离,所述距离足以允许气体流在气体流与基板表面361反应之后在下部分周围朝向真空端口355流动。箭头398指明气体流的方向。因为隔板363操作作为气体流的实体阻挡,故所述隔板363亦限制在前驱物之间的交叉污染。图示的配置仅为说明性的且将不应视为限制本发明的范围。本领域的技术人员将理解,图示的气体分布系统仅为一个可能的分布系统且可使用其它类型的喷头。
在操作中,基板360经输送(例如,由机器人),至负载锁定腔室301且放置于梭365上。在打开隔离阀303之后,梭365沿轨道370移动。一旦梭365进入处理腔室302,则隔离阀303关闭,以密封处理腔室302。梭365随后移动通过处理腔室302用于处理。在一个实施例中,梭365沿直线路径移动通过腔室。
当基板360移动通过处理腔室302时,基板360的第一表面361重复地暴露至来自气体端口325的化合物A的前驱物及来自气体端口335的化合物B的前驱物以及化合物A之前驱物与化合物B之前驱物之间来自气体端口345的净化气体。净化气体的注射经设计以在将基板表面361暴露至下一个前驱物之前自先前前驱物移除未反应的材料。在至各种气体流(例如,前驱物或净化气体)的每一暴露之后,气体流由抽吸系统350经由真空端口355排空。因为真空端口可安置于每一气体端口的两侧上,故气体流经由两侧上的真空端口355排空。因此,气体流自各个气体端口垂直向下朝向基板360的第一表面361、跨过基板表面361及在隔板363的下部分周围及最终向上朝向真空端口355流动。以此方式,每一气体可在整个基板表面361上均匀地分布。箭头398指明气体流动的方向。基板360亦可旋转的同时暴露至各种气体流。基板的旋转可用于防止在所形成层中形成条带。基板的旋转可为连续或离散的步骤。
在处理腔室302的端部大体提供足够的空间以便确保在处理腔室302内最后气体端口的完全暴露。一旦基板360到达处理腔室302的端部(亦即,第一表面361已经完全暴露至腔室302内的每一气体端口),则基板360沿朝向负载锁定腔室301的方向返回。当基板360朝向负载锁定腔室301向后移动时,基板表面可以与第一次暴露相反的次序再次暴露至化合物A的前驱物、净化气体及化合物B的前驱物。
将基板表面361暴露至每一气体的程度可由例如出自气体端口的每一气体的流量及基板360的移动速率决定。在一个实施例中,每一气体的流量经设置以免自基板表面361移除吸附的前驱物。在每一隔板之间的宽度、安置于处理腔室302上的气体端口的数量及基板经来回传递的次数亦可决定基板表面361暴露至各种气体的程度。因此,沉积膜的量及质量可通过改变上述因子而最佳化。
在另一实施例中,系统300可包括前驱物注射器320及前驱物注射器330且无净化气体注射器340。因此,当基板360移动通过处理腔室302时,基板表面361将交替地暴露至化合物A的前驱物及化合物B的前驱物,而不在化合物A的前驱物与化合物B的前驱物之间暴露至净化气体。
图3图示的实施例在基板上方具有气体分布组件310。尽管已经描述实施例且相对于此垂直方向图示实施例,但将理解,相反方向亦为可能的。在那种情况中,基板360的第一表面361将面向下,而朝向基板的气体流动将向上导向。
在又一实施例中,系统300可经设置以处理多个基板。在此实施例中,系统300可包括第二负载锁定腔室(安置在负载锁定腔室301的相反端)及多个基板360或具有一或多个气体分布组件的旋转料架处理腔室。基板360可经输送至负载锁定腔室301且自第二负载锁定腔室取回基板360。在一或多个实施例中,至少一个辐射热灯390经定位以加热基板360的第二侧。
在一些实施例中,梭365为用于承载基板360的基座366。大体上,基座366为载体,所述载体帮助在整个基板上形成均匀温度。基座366可在负载锁定腔室301与处理腔室302之间双向移动(相对于图3的配置左至右及右至左)。基座366具有用于承载基板360的顶部表面367。基座366可为加热的基座,以便基板360可经加热用于处理。作为实例,基座366可由安置在基座366下面的辐射热灯390、加热板、电阻式线圈或其它加热装置加热。
在另一实施例中,基座366的顶部表面367包括凹槽368,所述凹槽368经设置以接受基板360,如图4所示。基座366大体比基板的厚度更厚,以便有基座材料位于基板下方。在详细实施例中,凹槽368经设置以使得当将基板360安置在凹槽368内部时,基板360的第一表面361与基座366的顶部表面367处于同一水平。换言之,一些实施例的凹槽368经设置以使得当将基板360安置在凹槽368内时,基板360的第一表面361不突出在基座366的顶部表面367上方。
图5至图14图示根据本发明的各种实施例的气体分布组件310。气体分布组件310包含输入面301及输出面303。输入面301(图5中图示)具有第一反应气体输入305用于接收第一反应气体A的流动及第二反应气体输入307用于接收第二反应气体B的流动。输入面301亦具有用于一或多种净化气体的输入309及用于连接至一或多个真空端口的端口311。
各种实施例的输出面303具有多个狭长气体端口313。气体端口313经设置以将气体流动导向基板,所述基板可经定位邻近输出面303。狭长气体端口313包括至少一个第一反应气体端口及至少一个第二反应气体端口。每一第一反应气体端口与第一反应气体输入305流动连通以允许第一前驱物流经气体分布组件310。每一第二反应气体端口与第二反应气体输入307流动连通以允许第二前驱物流经气体分布组件310。
如图6所示,气体端口可包括在通道317内的多个开口315。通道317为气体分布组件的输出面内的凹陷式狭槽。气体流动离开开口315且由通道317壁导向基板表面。开口315图示为圆形的,但应理解开口315可为任何合适的形状,包括但不限于正方形、矩形及三角形。开口315的数量及大小亦可经改变以适配每一通道317内更多或更少的开口。在图6图示的详细实施例中,净化气体(P)、第一反应气体端口(A)及第二反应气体端口(B)包含定位在通道内的多个开口。与真空端口相关联的开口318位于气体分布组件310的输出面303上,而不是在通道317内,但亦可定位在通道内。
图6图示的特定实施例具有狭长气体端口的组合,所述组合在将基板沿箭头350垂直移动至狭长气体端口时将提供特定顺序的气体流至基板表面。尽管将基板描述为正移动,但本领域的技术人员将理解,基板可保持固定不动且气体分布组件310可移动。是在基板与气体分布组件310之间的相对移动才称为基板移动。垂直移动至狭长气体端口的基板将经受按顺序为净化气体流、第一反应气体A流、净化气体流、第二反应气体B流、净化气体流、第一反应气体A’流及净化气体流的气体流动。真空端口在每一气体流之间,所述真空端口将气体流导引离开处理腔室。此产生根据图3图示的箭头398的流动图案。
在特定实施例中,气体分布组件基本上由按顺序为前导第一反应气体端口A、第二反应气体端口B及收尾第一反应气体端口A’组成。如在此上下文及随附权利要求书中所使用,术语“基本上由...组成”意指气体分布组件不包括用于反应气体的任何额外气体端口。用于非反应气体(例如,净化气体)及真空的端口可穿插在各处,同时仍处于基本上由条款组成的范围内。举例而言,气体分布组件310可具有八个真空端口V及四个净化端口P,但仍基本上由前导第一反应气体端口A、第二反应气体端口B及收尾反应气体端口A’组成。此多样性的实施例可称为ABA设置。
使用ABA设置确保自任一方向移动的基板将在遭遇第二反应气体B端口之前遭遇第一反应气体A端口。跨气体分布组件310的每一通过将产生组合物B的单膜。在此,两个第一反应气体A端口围绕第二反应气体B端口以便自图的顶部至底部移动(相对于气体分布组件)的基板将按顺序遇见前导第一反应气体A、第二反应气体B及收尾第一反应气体A’,导致在基板上形成完整层。沿相同路径返回的基板将遇见相反次序的反应气体,导致每一全循环产生两个层。跨此气体分布组件来回移动的基板将暴露至AB AAB AAB(AAB)n...AABA的脉冲顺序以形成均匀膜组合物B。在顺序结束时暴露至第一反应气体A是不重要的,因为不存在后续的第二反应气体B。本领域的技术人员将理解,尽管将膜组合物称为B,但B确实为反应气体A及反应气体B的表面反应产物中的一种产物,且仅使用B是为了便于描述膜。
如图7所示,气体分布组件310包括加热元件501以激励气体物种,所述加热元件501亦可称为“线”或“热线”。加热元件501定位在第一反应气体端口及第二反应气体端口的任一个或两个中。加热元件501连接至电源线323(图5中图示),所述电源线323经设置以使得电流流经加热元件501而加热加热元件501。加热元件501经加热至高温以激励邻近加热元件501通过的气体中的物种。线的目的是在气体中产生自由基物种,而非造成基板中的温度升高。加热元件501可放置于其中不存在至基板表面的直接暴露的位置中,同时仍能够在气体中导致自由基物种形成。举例而言,若将加热元件501放置在第二反应气体端口中,则元件将导致第二反应气体中的一部分分子变为经激励的。在激励态中,分子具有较高能量且更可能在给定处理温度下与基板表面反应。
加热元件的放置可对接触基板的自由基物种的量有影响。放置加热元件离基板太远可相对于更近放置允许更大数量的自由基物种在接触基板表面之前变得去活化。自由基物种可通过与其它自由基、气体流中的分子及气体分布组件接触而变得去活化。然而,放置加热元件远离基板可帮助防止加热元件加热基板表面同时仍在气体中产生自由基物种。加热元件501可经放置足够接近基板的表面以确保所激励物种存在足够久以在不造成基板的局部温度的显著变化的情况下接触表面。如在本说明书及随附权利要求书中所使用,术语“局部温度的显著变化”意指基板邻近线的部分不具有大于约10℃的温度增加。加热元件501可类似图7所图示般定位在敞开通道317内或在气体扩散部件之后。气体扩散部件的实施例亦图示在图7中,所述气体扩散部件具有多个小间隔孔,所述小间隔孔放置在气体端口的出口区。加热元件501可定位在气体扩散部件之后,此能够在不显著改变基板的局部温度的情况下激励气体物种。在详细实施例中,线经加热以激励气体物种,同时产生小于约10℃的表面温度改变。在各种实施例中,在基板表面的温度中的局部改变小于约7℃、5℃或3℃。在特定实施例中,局部温度改变小于约2℃、1℃或0.5℃。
加热元件可由能够在相对短时间周期内升高至高温的任何合适材料制得。合适材料是与反应气体相容的一种材料。如在本说明书及随附权利要求书中所使用,在此方面使用的术语“兼容”意指加热元件在标准温度及压力下与反应气体不自发地反应。加热元件的温度可对气体物种的自由基化的程度有影响。举例而言,氧气可要求温度高达约2000℃,同时聚合物种可仅需要在约300℃至约500℃的范围内的温度。在一些实施例中,加热元件是能够经加热至至少以下温度:约1000℃、1100℃、1200℃、1300℃、1400℃、1500℃、1600℃、1700℃、1800℃、1900℃或2000℃。在各种实施例中,加热元件能够经加热至以下温度:在约300℃至约2000℃的范围内,或在约700℃与约1400℃的范围内,或在约800℃至约1300℃的范围内。供应至加热元件的功率可在整个处理的任何点处经调节或开启及关闭。此允许对于仅部分的处理加热加热元件以产生激励气体物种。
加热元件的厚度及长度亦可取决于所使用的材料而改变。用于加热元件的合适材料的实例包括但不限于钨、钽、铱、钌、镍、铬、石墨及前述材料的合金。举例而言,在氧气为正自由基化的物种的情况中,钽或钨的使用可能不当,因为这些材料对氧气敏感且可导致线的破坏。在详细实施例中,加热元件包含钨。
返回参考图5,电源可为能够控制经由加热元件的电流流动的任何合适电源。图5图示的电源馈通321具有电源线323且为加热元件提供机械支撑及电气支持两者且允许将加热元件放置在气体流动的路径中。电源馈通321经由安装区块327连接至气体分布组件310,所述安装区块327可包括绝缘体来以电气方式将电源线323及加热元件与气体分布组件隔离。图5的实施例中的加热元件延伸通过第一反应气体通道且可为环绕第二反应气体通道的个别加热元件或单个加热元件。
图7图示的加热元件501延伸通过通道317且加热元件501的端部与电源线323、324接触。然而,在本发明的一或多个实施例中,加热元件为可插入通道317内或附接至气体分布组件310的输出面303的单独组件的部分。因此,参阅图8,本发明的一或多个实施例针对此组件600。图示的组件600包含沿纵轴630延伸的狭长外壳605。狭长外壳具有敞开内部区域606,所述敞开内部区域606可允许气体流动通过外壳605。如箭头630图示的气体流动可沿实质上垂直于纵轴的方向通过外壳。如在本说明书及随附权利要求书中所使用,术语“实质上垂直”意指气体流动通过外壳且以钝角在加热元件601周围。本领域的技术人员将理解,气体流动可与外壳处于非90°的角度且仍属于“实质上垂直”的含义内。在一些实施例中,气体流动实质上垂直于外壳,形成以下角度:大于约25°、30°、35°、40°、45°、50°、55°、60°、65°、70°、75°、80°或85°。在一些实施例中,气体流动相对于外壳形成以下角度:在约25°至约90°的范围内,或在约45°至约90°的范围内,或在约60°至约90°的范围内,或在约75°至约90°的范围内,或在约80°至约90°的范围内。
图示的外壳605在两侧上具有平面611,且侧613自外壳605的一端至另一端具有实质上均匀厚度。然而,本领域的技术人员将理解,图示的形状及近似尺寸仅为示例性的且将不会视为限制本发明的范围。
外壳605由在处理腔室内所经受的温度下实质上耐热膨胀的材料制得。如在本说明书及随附权利要求书中所使用,术语“实质上耐热膨胀”意指外壳605的总长度在自由基化期望气体物种所要求的温度下改变不超过约5%。在各种实施例中,外壳的总长度相对于外壳605在室温下的长度改变不超过约4%、3%、2%、1%或0.5%。在详细实施例中,外壳由基于石英或陶瓷的材料制得,包括石英及陶瓷。如在本说明书及随附权利要求书中所使用,术语“陶瓷”指无机非金属材料。陶瓷的合适实例包括但不限于氧化铝、氧化铍、二氧化铈、氧化锆、碳化物、硼化物、氮化物、硅化物、复合材料、这些材料的氧化物及非氧化物。加热元件的厚度可为均匀的或为变化厚度。在一些实施例中,加热元件是具有在约0.01mm至约5mm的范围内的横截面直径的线。一些实施例的加热元件具有变化的密度/单位长度。
加热元件601自狭长外壳605的第一端620延伸至第二端622。如已经描述,加热元件601包含适合于由电流加热的材料。图8图示的实施例包括至少一个电导线610,图示为两个导线,与加热元件601电气连通或电气接触以允许电流流经加热元件601。电导线610可与定位在气体分布组件上的电气触点相互作用。举例而言,气体分布组件的通道内或气体分布组件的表面上可包括电气触点对(正触点及负触点)。这些电气触点对中每一个可经单独供电或作为一或多个单元供电。在详细实施例中,至少一个电导线610施加电流至加热元件时实质上不增加温度。在特定实施例中,所述至少一个电导线610实质上不导致外壳605的膨胀。
尽管图8图示两个单独电导线610,但将理解,在外壳的任一端上仅可定位一根电导线610。在此类别的实施例中,加热元件601可延伸通过外壳605且存在延伸超出外壳的端部的部分。举例而言,图9图示组件700的实施例,其中加热元件701包含延伸超出狭长外壳705的第一端720及第二端722中一或多个的部分730。延伸的部分730可充当电导线。
当被加热时,加热元件可膨胀,导致加热元件的下垂。此降低自由基化的效率且允许加热元件变得更接近于基板,此举加热基板。此下垂可能不当。为最小化加热元件的下垂,加热元件的一或多个端可固持在张力器内(未图示)。张力器拉起加热元件的端部以最小化下垂。施加于加热元件的张力的量可为恒定或动态的。在动态张力环境中,当加热元件变热且变长时,增加加热元件上的张力的量以防止下垂。
加热元件可具有任何合适的形状且不局限于图所具体化的形状。合适的形状包括但不限于直线形、正弦形、螺旋形、曲线形、手风琴形及方波形。在详细实施例中,如图9所示,加热元件701以实质上直线路径自外壳705的第一端720延伸至第二端722。图10图示组件800的另一实施例,其中加热元件801以螺旋形路径延伸。螺旋的圈数及紧度可以变化且不应视为局限于图所图示的形状。图11图示另一组件900,其中有两个加热元件901延伸在外壳905的第一端920与第二端922之间。图11图示对于与加热元件电气连接的加热元件901的每一端的单个延伸930,但将理解,对于每一加热元件901可存在延伸。另外,可存在任何数量的独立加热元件且将理解,每一组件的形状可能不同且形状的混合是可能的。
图12图示组件1000的另一实施例,其中加热元件1001密封在外罩1002内。在施加电流后,加热元件1001增加温度且加热外罩1002。外罩1002经暴露至流经外壳的内部区域1006的气体。此类别的实施例可具有特定用途,其中加热元件1001与流经内部区域1006的气体不兼容。在详细实施例中,外罩1002为能够由加热元件1001加热而不变形的材料。在特定实施例中,外罩1002包含石英。
各种实施例的组件可经大小调整以适配在气体分布组件的通道内,以便加热元件可容易添加至自气体分布组件或自气体分布组件移除。图13图示其中狭长外壳1105经大小调整以适配在气体分布组件310的气体端口内的实施例。将加热元件501并入外壳1105内允许加热元件501容易自处理腔室移除以进行替换或清洗。尽管图示的实施例具有敞开通道317,但将理解,在加热元件与基板之间可存在漫射器。
参考图13的底部部分,图示有另一实施例,其中狭长外壳1155经设置以附接至气体分布组件310的正面303。外壳1155可经定位以使得来自气体端口的气体通过外壳1155的敞开内部区域1156且组件实质上不干扰来自邻近气体端口的气体流动。外壳1155藉由连接1123、1124供电,如上所述。
图14图示的加热元件图示为直线组件。然而,组件的形状可取决于期望用途而改变。图14图示本发明的另一实施例,其中组件1200覆盖具有单个加热元件1201的两个通道317。组件1200包含具有两个敞开内部区域1206的狭长外壳1205,所述两个敞开内部区域1206定位在通道317上。加热元件1201经连接至电源线1223、1224。此实施例的加热元件1201可在其中加热元件1201不暴露至气体流动的绝缘部分1208内转向通过外壳1205。在一些实施例中,加热元件1201经暴露在整个路径上。换言之,敞开内部区域可使外壳的形状与未定位在气体端口前面的加热元件1201的部分匹配。
在图14图示的实施例类别中,电源线1223、1224具有相反极性以允许电流流动。因此,一电源线将为正且另一电源线将为负。此设置可相对易于设置,且单个电源连接至电源线1223、1224两者。单个电源(未图示)可包括控制流经线的电流的机构,诸如电位计。
气体分布组件的一些实施例包含基本上由以下各者组成的多个狭长气体端口:按顺序为交替的第一反应气体A端口及第二反应气体B端口的至少两个重复单元,接着是收尾第一反应气体A’端口。换言之,第一反应气体A端口及第二反应气体B端口的组合(所述组合可称为AB单元)与收尾第一反应气体A'端口经重复至少两次。本领域的技术人员将理解,气体分布组件中可存在净化、真空及多个开口。
在一些实施例中,一或多个层可在等离子体增强原子层沉积(PEALD)工艺期间形成。在一些工艺中,使用等离子体提供足够能量以促进物种进入表面反应变得良好且可能的激励态。将等离子体引入工艺内可为连续或脉冲输送式。在一些实施例中,前驱物(或反应气体)及等离子体的顺序脉冲用来处理层。在一些实施例中,试剂可经本地(亦即,在处理区内)或远程(亦即,在处理区外部)离子化。在一些实施例中,远程离子化可出现在沉积腔室的上游,以使得离子或其它高能或发光物种不与沉积膜直接接触。在一些PEALD工艺中,等离子体诸如通过远程等离子体产生器系统自处理腔室外部产生。等离子体可经由本领域的技术人员熟知的任何合适等离子体产生工艺或技术而产生。举例而言,等离子体可由微波(MW)频率产生器或射频(RF)产生器中的一或多个而产生。等离子体的频率可取决于正使用的特定反应物种而调整。合适的频率包括但不限于2MHz、13.56MHz、40MHz、60MHz及100MHz。尽管可在本文公开的沉积工艺期间使用等离子体,但应注意可能不需要等离子体。实际上,其它实施例关于在无等离子体的情况下在极温和条件下的沉积工艺。
如上所述的钨成核层已图示在与传统整体填充技术集成以形成具有极佳膜性质的特征结构时的特定效用。集成方案可包括ALD工艺或脉冲式CVD工艺以沉积成核层,同时整体层可由CVD工艺或PVD工艺沉积。能够执行此集成方案的集成处理系统包括EnduraTM处理系统、Endura SLTM处理系统、CenturaTM处理系统及ProducerTM处理系统,以上每一个可购自位于加利福尼亚州圣克拉拉的应用材料公司。所述系统任一个可经设置以包括用于沉积成核层的至少一个ALD或脉冲式CVD腔室及包括用来整体填充的至少一个CVD腔室或PVD腔室。
图15A为示例性多腔室处理系统1500的示意顶视图。类似的多腔室处理系统公开在共同转让的美国专利第5,186,718号中,所述美国专利以引用的方式并入本文。系统1500大体包括负载锁定腔室1502、1504,用来将基板转移至系统1500内及将基板转移离开系统1500。通常,因为系统1500处于真空下,故负载锁定腔室1502、1504可“向下泵送”经引入系统1500内的基板。第一机器人1510可在负载锁定腔室1502、1504与一或多个基板处理腔室1512、1514、1516、1518(图示有四个)的第一集合之间转移基板。每一处理腔室1512、1514、1516、1518可经装备以执行许多基板处理操作,诸如循环层沉积、CVD、PVD、蚀刻、预清洁、脱气、定向及其它基板工艺。第一机器人1510亦转移基板至一或多个转移腔室1522、1524/自一或多个转移腔室1522、1524转移基板。
转移腔室1522、1524用来维持超高真空条件同时允许将基板在系统1500内转移。第二机器人1530可在转移腔室1522、1524与一或多个处理腔室1532、1534、1536、1538的第二集合之间转移基板。类似于处理腔室1512、1514、1516、1518,处理腔室1532、1534、1536、1538可经装备以执行各种基板处理操作,诸如循环沉积、CVD、PVD、蚀刻、预清洁、脱气及定向。基板处理腔室1512、1514、1516、1518、1532、1534、1536、1538中的任何一个若对于待由系统1500执行的特定工艺为不必要,则可自系统1500移除。
在一个配置中,每一处理腔室1532及1538可为适应于沉积成核层的循环沉积腔室;每一处理腔室1534与1536可为适应于形成整体层的循环沉积腔室、化学气相沉积腔室或物理气相沉积腔室;每一处理腔室1512及1514可为适应于沉积介电层的物理气相沉积腔室、化学气相沉积腔室或循环沉积腔室;及每一处理腔室1516及1518可为经装备以蚀刻互连特征结构的孔或开口的蚀刻腔室。系统1500的此一特定配置经提供以说明本发明且将不应用来限制本发明的范围。
另一集成系统可包括在单个腔室内的成核沉积以及整体填充沉积。可使用经设置以在循环沉积模式以及常规CVD模式两者中操作的腔室。此腔室的一个实例描述在2001年12月12日提出申请的共同转让的美国专利申请案第10/016,300号中,所述美国专利申请案以引用的方式并入本文。
在另一集成方案中,一或多个循环沉积成核腔室经集成至第一处理系统上,同时一或多个整体层沉积腔室经集成至第二处理系统上。在此设置中,基板在将成核层沉积于基板上的第一系统中经第一次处理。此后,基板经移动至发生整体沉积的第二处理系统。
图15B为示例性多腔室处理系统1550的示意顶视图。系统1550大体包括负载锁定腔室1552、1554用于转移基板至系统1550内及转移基板离开系统1550。通常,因为系统1550处于真空下,故负载锁定腔室1552、1554可“向下泵送”经引入系统1550内的基板。机器人1560可在负载锁定腔室1552、1554与基板处理腔室1562、1564、1566、1568、1570及1572之间转移基板。每一处理腔室1562、1564、1566、1568、1570及1572可经装备以执行许多基板处理操作,诸如循环层沉积、CVD、PVD、蚀刻、预清洁、脱气、加热、定向及其它基板工艺。机器人1560亦转移基板至转移腔室1556/自转移腔室1556转移基板。基板处理腔室1562、1564、1566、1568、1570及1572中的任何一个若对于待由系统1550执行的特定工艺为不必要,则可自系统1550移除。
在一个配置中,每一处理腔室1564及1570可为适应于沉积成核层的循环沉积腔室;每一处理腔室1566及1568可为适应于形成整体填充沉积层的循环沉积腔室、化学气相沉积腔室或物理气相沉积腔室。系统1550的此一特定配置经提供以说明本发明且不应用来限制本发明的范围。
或者,在单个腔室内具有多个站的转盘型批处理系统可适应于将成核及整体层沉积并入单个处理系统内。在此处理系统中,可在每一站之间建立诸如氩气幕的净化气体幕以在每一站处创造微型或小型环境。基板经顺序装载至系统内且随后转动通过每一站且在每一站处至少部分地经处理。举例而言,基板可在第一站处经暴露至循环沉积成核步骤且随后在每一后续站处经暴露至局部的整体填充CVD步骤。或者,成核可在超过一站处发生且整体填充可在一或多个站处发生。更进一步,成核层及整体层可在单独转盘型系统中沉积。在另一方面中,浸渍及成核步骤在一个旋转料架内完成,同时整体步骤在另一旋转料架上进行,其中两个旋转料架为相同工艺系统的部分。每一工作台可经温度控制以在每一站处提供至少一些工艺控制。然而,工艺压力在站之间通常保持相同,因为所述站容纳在单个腔室内。一些压力控制可由于惰性气体幕而可用于在每一站处存在的微型或小型环境。
无论集成方案如何,成核层通常经沉积至在自约至约的范围内的厚度,且整体层具有以下的厚度:在自约至约的范围内,较佳地在自约至约的范围内。然而,这些膜的厚度可取决于给定应用的特征结构大小及长宽比而变化。因此,膜经适当地大小调整以适应给定应用的几何形状。以下为可得益于根据本文描述的实施例沉积的成核层的一些示例性几何形状及应用。以下描述仅意欲为说明性目的且不意欲限制本发明的使用。
图16图示处理腔室1600的横截面,所述处理腔室1600包括亦称为注射器或注射器组件的气体分布组件1620及基座组件1640。气体分布组件1620为用于处理腔室的任何类型的气体输送装置。气体分布组件1620包括前表面1621,所述前表面1621面向基座组件1640。前表面1621可具有任何数量或种类的开口以朝向基座组件1640输送气体流动。气体分布组件1620亦包括外边缘1624,所述外边缘1624在实施例中图示为实质上圆形的。
所使用的气体分布组件1620的特定类型可取决于正使用的特定工艺而变化。本发明的实施例可与其中基座与气体分布组件之间的间隙受控的任何类型的处理系统一起使用。尽管可使用各种类型的气体分布组件(例如,喷头),但本发明的实施例可尤其有用于空间ALD气体分布组件,所述空间ALD气体分布组件具有多个实质上平行的气体通道。如在本说明书及随附权利要求书中所使用,术语“实质上平行”意指气体通道的狭长轴沿相同的大体方向延伸。在气体通道的平行中可存在微小缺陷。多个实质上平行的气体通道可包括至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道及/或至少一个真空V通道。流自一或多个第一反应气体A通道、一或多个第二反应气体B通道及一或多个净化气体P通道的气体导向晶片的顶部表面。一些气体流动水平移动跨过晶片的表面且经由一或多个净化气体P通道离开处理区域。自气体分布组件的一端移动至另一端的基板将依次暴露至每一工艺气体,从而于基板表面上形成层。
在一些实施例中,气体分布组件1620为由单个注射器单元构成的刚性固定主体。在一或多个实施例中,气体分布组件1620由多个独立扇区1622组成(见图17)。具有单块主体或多扇区主体的气体分布组件可与所描述的本发明各种实施例一起使用。
基座组件1640定位在气体分布组件1620下方。基座组件1640包括边缘1644、顶部表面1641及底部表面1643以界定厚度。顶部表面1641可包括至少一个凹槽1642,所述至少一个凹槽1642经大小调整以支撑基板用于处理。凹槽1642可取决于正处理的晶片1660的形状及大小为任何合适的形状及大小。在图16图示的实施例中,凹槽1642具有平底以支撑晶片的底部,但应理解,凹槽的底部可变化。在一些实施例中,凹槽在凹槽的外周边边缘周围具有台阶区域,所述台阶区域经大小调整以支撑晶片的外周边边缘。由台阶支撑的晶片的外周边边缘的量可取决于例如晶片的厚度及已经存在于晶片的背侧上的特征结构的存在而变化。
在一些实施例中,如图16所示,在基座组件1640的顶部表面1641中的凹槽1642经大小调整以便支撑在凹槽1642内的晶片1660具有与基座1640的顶部表面1641实质上共平面的顶部表面1661。如在本说明书及随附权利要求书中所使用,术语“实质上共平面”意指晶片的顶部表面与基座组件的顶部表面在±0.2mm内共平面。在一些实施例中,顶部表面在±0.15mm、±0.10mm或±0.05mm内共平面。
图16的基座组件1640包括支柱1690,所述支柱1690能够升高、降低及转动基座组件1640。基座组件在支柱1690的中心内可包括加热器或气体管线或电气部件。支柱1690可为增加或减少在基座组件1640与气体分布组件1620之间的间隙的主要手段。基座组件1640亦可包括细调致动器1662,所述细调致动器1662可对基座组件1640进行微调整以在基座组件1640与气体注射器组件1620之间产生期望间隙1670。
在一些实施例中,在处理期间的间隙1670距离为:在约0.1mm至约5.0mm的范围内,或在约0.1mm至约3.0mm的范围内,或在约0.1mm至约2.0mm的范围内,或在约0.2mm至约1.8mm的范围内,或在约0.3mm至约1.7mm的范围内,或在约0.4mm至约1.6mm的范围内,或在约0.5mm至约1.5mm的范围内,或在约0.6mm至约1.4mm的范围内,或在约0.7mm至约1.3mm的范围内,或在约0.8mm至约1.2mm的范围内,或在约0.9mm至约1.1mm的范围内,或约1mm。
图16及图17中图示的处理腔室1600为其中基座组件1640可固持多个晶片1660的转盘型腔室。如图17所示,气体分布组件1620可包括多个单独注射器单元1622,每一注射器单元1622能够在晶片在注射器单元下方移动时在晶片上沉积膜。四个大体饼状的注射器单元1622图示定位在基座组件1640的近似相对侧上且在基座组件1640上方。展示此注射器单元1622的数量仅用于说明性目的。应理解,可包括更多或更少的注射器单元1622。在一些实施例中,存在足够数量的饼状注射器单元1622以形成符合基座组件1640的形状的形状。在一些实施例中,独立饼状注射器单元1622中的每一个可经独立移动、移除及/或更换而不影响任何的其它注射器单元1622。举例而言,一个区段可经升高以允许机器人进入在基座组件1640与气体分布组件1620之间的区域来装载/卸载晶片1660。
类似地,尽管未图示,但基座组件1640可由多个单独的块或单元组成。多个单元可大体为饼状且可组装在一起以形成具有顶部表面及底部表面的基座组件。
基座组件1640的大小可取决于特定处理腔室及待处理的晶片的大小而变化。在一些实施例中,基座组件经大小调整以支撑至少三个晶片。在一或多个实施例中,基座组件经大小调整以支撑至少3个、4个、5个、6个、7个、8个、9个、10个、11个、12个、13个、14个、15个、16个或更多个晶片。晶片可为任何大小晶片,包括但不限于150mm晶片、200mm晶片、300mm晶片及450mm晶片。基座组件的直径亦可变化。在一些实施例中,基座组件具有以下的直径:在约0.75米至约2米的范围内,或在约1米至约1.75米的范围内,或在约1.25米至约1.75米的范围内或约1.5米。
具有多个气体注射器的处理腔室可用来同时处理多个晶片以便晶片经受相同的工艺流程。举例而言,如图17所示,处理腔室1600具有四个气体注射器单元1622及四个晶片1660。四个注射器单元1622的图仅为代表性的且经选取以允许工艺的更简易视图及描述。本领域的技术人员将理解,气体分布组件可为单个部件且可具有与基座组件近似相同的大小及/或形状。在处理开始时,晶片1660可定位在注射器单元1622之间。将基座组件1640转动161745°将导致在注射器单元1622之间的每一晶片1660移动至注射器单元1622用于膜沉积,如由在注射器组件1622下的点线圆所图示。额外的45°转动将移动晶片1660离开注射器组件1622。对于空间ALD注射器,膜在晶片相对于注射器组件的移动期间沉积于晶片上。在一些实施例中,基座组件1640以增量方式转动,此防止晶片1660停止在注射器单元1622下方。晶片1660及注射器单元1622的数量可相同或不同。在一些实施例中,当存在气体分布组件时,存在相同数量的正处理的晶片。在一或多个实施例中,正处理的晶片的数量为气体分布组件的数量的分数或整数倍数。举例而言,若存在四个气体分布组件,则存在4x个正处理的晶片,其中x为大于或等于一的整数值。
图17图示的处理腔室1600仅代表一种可能设置且将不应视为限制本发明的范围。在此,处理腔室1600包括多个气体分布组件1620。在所图示的实施例中,存在四个气体分布组件1622均匀间隔在处理腔室1600周围。所图示的处理腔室1600为八边形,然而,本领域的技术人员将理解,此为一种可能形状且将不应视为限制本发明的范围。所图示的气体分布组件1620为梯形,但本领域的技术人员将理解,气体分布组件可为单个圆形部件或由具有辐射式内周边边缘及/或外周边边缘的多个饼状区段组成。
图17图示的实施例包括负载锁定腔室1680或如缓冲站的辅助腔室。此腔室1680连接至处理腔室1600的一侧以允许例如将基板1660自腔室1600装载/卸载。晶片机器人可定位在腔室1680内以移动基板。
旋转料架(例如,基座组件1640)的转动可为连续或非连续的。在连续处理中,晶片不断地转动,以便将晶片依次暴露至每一注射器。在非连续处理中,晶片可经移动至注射器区域且停止,且随后移动至在注射器之间的区域1684且停止。举例而言,旋转料架可转动以便晶片自注射器之间区域移动跨过注射器(或邻近注射器停止)且继续至其中晶片可再次暂停的下一个注射器之间区域。在注射器之间的暂停可提供时间用于在每一层沉积之间的额外处理步骤(例如,暴露至等离子体)。
根据一或多个实施例,基板连续不断地处于真空或“负载锁定”条件下,且在自一腔室移动至下一个腔室时不暴露至环境空气。因此,转移腔室处于真空且在真空压力下“向下泵送”。惰性气体可存在于处理腔室或转移腔室内。在一些实施例中,惰性气体用作净化气体以在于基板的表面上形成硅层之后移除一些或全部的反应物。根据一或多个实施例,在沉积腔室的出口处注射净化气体以防止反应物自沉积腔室移动至转移腔室及/或额外的处理腔室。因此,惰性气体的流动在腔室的出口处形成幕。
可在单个基板沉积腔室内处理基板,其中在处理另一基板之前装载、处理及卸载单个基板。亦可以连续方式处理基板,如传送带系统,其中多个基板经单独装载至腔室的第一部分内、移动通过腔室且自腔室的第二部分卸载。腔室的形状及关联的传送带系统可形成直线路径或曲线路径。另外,处理腔室可为旋转料架,其中多个基板绕中心轴移动且在整个旋转料架路径上暴露至沉积、蚀刻、退火、清洁等工艺。
在处理期间,基板可经加热或冷却。此加热或冷却可通过任何合适的手段实现,所述手段包括但不限于改变基板支撑件的温度及使加热或冷却的气体流动至基板表面。在一些实施例中,基板支撑件包括加热器/冷却器,所述加热器/冷却器可受控制以热传导式改变基板温度。在一或多个实施例中,正使用的气体(或反应气体或惰性气体)经加热或冷却以局部改变基板温度。在一些实施例中,加热器/冷却器定位在腔室内邻近基板表面以对流方式改变基板温度。
基板在处理期间亦可为固定或转动的。转动基板可连续不断地转动或以离散步骤转动。举例而言,基板可在整个整个工艺中转动,或基板可在暴露至不同反应气体或净化气体之间少量转动。在处理期间转动基板(连续不断地或按步骤地)可通过最小化例如气体流动几何形状中的局部可变性的影响而帮助产生更均匀沉积或蚀刻。
第一实施例针对一种处理方法,所述处理方法包含将基板顺序地暴露至第一反应气体及第二反应气体以形成含钨膜,所述第一反应气体包含含钨化合物,所述含钨化合物包含具有经验式WxCl5x的化合物。
在第二实施例中,修改第一实施例,其中第二反应气体包含含氢化合物且含钨膜为钨膜。
在第三实施例中,修改第一实施例或第二实施例,其中第二反应气体包含含氮化合物且含钨膜包含氮化钨。
在第四实施例中,修改第一实施例至第三实施例中的任何实施例,其中第二反应气体包含含硅化合物且含钨膜包含硅化钨(WSix)。
在第五实施例中,修改第一实施例或第四实施例,其中第二反应气体进一步包含氢。
在第六实施例中,修改第一实施例至第五实施例中的任何实施例,其中第二反应气体包含含硅化合物及含氮化合物的混合物,且含钨膜包含钨硅氮化物(WSixNy)。
在第七实施例中,修改第一实施例至第六实施例中的任何实施例,其中基板维持在小于约475℃的温度下。
在第八实施例中,修改第一实施例、第二实施例或第七实施例中的任何实施例,其中含钨膜基本上由钨组成。
在第九实施例中,修改第八实施例,其中基板包含功函数金属。
在第十实施例中,修改第九实施例,其中功函数金属包含Ti。
在第十一实施例中,修改第九实施例或第十实施例中的任何实施例,其中功函数金属包含TiAl。
在第十二实施例中,修改第八实施例至第十一实施例中的任何实施例,其中在功函数金属与基本上由钨组成的膜之间不存在介入层。
在第十三实施例中,修改第八实施例至第十一实施例中的任何实施例,其中在功函数金属与基本上由钨组成的膜之间存在介入层,所述介入层具有小于约5埃的厚度。
本发明的第十四实施例针对一种处理方法,所述处理方法包含:将基板定位在处理腔室内;及在小于或等于约475℃的温度下将基板的至少一部分顺序地暴露至第一反应气体及第二反应气体以形成含钨膜,第一反应气体包含五氯化钨、具有经验式WxCl5x的化合物或六氯化钨中的一或多个。
在第十五实施例中,修改第十四实施例,其中第二反应气体包含含氢化合物且含钨膜为钨膜。
在第十六实施例中,修改第十四实施例或第十五实施例中的任何实施例,其中第二反应气体包含含氮化合物且含钨膜包含氮化钨。
在第十七实施例中,修改第十四实施例至第十六实施例中的任何实施例,其中第二反应气体包含含硅化合物且含钨膜包含硅化钨(WSix)。
在第十八实施例中,修改第十七实施例,其中第二反应气体进一步包含氢。
在第十九实施例中,修改第十四实施例至第十八实施例中的任何实施例,其中第二反应气体包含含硅化合物及含氮化合物的混合物,且含钨膜包含钨硅氮化物(WSixNy)。
在第二十实施例中,修改第十四实施例至第十九实施例中的任何实施例,其中在沉积含钨膜之前,基板包含金属层。
在第二十一实施例中,修改第十四实施例至第二十实施例中的任何实施例,其中在沉积含钨膜之前,基板包含氧化层且方法进一步包含在约5托至约20托的范围内的分压下以二硅烷,或氢与硅烷的混合物浸渍基板。
在第二十二实施例中,修改第十四实施例至第二十一实施例中的任何实施例,其中含钨膜以在约循环及约循环的范围内的速率下生长。
本发明的第二十三实施例针对一种沉积WSix膜的方法。方法包含:将基板定位在处理腔室内;及在小于或等于约475℃的温度下将基板的至少一部分顺序地暴露至第一反应气体及第二反应气体以形成WSix膜,其中第一反应气体包含五氯化钨、具有经验式WxCl5x的化合物或六氯化钨中的一或多个,且第二反应气体包含含硅气体,含硅气体与含钨气体之比在约100:2及约100:0.2的范围内。
在第二十四实施例中,修改第二十三实施例,其中含硅气体包含硅烷及二硅烷中的一或多个且第二反应气体进一步包含氢。
在第二十五实施例中,修改第二十三实施例至第二十四实施例中的任何实施例,以进一步包含在交替循环上将基板的至少一部分暴露至含氢气体而非含硅气体。
在第二十六实施例中,修改第二十五实施例,其中基板在经暴露至含硅气体之前暴露至含氢气体。
本发明的第二十七实施例针对一种集成电路晶体管装置,所述集成电路晶体管装置包含:安置在沟道上的介电层;安置在介电层上的功函数金属;及安置在功函数层上的填充层,所述填充层基本上由W组成。
在第二十八实施例中,修改第二十七实施例,其中功函数层包含Ti。
在第二十九实施例中,修改第二十七实施例至第二十八实施例中的任何实施例,其中功函数层包含TiAl。
在第三十实施例中,修改第二十八实施例或第二十九实施例中的任何实施例,其中功函数金属实质上不含氟。
在第三十一实施例中,修改第二十七实施例至第第三十实施例中的任何实施例,其中填充层实质上不含氟。
在第三十二实施例中,修改第二十七实施例至第三十一实施例中的任何实施例,其中基本上由W组成的填充层为ALD W层。
在第三十三实施例中,修改第三十二实施例,其中ALD W层是通过WxCl5x及包含含氢化合物的第二反应气体的顺序暴露而形成。
在第三十四实施例中,修改第二十七实施例至第三十三实施例中的任何实施例,其中在功函数金属与基本上由钨组成的膜之间不存在介入层。
在第三十五实施例中,修改第二十七实施例至第三十三实施例中的任何实施例,其中在功函数金属与基本上由钨组成的膜之间存在介入层,所述介入层具有小于约5埃的厚度。
在第三十六实施例中,修改第二十七实施例至第三十五实施例中的任何实施例,其中填充层为CVD W,且CVD W是通过WxCl5x及包含含氢化合物的第二反应气体的同时暴露而形成。
本发明的第三十七实施例针对一种处理方法,所述处理方法包含将处理腔室中的基板的至少一部分顺序地暴露至包含具有经验式WCl5或WCl6的含钨化合物的第一反应气体及包含氢自由基的第二反应气体以形成含钨膜。
在第三十八实施例中,第三十七实施例进一步包含自氢气产生氢自由基。
在第三十九实施例中,修改第三十八实施例,其中自氢气产生氢自由基包含使氢气通过加热元件,所述加热元件具有足以产生氢自由基的温度。
在第四十实施例中,第三十九实施例进一步包含加热加热元件至足以产生氢自由基的温度。
在第四十一实施例中,修改第四十实施例,其中加热加热元件包含提供电流流经加热元件。
在第四十二实施例中,第四十实施例及第四十一实施例中的任何实施例进一步包含施加动态张力至加热元件的端部以防止加热元件在足以产生氢自由基的温度下下垂。
在第四十三实施例中,修改第四十实施例至第四十二实施例中的任何实施例,其中加热元件含在实质上耐热膨胀的外壳内。
在第四十四实施例中,修改第三十七实施例至第四十三实施例中的任何实施例,其中第一反应气体及第二反应气体在相同时间流至处理腔室内。
在第四十五实施例中,修改第三十七实施例至第四十四实施例中的任何实施例,其中第一反应气体及第二反应气体经由包含邻近狭长气体端口的气体分布组件流至处理腔室内,流经不同狭长气体端口的第一反应气体及第二反应气体由净化气体端口及真空端口中的至少一个来分离。
在第四十六实施例中,修改第三十九实施例至第四十五实施例中的任何实施例,其中加热元件定位在第二反应气体端口内。
在第四十七实施例中,修改第三十九实施例至第四十五实施例中的任何实施例,其中加热元件含在实质上耐热膨胀的外壳内。
在第四十八实施例中,修改第四十七实施例,其中外壳附加至气体分布组件的前表面,以便流自第二反应气体端口的第二反应气体经由外壳且在加热元件周围流动。
在第四十九实施例中,第四十五实施例至第四十八实施例中的任何实施例进一步包含相对于气体分布组件移动基板以便将基板的每一部分暴露至气体流动,所述气体流动基本上按顺序由第一反应气体及第二反应气体组成。
在第五十实施例中,修改第三十七实施例至第四十九实施例中的任何实施例,其中基板维持在小于约475℃的温度下。
第五十一实施例针对处理方法,所述处理方法包含:将基板定位在包含气体分布组件的处理腔室内,所述气体分布组件包括多个狭长气体端口,所述多个狭长气体端口包括第一反应气体端口及第二反应气体端口,第一反应气体端口与包含具有经验式WCl5或WCl6的含钨化合物的第一反应气体流体连通且第二反应气体端口与包含氢的第二反应气体流体连通,气体分布组件使第一反应气体及第二反应气体两者同时流至处理腔室内;使第二反应气体通过加热元件以在第二反应气体中产生氢自由基;及将基板的至少一部分顺序地暴露至第一反应气体及第二反应气体中的氢自由基以于基板上形成钨膜。
在第五十二实施例中,修改第五十一实施例,其中加热元件含在实质上耐热膨胀的外壳内,外壳附加至气体分布组件的前面,以便第二反应气体流经外壳。
在第五十三实施例中,修改第五十一实施例至第五十二实施例中的任何实施例,其中在沉积钨膜之前,基板包含金属层。
在第五十四实施例中,修改第五十一实施例至第五十三实施例中的任何实施例,其中在沉积钨膜之前,基板包含氧化层且方法进一步包含在约5托至约20托的范围内的分压下以二硅烷,或氢与硅烷的混合物浸渍基板。
在第五十五实施例中,修改第五十一实施例至第五十四实施例中的任何实施例,其中钨膜以在约循环及约循环的范围内的速率生长。
在第五十六实施例中,修改第五十一实施例至第五十五实施例中的任何实施例,其中将基板的至少一部分顺序地暴露至第一反应气体及在第二反应气体中的氢自由基包含:相对于气体分布组件移动基板以便将基板的每一部分暴露至基本上按顺序由第一反应气体及氢自由基组成的气体流动。
本发明的第五十七实施例针对一种处理方法,所述处理方法包含:以硅烷浸渍基板;及将先前以硅烷浸渍的基板顺序地暴露至第一反应气体及第二反应气体以形成钨膜,第一反应气体包含含钨化合物及氢,所述含钨化合物包含具有经验式WxCl5x的化合物,第二反应气体包含还原剂。
在第五十八实施例中,修改第五十七实施例,其中第二反应气体包含含氢化合物。
在第五十九实施例中,修改第五十七实施例至第五十八实施例中的任何实施例,其中基板维持在大于约350℃的温度下。
在第六十实施例中,修改第五十七实施例至第五十九实施例中的任何实施例,其中在钨膜具有约的厚度时,所述钨膜具有大于约的晶粒大小。
在第六十一实施例中,修改第五十七实施例至第六十实施例中的任何实施例,其中在钨膜具有约的厚度时,所述钨膜具有小于约30μΩ·cm的电阻率。
在第六十二实施例中,修改第五十七实施例至第六十一实施例中的任何实施例,其中第一反应气体包含氢原子多于钨原子。
在第六十三实施例中,修改第五十七实施例至第六十二实施例中的任何实施例,其中第一反应气体包含以在约1:2至1:20的范围内的比存在的含钨化合物及氢。
本发明的第六十四实施例针对一种形成保形钨膜的方法,包含:将表面顺序地暴露至包含含钨化合物的第一反应气体达第一时间及包含氢的第二反应气体达第二时间以沉积钨膜,所述第一时间及第二时间皆小于约2秒。
在第六十五实施例中,修改第六十四实施例,其中钨膜以小于约循环的速率生长。
在第六十六实施例中,修改第六十四实施例至第六十五实施例中的任何实施例,其中钨膜以小于约循环的速率生长。
第六十八实施例针对一种集成电路晶体管装置,所述集成电路晶体管装置包含:安置在沟道上的介电层;及在介电层上基本上由钨组成的功函数层。
本发明的第六十九实施例针对一种处理方法,所述处理方法包含:(a)沉积钨的厚度作为在晶体管中功函数材料上的填充材料;(b)处理沉积的钨膜;及(c)重复(a)及(b)以形成期望厚度的钨填充,其中处理钨膜包含以下的一或多个:(1)将填充材料顺序地暴露至四氯化钛及氨;(2)将填充材料浸渍在四氯化钛中;及(3)将填充材料暴露至氢等离子体达在约10秒至约30秒的范围内的时间。
在第六十九实施例中,修改第六十八实施例,其中在(a)中沉积为填充材料的钨的厚度在约至约的范围内。
在第七十实施例中,修改第六十八实施例至第六十九实施例中的任何实施例,其中TiN的量小于约1/2单层厚度。
尽管在本文已参考特定实施例描述本发明,但应理解,所述实施例仅说明本发明的原理及应用。对本领域的技术人员将显而易见的是:可在不脱离本发明的精神及范围的情况下对本发明的方法及设备进行各种修饰及变化。因此,本发明意欲包括在随附权利要求书及权利要求书的等效物的范围内的修改及变化。

Claims (22)

1.一种处理方法,包含以下步骤:
形成含钨膜,包含以下步骤:将基板顺序地暴露至第一反应气体及第二反应气体以形成含钨膜,所述第一反应气体包含含钨化合物,所述含钨化合物包含具有经验式WxCl5x的化合物,所述含钨膜具有在范围内的厚度;以及
通过顺序暴露至TiCl4和氨来处理所述含钨膜,以沉积小于的氮化钛。
2.如权利要求1所述的方法,其中所述第二反应气体包含含氢化合物且所述含钨膜为钨膜。
3.如权利要求1所述的方法,其中所述第二反应气体包含含氮化合物且所述含钨膜包含氮化钨。
4.如权利要求1所述的方法,其中所述第二反应气体包含含硅化合物且所述含钨膜包含硅化钨(WSix)。
5.如权利要求4所述的方法,其中所述第二反应气体进一步包含氢。
6.如权利要求1所述的方法,其中所述第二反应气体包含含硅化合物及含氮化合物的混合物且所述含钨膜包含钨硅氮化物(WSixNy)。
7.如权利要求1所述的方法,其中所述基板维持在小于475℃的温度下。
8.如权利要求2所述的方法,其中所述含钨膜基本上由钨组成。
9.如权利要求8所述的方法,其中所述基板包含功函数金属。
10.如权利要求9所述的方法,其中在所述功函数金属与基本上由钨组成的所述膜之间不存在介入层。
11.如权利要求9所述的方法,其中在所述功函数金属与基本上由钨组成的所述膜之间存在介入层,所述介入层具有小于5埃的厚度。
12.一种处理方法,包含以下步骤:
将基板定位在处理腔室内;
在小于或等于475℃的温度下将所述基板的至少一部分顺序地暴露至第一反应气体及第二反应气体以形成含钨膜,所述含钨膜具有在范围内的厚度,所述第一反应气体包含具有经验式WxCl5x的化合物或六氯化钨中的一或多种;以及
在所述含钨膜上沉积具有小于厚度的TiN膜。
13.如权利要求12所述的方法,其中所述具有经验式WxCl5x的化合物是五氯化钨。
14.如权利要求12所述的方法,其中所述方法进一步包括以下步骤;
在所述TiN膜上重复形成含钨膜。
15.如权利要求12-14任一项所述的方法,其中第二反应气体包含含氢化合物且所述含钨膜为钨膜。
16.如权利要求12-14任一项所述的方法,其中所述第二反应气体包含含氮化合物且所述含钨膜包含氮化钨。
17.如权利要求12-14任一项所述的方法,其中所述第二反应气体包含含硅化合物且所述含钨膜包含硅化钨(WSix)。
18.如权利要求17所述的方法,其中所述第二反应气体进一步包含氢。
19.如权利要求12-14任一项所述的方法,其中所述第二反应气体包含含硅化合物及含氮化合物的混合物,且所述含钨膜包含钨硅氮化物(WSixNy)。
20.如权利要求12-14任一项所述的方法,其中在沉积所述含钨膜之前,所述基板包含金属层。
21.如权利要求12-14任一项所述的方法,其中在沉积所述含钨膜之前,所述基板包含氧化层,且所述方法进一步包含以下步骤:在5托至20托的范围内的分压下以二硅烷,或氢与硅烷的混合物浸渍所述基板。
22.如权利要求12-14任一项所述的方法,其中所述含钨膜以在/循环及/循环的范围内的速率生长。
CN201380054229.7A 2012-10-26 2013-10-25 沉积无氟/碳保形钨的方法 Active CN104737275B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201711049354.5A CN107829075A (zh) 2012-10-26 2013-10-25 沉积无氟/碳保形钨的方法

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201261719350P 2012-10-26 2012-10-26
US61/719,350 2012-10-26
US201361784281P 2013-03-14 2013-03-14
US61/784,281 2013-03-14
US201361825983P 2013-05-21 2013-05-21
US61/825,983 2013-05-21
US14/062,804 2013-10-24
US14/062,804 US9230815B2 (en) 2012-10-26 2013-10-24 Methods for depositing fluorine/carbon-free conformal tungsten
PCT/US2013/066866 WO2014066792A1 (en) 2012-10-26 2013-10-25 Methods for depositing fluorine/carbon-free conformal tungsten

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201711049354.5A Division CN107829075A (zh) 2012-10-26 2013-10-25 沉积无氟/碳保形钨的方法

Publications (2)

Publication Number Publication Date
CN104737275A CN104737275A (zh) 2015-06-24
CN104737275B true CN104737275B (zh) 2018-03-16

Family

ID=50545326

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380054229.7A Active CN104737275B (zh) 2012-10-26 2013-10-25 沉积无氟/碳保形钨的方法
CN201711049354.5A Pending CN107829075A (zh) 2012-10-26 2013-10-25 沉积无氟/碳保形钨的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201711049354.5A Pending CN107829075A (zh) 2012-10-26 2013-10-25 沉积无氟/碳保形钨的方法

Country Status (5)

Country Link
US (4) US9230815B2 (zh)
KR (2) KR102266379B1 (zh)
CN (2) CN104737275B (zh)
TW (3) TWI599673B (zh)
WO (1) WO2014066792A1 (zh)

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11043386B2 (en) * 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6554418B2 (ja) * 2013-11-27 2019-07-31 東京エレクトロン株式会社 タングステン膜の成膜方法および成膜装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6437324B2 (ja) * 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9595470B2 (en) 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) * 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US20150360242A1 (en) * 2014-06-11 2015-12-17 Veeco Ald Inc. Linear Deposition Apparatus with Modular Assembly
US9397107B2 (en) * 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9960023B2 (en) 2014-12-31 2018-05-01 Applied Materials, Inc. Methods and apparatus for nodule control in a titanium-tungsten target
US9928994B2 (en) 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
TW201700761A (zh) * 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9768177B2 (en) * 2015-08-04 2017-09-19 Micron Technology, Inc. Method of forming conductive material of a buried transistor gate line and method of forming a buried transistor gate line
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11035038B2 (en) 2015-10-06 2021-06-15 Entegris, Inc. Cold sintering of solid precursors
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9947578B2 (en) * 2015-11-25 2018-04-17 Applied Materials, Inc. Methods for forming low-resistance contacts through integrated process flow systems
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
KR20170120443A (ko) * 2016-04-21 2017-10-31 삼성전자주식회사 텅스텐 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9862606B1 (en) * 2017-03-27 2018-01-09 Lyten, Inc. Carbon allotropes
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10510590B2 (en) 2017-04-10 2019-12-17 Lam Research Corporation Low resistivity films containing molybdenum
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
WO2019014446A1 (en) * 2017-07-13 2019-01-17 Applied Materials, Inc. METHODS AND APPARATUS FOR DEPOSITING TUNGSTEN NUCLEATION LAYERS
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10519544B2 (en) * 2017-08-24 2019-12-31 United Technologies Corporation Method for enabling optimized material deposition
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11643721B2 (en) 2017-09-12 2023-05-09 Applied Materials, Inc. Low temperature deposition of iridium containing films
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102404056B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 반도체 장치의 제조 방법
CN110622283A (zh) * 2017-11-22 2019-12-27 应用材料公司 减少或消除钨膜中缺陷的方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10710896B2 (en) 2018-04-30 2020-07-14 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tungsten pentachloride conditioning and crystalline phase manipulation
US10669160B2 (en) 2018-04-30 2020-06-02 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
US10899630B2 (en) 2018-04-30 2021-01-26 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Tungsten pentachloride conditioning and crystalline phase manipulation
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US11535931B2 (en) * 2018-06-26 2022-12-27 Kokusai Electric Corporation Method of manufacturing semiconductor device, method of managing parts, and recording medium
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7149788B2 (ja) * 2018-09-21 2022-10-07 東京エレクトロン株式会社 成膜方法及び成膜装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
CN109250759B (zh) * 2018-12-10 2021-03-02 湖南省华京粉体材料有限公司 一种五氯化钨的制备方法及其装置
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
JP2023515751A (ja) * 2020-03-11 2023-04-14 アプライド マテリアルズ インコーポレイテッド 触媒堆積を使用する間隙充填方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11377733B2 (en) * 2020-08-07 2022-07-05 Sandisk Technologies Llc Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220098731A1 (en) * 2020-09-29 2022-03-31 Applied Materials, Inc. Method Of Reducing Titanium Nitride Etching During Tungsten Film Formation
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4391846A (en) 1979-04-05 1983-07-05 The United States Of America As Represented By The United States Department Of Energy Method of preparing high-temperature-stable thin-film resistors
JPS58181714A (ja) 1982-04-19 1983-10-24 Sanyo Electric Co Ltd a−si感光体ドラム作成装置
US4699801A (en) 1985-02-28 1987-10-13 Kabuskiki Kaisha Toshiba Semiconductor device
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
EP0546670B2 (en) 1991-12-13 2000-11-08 Ford Motor Company Limited Metal nitride films
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100432378B1 (ko) 2001-08-30 2004-05-22 주성엔지니어링(주) Hdp-cvd 장치
GB0124427D0 (en) * 2001-10-11 2001-12-05 Eblana Photonics Ltd A method of manufacturing a semiconductor device
US7060632B2 (en) 2002-03-14 2006-06-13 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
KR100831974B1 (ko) * 2002-07-12 2008-05-26 주식회사 하이닉스반도체 텅스텐을 적용한 이중 일 함수 금속 게이트 전극 및 그제조 방법
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US7071086B2 (en) * 2003-04-23 2006-07-04 Advanced Micro Devices, Inc. Method of forming a metal gate structure with tuning of work function by silicon incorporation
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
KR100551073B1 (ko) * 2003-12-18 2006-02-10 주식회사 하이닉스반도체 펄스식 화학기상증착 방식을 이용한 박막 형성 방법
JP2008508721A (ja) 2004-07-30 2008-03-21 アプライド マテリアルズ インコーポレイテッド タングステンシリサイド薄層の堆積とゲート金属の組込み
JP4945937B2 (ja) 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100713925B1 (ko) * 2005-12-28 2007-05-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7674710B2 (en) 2006-11-20 2010-03-09 Tokyo Electron Limited Method of integrating metal-containing films into semiconductor devices
DE102007001655A1 (de) * 2007-01-04 2008-07-10 Nitrochemie Aschau Gmbh Formteil sowie Verfahren zum Herstellen des Formteils
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
JP2009024252A (ja) * 2007-05-15 2009-02-05 Applied Materials Inc タングステン材料の原子層堆積法
KR20090001328A (ko) 2007-06-29 2009-01-08 한국단자공업 주식회사 커넥터어셈블리
KR20090013286A (ko) 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100075037A1 (en) 2008-09-22 2010-03-25 Marsh Eugene P Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
US20120003833A1 (en) 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for forming tungsten-containing layers
US20120213929A1 (en) * 2011-02-18 2012-08-23 Tokyo Electron Limited Method of operating filament assisted chemical vapor deposition system
US20130164445A1 (en) * 2011-12-23 2013-06-27 Garry K. Kwong Self-Contained Heating Element
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US20160108524A1 (en) 2014-10-17 2016-04-21 Lotus Applied Technology, Llc High-speed deposition of mixed oxide barrier films

Also Published As

Publication number Publication date
KR102071442B1 (ko) 2020-01-30
US20140120723A1 (en) 2014-05-01
TWI686496B (zh) 2020-03-01
TWI647329B (zh) 2019-01-11
WO2014066792A1 (en) 2014-05-01
TW201925514A (zh) 2019-07-01
US20210225655A1 (en) 2021-07-22
CN104737275A (zh) 2015-06-24
KR102266379B1 (ko) 2021-06-16
US11887855B2 (en) 2024-01-30
TW201428126A (zh) 2014-07-16
US20170194156A1 (en) 2017-07-06
US9601339B2 (en) 2017-03-21
US20160104624A1 (en) 2016-04-14
US10985023B2 (en) 2021-04-20
TWI599673B (zh) 2017-09-21
KR20200010612A (ko) 2020-01-30
US9230815B2 (en) 2016-01-05
TW201812069A (zh) 2018-04-01
CN107829075A (zh) 2018-03-23
KR20150074178A (ko) 2015-07-01

Similar Documents

Publication Publication Date Title
CN104737275B (zh) 沉积无氟/碳保形钨的方法
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
JP6761028B2 (ja) コンフォーマルな金属又はメタロイド窒化ケイ素膜を堆積するための方法及びその結果として得られる膜
JP6437962B2 (ja) 13族金属又は半金属の窒化物膜の堆積方法
TWI753523B (zh) 高溫熱原子層沉積氮化矽膜
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
TW201016880A (en) In-situ chamber treatment and deposition process
KR20060091240A (ko) 순환 증착을 통한 금속 규소 질화물 필름의 제조
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
WO2014134476A1 (en) LOW TEMPERATURE ATOMIC LAYER DEPOSITION OF FILMS COMPRISING SiCN OR SiCON
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
TW201615879A (zh) 高溫二氧化矽原子層沉積技術

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant