KR102266379B1 - 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법 - Google Patents

불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법 Download PDF

Info

Publication number
KR102266379B1
KR102266379B1 KR1020207002099A KR20207002099A KR102266379B1 KR 102266379 B1 KR102266379 B1 KR 102266379B1 KR 1020207002099 A KR1020207002099 A KR 1020207002099A KR 20207002099 A KR20207002099 A KR 20207002099A KR 102266379 B1 KR102266379 B1 KR 102266379B1
Authority
KR
South Korea
Prior art keywords
gas
delete delete
substrate
tungsten
film
Prior art date
Application number
KR1020207002099A
Other languages
English (en)
Other versions
KR20200010612A (ko
Inventor
신유 푸
스리니바스 간디코타
아비에리노스 브이. 젤라토스
아티프 누리
메이 창
데이비드 톰슨
스티브 쥐. 가나엠
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20200010612A publication Critical patent/KR20200010612A/ko
Application granted granted Critical
Publication of KR102266379B1 publication Critical patent/KR102266379B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

실험식 WCl5를 갖는 텅스텐 펜타클로라이드, 또는 WCl6 중 하나 이상을 포함하는 텅스텐-함유 반응 가스를 사용하여 텅스텐 필름 또는 텅스텐-함유 필름을 증착시키는 원자층 증착 방법들이 제공된다.

Description

불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법 {METHODS FOR DEPOSITING FLUORINE/CARBON-FREE CONFORMAL TUNGSTEN}
본 발명의 구체예들은 반도체 기판들의 가공에 관한 것이다. 보다 특히, 본 발명의 구체예들은 원자층 증착 기술들을 이용하여 반도체 기판들 상에 텅스텐 또는 텅스텐 실리사이드 층들의 저온 증착을 위한 방법들에 관한 것이다.
반도체 가공 산업은 보다 큰 표면적을 갖는 기판들 상에 증착된 층들의 균일성을 증가시키면서 보다 큰 생산 수율을 위해 계속적으로 노력하고 있다. 신규한 물질들과 함께 이러한 동일한 인자들은 또한, 기판의 단위 면적 당 회로들의 보다 높은 집적화(integration)를 제공한다. 회로 집적화가 증가함에 따라, 층 두께와 관련한 보다 큰 균일성 및 공정 제어에 대한 필요성이 증가한다. 결과적으로, 층의 특성에 대한 조절을 유지하면서 기판들 상에 층들을 비용-효율적인 방식으로 증착시키기 위한 다양한 기술들이 개발되었다.
화학적 증기 증착(CVD)은 기판 상에 층들을 증착시키기 위해 이용되는 가장 일반적인 증착 공정들 중 하나이다. CVD는 균일한 두께의 요망되는 층을 형성시키기 위해 가공 챔버에 도입되는 전구체들 및 기판 온도의 정밀한 제어를 필요로 하는 플럭스-의존적 증착 기술이다. 이러한 요건들은 기판 크기가 증가함에 따라 더욱 중요하게 되는데, 이는 챔버 설계의 보다 큰 복잡성 및 적절한 균일성을 유지하기 위한 가스 흐름 기술에 대한 필요성을 형성시킨다.
우수한 단차 피복(step coverage)을 나타내는 CVD의 변형예는 순환 증착(cyclical deposition) 또는 원자층 증착(ALD)이다. 순환 증착은 원자층 에피탁시(ALE)를 기초로 한 것으로서, 연속적인 사이클들에서 기판 표면 상에 전구체 분자들을 전달하기 위한 화학 흡착 기술을 이용한다. 사이클은 기판 표면을 제1 전구체, 퍼지 가스, 제2 전구체 및 퍼지 가스에 노출시킨다. 제1 전구체 및 제2 전구체는 기판 표면 상에 필름으로서 산물 화합물을 형성시키기 위해 반응한다. 사이클은 층을 요망되는 두께로 형성시키기 위해 반복된다.
적절한 단차 피복을 제공하면서 높은 증착 속도로 필름 층들의 형성은 상충되는 특징들을 나타내어, 종종 다른 하나의 특징을 얻기 위해 하나의 특징을 희생시키는 것을 필요하게 만든다. 이러한 상충은 내화 금속 층들이 유전체 층들에 의해 분리된 인접한 금속성 층들을 상호연결시키는 콘택트(contact)들의 형성 동안에 갭들 또는 바이어스 위에 증착될 때에 특히 그러하다. 역사적으로, 콘택트들을 저가로 그리고 빠르게 형성시키기 위해 내화 금속들과 같은 전도성 물질을 증착시키기 위해 CVD 기술들이 이용되었다. 반도체 회로망의 집적화의 증가로 인하여, 텅스텐은 우수한 단차 피복을 기초로 하여 사용되었다. 결과적으로, CVD 기술들을 이용한 텅스텐의 증착은 공정의 높은 처리량으로 인해 반도체 가공에서 넓은 적용을 나타낸다.
그러나, 통상적인 CVD 방법들에 의한 텅스텐의 증착은 여러 단점들을 수반한다. 예를 들어, ALD 공정들은 텅스텐 필름들을 높은 종횡비 (예를 들어, 20)를 함유하는 바이어스(vias)에 증착시키는 반면, 통상적인 CVD 공정들은 대개 유사한 바이어스를 "핀치-오프(pinch-off)"되게 하고 완전히 채워진 않을 것이다. 또한, 반도체 웨이퍼 상에서 텅스텐 층의 블랭킷 증착은 400℃ 미만의 온도에서 시간-소비적이다. 텅스텐의 증착 속도는 증착 온도를 예를 들어, 약 500℃ 내지 약 550℃로 증가시킴으로써 개선될 수 있다. 그러나, 이러한 보다 높은 범위의 온도는 형성되는 집적 회로의 하부 부분의 구조적 및 운영상 온전성(operational integrity)을 절충할 수 있다. 텅스텐의 사용은 또한, 규소에 비해 70% 이하의 반사율(두께 및 파장 의존적)을 갖는 비교적 거친 표면을 야기시킴에 따라 제작 공정 동안에 포토리소그래피(photolithography) 단계들을 방해한다. 또한, 텅스텐은 균일하게 증착시키는데 어려운 것으로 입증되었다. 불량한 표면 균일성은 통상적으로 필름 저항률을 증가시킨다.
대체 게이트 방식을 갖는 고-k 금속 게이트들에서, 채워져야 하는 피쳐(feature)들은 기술 노드(technology node)가 20 nm 이하로 됨에 따라 매우 작아지게 된다. 일 함수 필름의 콘포말성(conformality) 및 이러한 필름의 성질 (불소를 포함하는 유해 원소들의 부재)은 잘 조절될 필요가 있다. 추가적으로, 보다 작은 구조 내측에 매우 제한된 실제 에스테이트(estate)로 인하여 보다 작은 피쳐들에 대한 필름 스택들을 발달시킬 때에, 보다 큰 구조들 상에 사용되는 몇 개의 기능성 층들 (예를 들어, WF, 핵형성, 배리어 층들)을 결합시키는 것이 요망될 수 있다.
이용 가능한 텅스텐 및 텅스텐 실리사이드 (WSix) 필름들은 주로 불소를 도입하는 WF6 기반 CVD/ALD 공정들이고, 배리어 층 및 핵형성 층이 증착되기 전에 게이트 상에 직접적으로 증착되지 못할 수 있다. 금속 옥사이드 리간드들을 갖는 텅스텐 전구체들은 높은 탄소 함량을 나타내는 반면, 다른 할라이드 전구체들, 예를 들어 클로라이드들은 고온 (600℃ 이상)에서 가공되고 대체 게이트 공정(replacement gate process)을 위해 적합하지 않다. 고온에서의 CVD 공정은 또한 보다 불량한 단차 피복을 나타낸다.
텅스텐 금속 증착 공정들은 수소와의 반응에 의해 수행될 수 있다. 그러나, 이러한 반응은 수소의 해리에 의해 엄격하게 제한된다. 수소 플라즈마는 반응 속도를 증가시킬 수 있지만, 기판 또는 형성되는 필름에 손상을 야기시킬 수 있다. 수소 라디칼들은 또한, 텅스텐 필름들을 형성시키기 위해 텅스텐 전구체들과 반응될 수 있다. 그러나, 통상적으로 라디칼들을 발생시키기 위해 사용되는 "고온-와이어(hot-wire)"는 텅스텐 전구체들과 양립 가능하지 않다.
이에 따라, 당해 분야에는 원자층 증착 기술들을 이용하여 양호한 콘포말성을 갖는 텅스텐 층들을 증착시키는 개선된 기술이 요구되고 있다.
본 발명의 하나 이상의 구체예들은 기판을 실험식 WxCl5x를 갖는 화합물을 포함하는 텅스텐-함유 화합물을 포함하는 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 텅스텐-함유 필름을 형성시키는 것을 포함하는 가공 방법들에 관한 것이다.
본 발명의 일부 구체예들은 가공 방법들에 관한 것이다. 이러한 방법들은 기판을 가공 챔버에 정위시키고, 기판의 적어도 일부를 약 475℃ 이하의 온도에서 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 텅스텐-함유 필름을 형성시키는 것을 포함하며, 제1 반응 가스는 실험식 WxCl5x를 갖는 화합물인 텅스텐 펜타클로라이드, 또는 텅스텐 헥사클로라이드 중 하나 이상을 포함한다.
본 발명의 일부 구체예들은 WSix 필름을 증착시키는 방법들에 관한 것이다. 이러한 방법들은 기판을 가공 챔버에 정위시키고, 기판의 적어도 일부를 약 475℃ 이하의 온도에서 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 WSix 필름을 형성시키는 것을 포함한다. 제1 반응 가스는 실험식 WxCl5x를 갖는 화합물인 텅스텐 펜타클로라이드 또는 텅스텐 헥사클로라이드 중 하나 이상을 포함하며, 제2 반응 가스는 규소-함유 가스를 포함하며, 규소-함유 가스 대 텅스텐-함유 가스의 비는 약 100:2 내지 약 100:0.2의 범위이다.
본 발명의 일부 구체예들은 가공 챔버에서 기판의 적어도 일부를 실험식 WCl5 또는 WCl6을 갖는 텅스텐-함유 화합물을 포함하는 제1 반응 가스 및 수소 라디칼들을 포함하는 제2 반응 가스에 연속적으로 노출시켜 텅스텐-함유 필름을 형성시키는 것을 포함하는 가공 방법들에 관한 것이다.
본 발명의 하나 이상의 구체예들은 기판을, 제1 반응 가스 포트 및 제2 반응 가스 포트를 포함하는 복수의 긴 가스 포트들을 포함하는 가스 분배 어셈블리를 포함하는 가공 챔버에 정위시키는 것을 포함하는 가공 방법으로서, 제1 반응 가스 포트가 실험식 WCl5 또는 WCl6을 갖는 텅스텐-함유 화합물을 포함하는 제1 반응 가스와 유체 소통하며, 제2 반응 가스 포트가 수소를 포함하는 제2 반응 가스와 유체 소통하며, 가스 분배 어셈블리가 제1 반응 가스 및 제2 반응 가스 둘 모두를 가공 챔버로 동시에 흘려 보내는 가공 방법에 관한 것이다. 제2 반응 가스는 제2 반응 가스 중에 수소 라디칼들을 발생시키기 위해 발열체를 가로질러 진행된다. 기판의 적어도 일부는 제1 반응 가스 및 제2 반응 가스 중의 수소 라디칼들에 연속적으로 노출되어 기판 상에 텅스텐 필름을 형성시킨다.
일부 구체예들에서, 제2 반응 가스는 수소-함유 화합물을 포함하며, 텅스텐-함유 필름은 텅스텐 필름이다. 일부 구체예들에서, 텅스텐-함유 필름은 텅스텐을 필수적으로 포함한다. 하나 이상의 구체예들에서, 제2 반응 가스는 질소-함유 화합물을 포함하며, 텅스텐-함유 필름은 텅스텐 니트라이드를 포함한다. 일부 구체예들에서, 제2 반응 가스는 규소-함유 화합물을 포함하며, 텅스텐-함유 필름은 텅스텐 실리사이드 (WSix)를 포함한다.
일부 구체예들에서, 제2 반응 가스는 수소를 추가로 포함한다. 하나 이상의 구체예들에서, 제2 반응 가스는 규소-함유 화합물과 질소-함유 화합물의 혼합물을 포함하며, 텅스텐-함유 필름은 텅스텐-규소-니트라이드 (WSixNy)를 포함한다.
일부 구체예들에서, 기판은 일 함수 금속을 포함한다. 하나 이상의 구체예들에서, 일 함수 금속은 Ti 및/또는 TiAl을 포함한다. 일부 구체예들에서, 일 함수 금속과, 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재하지 않는다. 하나 이상의 구체예들에서, 일 함수 금속과, 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재하며, 이러한 개재층은 약 5 옹스트롬 미만의 두께를 갖는다.
일부 구체예들에서, 텅스텐-함유 필름의 증착 전에, 기판은 옥사이드 층을 포함하며, 이러한 방법은 기판을 약 5 내지 약 20 Torr 범위의 분압에서 디실란, 또는 수소와 실란의 혼합물로 소킹(soaking)시키는 것을 추가로 포함한다.
일부 구체예들에서, 텅스텐 함유 필름은 약 0.2 Å/사이클 내지 약 3 Å/사이클 범위의 속도로 성장한다.
일부 구체예들은 수소 가스로부터 수소 라디칼들을 발생시키는 것을 추가로 포함한다. 하나 이상의 구체예들에서, 수소 가스로부터 수소 라디칼들을 발생시키는 것은 수소 가스를, 수소 라디칼들을 생성시키기에 충분한 온도를 갖는 발열체를 가로질러 통과시키는 것을 포함한다. 일부 구체예들은 발열체를 수소 라디칼들을 생성시키기에 충분한 온도로 가열시키는 것을 포함하는 것을 추가로 포함한다. 일부 구체예들에서, 발열체를 가열시키는 것은 발열체를 통한 전류의 흐름을 제공하는 것을 포함한다. 하나 이상의 구체예들은 수소 라디칼들을 생성시키기에 충분한 온도에서 발열체의 쳐짐(sagging)을 방지하기 위해 발열체의 단부들에 동적 장력을 적용하는 것을 추가로 포함한다.
일부 구체예들에서, 발열체는 열팽창에 대해 실질적으로 내성인 엔클로저(enclosure) 내에 포함된다. 일부 구체예들에서, 엔클로저는, 제2 반응 가스 포트들로부터 흐르는 제2 반응 가스가 엔클로저를 통해 그리고 발열체 둘레에 흐르도록, 가스 분배 어셈블리의 전면에 부착된다. 하나 이상의 구체예들은, 기판의 각 부분이 순서대로 제1 반응 가스 및 제2 반응 가스를 필수적으로 포함하는 가스들의 흐름에 노출되도록, 가스 분배 어셈블리에 대해 기판을 이동시키는 것을 추가로 포함한다.
일부 구체예들에서, 기판은 약 475℃ 미만의 온도에서 유지된다. 하나 이상의 구체예들에서, 기판은 약 350℃ 초과의 온도에서 유지된다.
본 발명의 하나 이상의 구체예들은 기판을 실란으로 소킹시키고 실란으로 사전에 소킹된 기판을 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 텅스텐 필름을 형성시키는 것을 포함하는 가공 방법으로서, 제1 반응 가스가 실험식 WxCl5x를 갖는 화합물 및 수소를 포함하는 텅스텐-함유 화합물을 포함하며, 제2 반응 가스가 환원제를 포함하는 가공 방법에 관한 것이다. 일부 구체예들에서, 제1 반응 가스는 원자 기준으로 텅스텐 보다 많은 수소를 포함한다. 하나 이상의 구체예들에서, 제1 반응 가스는 텅스텐 함유 화합물 및 수소를 포함하는데, 텅스텐 함유 화합물 및 수소는 약 1:2 내지 1:20 범위의 비로 존재한다.
본 발명의 일부 구체예들은 트랜지스터에서 일 함수 물질 상에 충진재로서 소정 두께의 텅스텐을 증착시키고, 증착된 텅스텐 필름을 처리하고 요망되는 두께의 텅스텐 충진물을 형성시키기 위해 반복하는 것을 포함하는 가공 방법으로서, 여기서 텅스텐 필름을 처리하는 것이 (1) 충진재를 티탄 테트라클로라이드 및 암모니아에 연속적으로 노출시키는 것; (2) 충진재를 티탄 테트라클로라이드에서 소킹시키는 것; 및 (3) 충진재를 약 10초 내지 약 30초 범위의 시간 동안에 수소 플라즈마에 노출시키는 것 중 하나 이상을 포함하는 가공 방법에 관한 것이다. 하나 이상의 구체예들에서, (a)에서 충진재로서 증착된 텅스텐의 두께는 약 10 Å 내지 약 30 Å 범위이다. 일부 구체예들에서, TiN의 양은 단일층 두께의 약 ½ 미만이다.
일부 구체예들에서, 텅스텐 필름이 약 70Å의 두께를 가질 때에, 텅스텐 필름은 약 60Å 초과의 입자 크기(grain size)를 갖는다. 하나 이상의 구체예들에서, 텅스텐 필름이 약 200Å의 두께를 가질 때에, 텅스텐 필름은 약 30 μΩ·cm 미만의 저항률을 갖는다.
표면을 제1 시간 동안에 텅스텐-함유 화합물을 포함하는 제1 반응 가스에 그리고 제2 시간 동안에 수소를 포함하는 제2 반응 가스에 연속적으로 노출시켜 콘포멀한 텅스텐 필름을 형성시키는 것을 포함하는 텅스텐 필름을 증착시키는 방법으로서, 제1 시간 및 제2 시간이 약 2초 미만인 방법이 제공된다. 일부 구체예들에서, 텅스텐 필름은 약 1 Å/사이클 미만의 속도로 성장된다. 하나 이상의 구체예들에서, 텅스텐 필름은 약 0.8 Å/사이클 미만의 속도로 성장된다.
본 발명의 일부 구체예들은 채널 위에 배치된 유전체 층, 유전체 층 위에 배치된 일 함수 금속, 및 일 함수 층 위에 배치된 충진층을 포함하며, 충진층이 W를 필수적으로 포함하는 집적 회로 트랜지스터 소자들에 관한 것이다.
하나 이상의 구체예들은 채널 위에 배치된 유전체 층, 유전체 층 상에 텅스텐을 필수적으로 포함하는 일 함수 층을 포함하는 집적 회로 트랜지스터 소자에 관한 것이다.
일부 구체예들에서, 일 함수 금속은 실질적으로 불소를 함유하지 않는다. 하나 이상의 구체예들에서, 충진층은 실질적으로 불소를 함유하지 않는다.
일부 구체예들에서, 일 함수 금속과, 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재하지 않는다. 하나 이상의 구체예들에서, 일 함수 금속과, 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재하며, 이러한 개재층은 약 5 옹스트롬 미만의 두께를 갖는다.
하나 이상의 구체예들은 트랜지스터에서 일 함수 물질 상에 충진재로서 소정 두께의 텅스텐을 증착시키고, 증착된 텅스텐 필름을 처리하고, 요망되는 두께의 텅스텐 충진물을 형성시키기 위해 반복하는 것을 포함하는 가공 방법에 관한 것이다. 텅스텐 필름을 처리하는 것은 (1) 충진재를 티탄 테트라클로라이드 및 암모니아에 연속적으로 노출시키는 것; (2) 충진재를 티탄 테트라클로라이드에 소킹시키는 것; 및 (3) 충진재를 약 10초 내지 약 30초 범위의 시간 동안에 수소 플라즈마에 노출시키는 것 중 하나 이상을 포함한다. 일부 구체예들에서, (a)에서 충진재로서 증착된 텅스텐의 두께는 약 10 Å 내지 약 30 Å의 범위이다. 하나 이상의 구체예들에서, TiN의 양은 모노층 두께의 약 ½ 미만이다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 구체예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 구체예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 구체예들을 허용할 수 있기 때문이다.
도 1은 본원에 기술된 일 구체예에 따른 두 개의 펄스 순환 증착 기술을 이용하여 텅스텐 층을 형성시키기 위한 예시적인 공정 순서를 예시한 것이다.
도 2는 예시적인 ALD 가공 시스템을 도시한 것이다.
도 3은 예시적인 공간적 ALD 가공 시스템을 도시한 것이다.
도 4는 공간적 ALD 가공 시스템과 함께 사용하기 위한 서셉터(susceptor)를 도시한 것이다.
도 5는 본 발명의 하나 이상의 구체예들에 따른 가스 분배 어셈블리의 사시도를 도시한 것이다.
도 6은 본 발명의 하나 이상의 구체예들에 따른 가스 분배 어셈블리의 정면도를 도시한 것이다.
도 7은 본 발명의 하나 이상의 구체예들에 따른 가스 분배 어셈블리의 정면도를 도시한 것이다.
도 8은 본 발명의 하나 이상의 구체예들에 따른 가스 분배 어셈블리들과 함께 사용하기 위한 와이어 엔클로저의 사시도를 도시한 것이다.
도 9는 본 발명의 하나 이상의 구체예들에 따른 어셈블리의 도면을 도시한 것이다.
도 10은 본 발명의 하나 이상의 구체예들에 따른 어셈블리의 도면을 도시한 것이다.
도 11은 본 발명의 하나 이상의 구체예들에 따른 어셈블리의 도면을 도시한 것이다.
도 12는 본 발명의 하나 이상의 구체예들에 따른 어셈블리의 도면을 도시한 것이다.
도 13은 본 발명의 하나 이상의 구체예들에 따른 가스 분배 어셈블리의 단면도를 도시한 것이다.
도 14는 본 발명의 하나 이상의 구체예들에 따른 가스 분배 어셈블리의 단면도를 도시한 것이다.
도 15a는 예시적인 통합된 가공 플랫폼을 도시한 것이다.
도 15b는 다른 예시적인 통합된 가공 플랫폼을 도시한 것이다.
도 16은 본 발명의 구체예들과 함께 사용하기 위한 배치 가공 챔버의 개략적 단면도를 도시한 것이다.
도 17은 본 발명의 구체예들과 함께 사용하기 위한 배치 가공 챔버의 개략도를 도시한 것이다.
본 발명의 구체예들은 텅스텐-함유 필름들을 증착시키기 위한 개선된 공정을 제공한다. 다양한 구체예들의 공정은 현저하게 개선된 표면 균일성 및 생산 수준 처리량을 갖는 텅스텐 필름들을 제공하기 위해 증기 증착 기술들, 예를 들어 원자층 증착 (ALD)을 이용한다. 일부 구체예들에서, 이러한 공정은 텅스텐-함유 필름이 배리어 층 없이 n-금속 표면 상에 증착될 수 있게 한다. 일부 구체예들에서, 이러한 방법들은 보다 낮은 가공 온도에서 텅스텐-함유 필름들의 콘포멀한 증착을 제공함으로써 가공 반도체 기판들의 생산성 및 효율을 유리하게 증가시켜, 형성되는 디바이스의 열처리 경비(thermal budget)를 보존한다.
본원에서 사용되는 "기판 표면"은 기판의 임의의 부분, 또는 그 위에 필름 가공이 수행되는 기판 상에 형성된 물질 표면의 일부를 지칭한다. 예를 들어, 그 위에 가공이 수행될 수 있는 기판 표면은 적용에 따라, 규소, 규소 옥사이드, 규소 니트라이드, 도핑된 규소, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어와 같은 물질들, 및 임의의 다른 물질들, 예를 들어 금속들, 금속 니트라이드들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판 표면 상의 배리어 층들, 금속들, 또는 금속 니트라이드들은 티탄, 티탄 니트라이드, 텅스텐 니트라이드, 탄탈 및 탄탈 니트라이드를 포함한다. 기판 표면은 또한, 유전체 물질들, 예를 들어 규소 디옥사이드 및 탄소 도핑된 규소 옥사이드들을 포함할 수 있다. 기판들은 다양한 치수들, 예를 들어 200 nm 또는 300 nm 직경 웨이퍼들, 뿐만 아니라, 직사각형 또는 정사각형 판들을 가질 수 있다. 일부 구체예들에서, 기판들은 강성의 별개의 물질을 포함한다.
본원에서 사용되는 "원자층 증착" 또는 "순환 증착(cyclical deposition)"은 기판 표면 상에 물질 층을 증착시키기 위해 둘 이상의 반응성 화합물들의 연속적인 노출을 지칭한다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "반응성 화합물," "반응 가스," "반응성 종들," "전구체," "공정 가스" 등은 표면 반응 (예를 들어, 화학 흡착, 산화, 환원)에서 기판 표면 또는 기판 표면 상의 물질과 반응할 수 있는 종을 갖는 물질을 의미하기 위해 교호적으로 사용된다. 기판, 또는 기판의 일부는 가공 챔버의 반응 구역에 도입되는 둘 이상의 반응성 화합물들에 연속적으로 노출된다. 시간-도메인 ALD 공정에서, 각 반응성 화합물에 대한 노출은 각 화합물이 기판 표면 상에 접착하고/거나 기판 표면 상에서 반응할 수 있게 하기 위해 시간 지연에 의해 분리된다. 공간적 ALD 공정에서, 기판 표면의 상이한 부분들, 또는 기판 표면 상의 물질은, 기판 상의 임의의 제공된 포인트가 실질적으로 하나 초과의 반응성 화합물에 동시에 노출되지 않게 하도록 둘 이상의 반응성 화합물들에 동시에 노출된다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 바와 같이, 이와 관련하여 사용되는 용어 "실질적으로"는 당업자에 의해 이해되는 바와 같이, 기판의 작은 부분이 확산으로 인하여 여러 반응 가스들에 동시에 노출될 수 있는 가능성이 존재하고 동시 노출이 의도되지 않은 것을 의미한다.
시간-도메인 ALD 공정의 일 양태에서, 제1 반응 가스 (즉, 제1 전구체 또는 화합물 A)는 반응 구역에서 펄스화된 후에 제1 시간 지연된다. 다음에, 제2 전구체 또는 화합물 B는 반응 구역에서 펄스화되고 제2 지연된다. 각 시간 지연 동안에, 퍼지 가스, 예를 들어 아르곤은 반응 구역을 퍼징하거나 그밖에 반응 구역으로부터 임의의 잔류 반응성 화합물 또는 부산물들을 제거하기 위해 가공 챔버에 도입된다. 대안적으로, 퍼지 가스는 반응성 화합물들의 펄스들 간의 시간 지연 동안에 오로지 퍼지 가스가 흐르도록 증착 공정 전반에 걸쳐 연속적으로 흐를 수 있다. 반응성 화합물들은 요망되는 필름 또는 필름 두께가 기판 표면 상에 형성될 때까지 교대로 펄스화된다. 이중 하나의 시나리오에서, 화합물 A, 퍼지 가스, 화합물 B 및 퍼지 가스를 펄스화하는 ALD 공정이 한 사이클이다. 한 사이클은 화합물 A 또는 화합물 B 중 어느 하나로 개시할 수 있고, 요망되는 두께를 갖는 필름을 달성할 때까지 사이클의 개개 순서를 지속한다.
공간적 ALD 공정의 일 양태에서, 제1 반응 가스 및 제2 반응 가스 (예를 들어, 수소 라디칼들)는 반응 구역에 동시에 전달되지만, 불활성 가스 커튼 및/또는 진공 커튼에 의해 분리된다. 기판은 기판 상의 임의의 제공된 포인트가 제1 반응 가스 및 제2 반응 가스에 노출되도록 가스 전달 장치에 대해 이동된다.
도 1은 본 발명의 일부 구체예들에 따르면 기판 상에 텅스텐-함유 층을 형성시키는 방법을 도시한 것이다. 방법 (100)은 일반적으로 102에서 시작하는데, 여기서, 텅스텐-함유 층이 형성되는 표면을 갖는 기판이 제공되고 가공 챔버에 배치된다. 본원에서 사용되는 "기판 표면"은 층이 형성될 수 있는 임의의 기판 표면을 지칭한다. 기판 표면은 그 안에 형성된 하나 이상의 피쳐들, 그 위에 형성된 하나 이상의 층들, 및 이들의 조합을 가질 수 있다. 기판 (또는 기판 표면)은 텅스텐-함유 층의 증착 전에, 예를 들어, 폴리싱, 에칭, 환원, 산화, 할로겐화, 하이드록실화, 어닐링, 베이킹, 등에 의해 사전 처리될 수 있다.
기판은 그 위에 증착된 물질을 가질 수 있는 임의의 기판, 예를 들어 규소 기판, III-V족 화합물 기판, 규소 게르마늄 (SiGe) 기판, 에피-기판, 규소-온-절연체 (SOI) 기판, 디스플레이 기판, 예를 들어 액정 디스플레이 (LCD), 플라즈마 디스플레이, 전기 발광 (EL) 램프 디스플레이, 태양 어레이, 태양 판넬, 발광 다이오드 (LED) 기판, 반도체 웨이퍼, 등일 수 있다. 일부 구체예들에서, 하나 이상의 추가 층들은, 텅스텐-함유 층이 그 위에 적어도 일부 형성될 수 있도록 기판 상에 배치될 수 있다. 예를 들어, 일부 구체예들에서, 금속, 니트라이드, 옥사이드, 등 또는 이들의 조합을 포함하는 층은 기판 상에 배치될 수 있고, 이러한 층 또는 층들 상에 형성된 텅스텐-함유 층을 가질 수 있다.
일부 구체예들에서, 기판은 103에서 점선(phantom)으로 도시된 바와 같이, (하기 104에서 논의되는 바와 같이) 기판 상에 텅스텐-함유 층을 형성시키기 위한 순환 증착 공정을 시작하기 전에 임의적인 소크 공정(soak process)(103)에 노출될 수 있다. 하나 이상의 구체예들에서, 기판(104) 상에 텅스텐-함유 층을 증착시키는 방법은 소킹 공정을 필요로 하지 않는다. 이는 필름을 증착시키기 전에 소킹에 대해 실질적으로 유리하지 않다는 것을 의미한다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 바와 같이, 이와 관련하여 사용되는 용어 "실질적으로 유리하지 않다"는 증착 속도의 약 10% 미만의 증가 또는 증착된 필름의 콘포말성 및 균일성의 약 20% 미만의 차이가 존재함을 의미한다. 그러한 까닭에, 하기에 추가로 논의되는 구체예들이 존재하는데, 여기서 사전소크(presoak)가 전체 공정의 중요한 부분을 형성한다. 일부 구체예들에서, 소크 공정(soak process)은 기판을 소크 온도로 가열시킨 후에 기판을 소크 가스에 노출시키는 것을 포함할 수 있다. 예를 들어, 일부 구체예들에서, 기판은 약 100 내지 약 600℃, 또는 일부 구체예들에서, 약 200℃ 내지 약 600℃, 또는 일부 구체예들에서 약 300℃ 내지 약 500℃, 또는 일부 구체예들에서 약 350℃ 내지 약 420℃, 또는 일부 구체예들에서 약 375℃ 내지 약 500℃의 온도로 가열될 수 있다.
일부 구체예들에서, 소크 가스는 수소 가스 및/또는 하이드라이드 화합물, 예를 들어 실란 화합물들 (예를 들어, 실란, 디실란, 트리실란, 테트라실란, 클로로실란, 디클로로실란, 테트라클로로실란, 헥사클로로디실란, 메틸실란, 등), 보란 화합물들 (예를 들어, 보란, 디보란, 트리보란, 테트라보란, 펜타보란, 알킬보란, 등), 포스핀, 암모니아, 아민 화합물들, 수소, 이들의 유도체, 이들의 조합물, 등을 포함하는 환원 가스를 포함할 수 있다. 존재하는 경우에, 환원 가스는 처리된 표면을 형성시키기 위해, 기판 표면에 흡착하고/거나 기판 표면과 반응한다. 일부 구체예들에서, 처리된 표면은 전체적으로 매끄럽고 더욱 균일한 후속하여 증착된 층들을 위한 보다 빠른 증착 공정을 제공한다.
일부 구체예들에서, 기판은 실란 소크로 처리된다. 이러한 구체예들 중 일부는 실질적으로 유일한 실란인 실란 소크를 사용한다. 본 명세서 및 첨부된 특허청구범위에서 사용되는, 이와 관련하여 사용되는 용어 "실질적으로 유일한 실란"은 소킹제로서 소킹 유체가 99% 이상의 실란임을 의미한다. 예를 들어, 수소 중에 5% 실란인 소크 용액은 희석제 (수소)가 포함되지 않기 때문에, 100% 실란으로 여겨질 것이다.
일부 구체예들에서, 환원 가스는 약 40:1 이상, 또는 일부 구체예들에서, 약 100:1 이상, 또는 일부 구체예들에서, 약 500:1 이상, 또는 일부 구체예들에서, 약 800:1 이상, 또는 일부 구체예들에서, 약 1,000:1 이상의 수소/하이드라이드 유량비를 함유한다. 일부 구체예들에서, 하이드라이드 화합물 (예를 들어, 디보란)은 약 1 sccm 내지 약 75 sccm, 또는 일부 구체예들에서, 약 3 sccm 내지 약 30 sccm, 또는 일부 구체예들에서, 약 5 sccm 내지 약 15 sccm의 유량을 가질 수 있다. 일부 구체예들에서, 하이드라이드 화합물은 운반 가스 (예를 들어, 수소, 질소, 아르곤, 헬륨 등) 내에 존재할 수 있으며, 이에 따라 혼합물은 약 50 sccm 내지 약 500 sccm, 또는 일부 구체예들에서, 약 75 sccm 내지 약 400 sccm, 또는 일부 구체예들에서, 약 100 sccm 내지 약 300 sccm 범위 내의 유량을 가질 수 있다. 일부 구체예들에서, 수소 가스는 약 1 slm 내지 약 20 slm, 또는 일부 구체예들에서, 약 3 slm 내지 약 15 slm, 또는 일부 구체예들에서, 약 5 slm 내지 약 10 slm의 유량으로 제공될 수 있다. 수소/하이드라이드 유량 비율은 전체 수소 유량을 전체 하이드라이드 유량으로 나눔으로써 계산될 수 있다. 전체 수소 유량은 임의의 수소 운반 가스의 유량 및 임의의 독립적인 수소 가스의 유량을 포함한 모든 수소 소스들의 총합을 함유한다.
일부 구체예들에서, 환원 가스는 가공/증착 챔버 내에서 또는 외측에서 혼합될 수 있고, 여러 소스들로부터 비롯될 수 있다. 예를 들어, 일부 구체예들에서, 기판은 챔버에서 수소 가스의 가스 흐름과 함께 환원 또는 하이드라이드 화합물 및 수소 혼합물 (예를 들어, H2 중 5% B2H6)의 가스 흐름을 합함으로써 형성된 환원 가스에 노출된다. 다른 예에서, 일부 구체예들에서, 환원 또는 하이드라이드 화합물 및 수소 혼합물 (예를 들어, H2 중 5% B2H6)의 가스 흐름 및 수소 가스의 가스 흐름은 챔버에 진입하기 전에 합쳐진다. 추가 공정 파라미터들은 소크 공정을 촉진시키기 위해 사용될 수 있다. 예를 들어, 일부 구체예들에서, 공정 챔버에서 약 1 Torr 내지 약 150 Torr, 또는 일부 구체예들에서, 약 1 Torr 내지 약 100 Torr, 또는 일부 구체예들에서, 약 10 Torr 내지 약 50 Torr, 또는 일부 구체예들에서, 약 20 Torr 내지 약 40 Torr, 또는 일부 구체예들에서, 약 5 Torr 내지 약 20 Torr의 압력을 유지하면서 소크 공정이 수행될 수 있다. 일부 구체예들에서, 소크 공정은 약 1 초 내지 약 90 초 내, 또는 일부 구체예들에서, 약 60 초 미만, 또는 일부 구체예들에서, 약 30 초 미만, 또는 일부 구체예들에서, 약 10 초 미만의 시간 동안 수행될 수 있다.
다음으로, 단계 (104)에서, 텅스텐-함유 층은 기판 상에 형성된다. 텅스텐-함유 층은 순환 증착 공정, 예를 들어 원자층 증착 (ALD) 등을 통해 형성될 수 있다. 일부 구체예들에서, 순환 증착 공정을 통해 텅스텐-함유 층을 형성시키는 것은 일반적으로 기판을 둘 이상의 공정 가스들에 연속적으로 노출시키는 것을 포함한다. 시간-도메인 ALD 구체예들에서, 공정 가스들 각각에 대한 노출은 공정 가스들의 성분들을 기판 표면 상에 부착시키고/거나 반응시킬 수 있게 하기 위해 시간 지연/휴지(pause)에 의해 분리된다. 대안적으로, 또는 조합하여, 일부 구체예들에서, 퍼지는 공정 가스들에 대한 기판의 노출 전 및/또는 후에 수행될 수 있는데, 여기서, 불활성 가스는 퍼지를 수행하기 위해 사용된다. 예를 들어, 제1 공정 가스는 공정 챔버에 제공된 후에 불활성 가스로 퍼징될 수 있다. 다음에, 제2 공정 가스가 공정 챔버에 제공되고 이후에 불활성 가스로 퍼징될 수 있다. 일부 구체예들에서, 불활성 가스는 공정 챔버에 연속적으로 제공될 수 있으며, 제1 공정 가스는 공정 챔버에 투여되거나 펄스화될 수 있고, 이후에 제2 공정 가스가 공정 챔버에 투여되거나 펄스화될 수 있다. 이러한 구체예들에서, 지연 또는 휴지는 제1 공정 가스와 제2 공정 가스의 투여 사이에서 일어나서, 불활성 가스의 연속적인 흐름이 공정 가스들의 투여 사이에 공정 챔버를 퍼징할 수 있게 한다.
공간적 ALD 구체예들에서, 공정 가스들 각각에 대한 노출은, 기판의 일 부분이 제1 반응 가스에 노출되고 기판의 다른 부분이 제2 반응 가스에 노출될 수 있도록 (단지 두 개의 반응 가스가 사용되는 경우) 기판의 다른 부분들에 동시에 일어난다. 기판은, 기판 상의 각 포인트가 제1 반응 가스 및 제2 반응 가스 둘 모두에 연속적으로 노출되도록 가스 전달 시스템에 대해 이동된다. 시간-도메인 ALD 및 공간적 ALD 공정들 둘 모두에 대해 상술된 구체예들 중 임의의 구체예에서, 요망되는 층 두께가 기판 표면 상에 형성될 때까지 이러한 순서들이 반복될 수 있다.
본원에서 사용되는 "펄스(pulse)" 또는 "투여(dose)"는 공정 챔버에 간헐적으로 또는 비-연속적으로 도입되는 소정 량의 소스 가스를 지칭하도록 의도된다. 각 펄스 내에서 특정 화합물의 양은 펄스의 기간에 따라서, 시간에 따라 변할 수 있다. 특정 공정 가스는 단일 화합물 또는 둘 이상의 화합물들, 예를 들어 하기 기술되는 공정 가스들의 혼합물/조합물을 포함할 수 있다.
각 펄스/투여를 위한 기간은 가변적이고, 예를 들어 가공 챔버의 부피 용량, 뿐만 아니라 여기에 커플링되는 진공 시스템의 능력을 수용하기 위해 조정될 수 있다. 추가적으로, 공정 가스의 투여 시간은 공정 가스의 유량, 공정 가스의 온도, 조절 밸브의 타입, 사용되는 공정 챔버의 타입, 뿐만 아니라 기판 표면 상에 흡착하는 공정 가스의 성분들의 능력에 따라 달라질 수 있다. 투여 시간은 또한 형성되는 층의 타입 및 형성되는 디바이스의 기하학적 구조를 기초로 하여 달라질 수 있다. 투여 시간은 기판의 실질적으로 전체 표면 상에 흡착/화학 흡착시키고 그 위에 공정 가스 성분의 층을 형성시키기에 충분한 화합물의 부피를 제공하기 위해 충분히 길어야 한다.
단계 (104)에서 텅스텐-함유 층을 형성시키는 공정은 기판을 제1 반응 가스에 노출시킴으로써 시작할 수 있다. 일부 구체예들에서, 제1 반응 가스는 텅스텐 전구체 (또한, 텅스텐-함유 가스 등으로서 지칭됨)를 포함하고, 106에 도시된 바와 같이 제1 시간 동안에 기판에 노출된다. 텅스텐 전구체는 할라이드 기반 텅스텐 전구체들 또는 금속-유기 기반 텅스텐 전구체를 포함하지만 이로 제한되지 않는 임의의 적합한 텅스텐-함유 가스일 수 있다. 예를 들어, 일부 구체예들에서, 텅스텐 전구체는 텅스텐 펜타클로라이드 (WCl5), WCl5의 실험식을 갖는 화합물들 (예를 들어, W2Cl10, W3Cl15), 텅스텐 헥사클로라이드 (WCl6), WCl6의 실험식을 갖는 화합물들 (예를 들어, W2Cl12), 텅스텐 헥사플루오라이드 (WF6)를 포함할 수 있다. 하나 이상의 구체예들에서, 텅스텐-함유 전구체는 텅스텐 펜타클로라이드, 실험식 WCl5를 갖는 화합물, 및 텅스텐 헥사클로라이드로 이루어진 군으로부터 선택된다. 일부 구체예들에서, 텅스텐-함유 화합물은 실험식 WxCl5x (여기서, x는 약 1 이상이며, y는 약 5 이상임)를 갖는 화합물을 포함한다. 임의의 특정한 작동 이론으로 제한하고자 하는 것은 아니지만, 음이온을 플루오라이드에서 클로라이드로 변경시키는 것이 확산을 제한하는 보다 큰 이온을 야기시켜 큰 전도를 야기시키는 것으로 여겨진다. 일부 구체예들에서, 텅스텐-함유 필름은 실질적으로 탄소 부재이다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "실질적으로 탄소 부재"는 필름 중에 원자 기준으로 약 1% 또는 0.5% 또는 0.1% 미만의 탄소가 존재함을 의미한다.
텅스텐-함유 공정 가스는 하나 이상의 펄스들로 또는 연속적으로 제공될 수 있다. 텅스텐-함유 가스의 유량은 약 1 내지 약 5000 sccm의 범위, 또는 약 2 내지 약 4000 sccm의 범위, 또는 약 3 내지 약 3000 sccm의 범위 또는 약 5 내지 약 2000 sccm의 범위를 포함하지만 이로 제한되지 않는 임의의 적합한 유량일 수 있다. 텅스텐-함유 전구체는 약 5 mTorr 내지 약 25 Torr의 범위, 또는 약 100 mTorr 내지 약 20 Torr의 범위, 또는 약 5 Torr 내지 약 20 Torr의 범위, 또는 약 50 mTorr 내지 약 2000 mTorr의 범위, 또는 약 100 mTorr 내지 약 1000 mTorr의 범위, 또는 약 200 mTorr 내지 약 500 mTorr의 범위의 압력을 포함하지만, 이로 제한되지 않는 임의의 적합한 압력으로 제공될 수 있다.
기판이 텅스텐-함유 가스에 노출되는 시간은 텅스텐 전구체가 기판 표면들 상부에 적절한 핵형성 층(nucleation layer)을 형성시키는데 필수적인 임의의 적합한 정도의 시간일 수 있다. 예를 들어, 공정 가스는 약 0.1초 내지 약 90초의 시간 동안 공정 챔버로 흐르게 될 수 있다. 일부 시간-도메인 ALD 공정들에서, 텅스텐-함유 가스는 약 0.1초 내지 약 90초의 범위, 또는 약 0.5초 내지 약 60초의 범위, 또는 약 1초 내지 약 30초의 범위, 또는 약 2초 내지 약 25초의 범위, 또는 약 3초 내지 약 20초의 범위, 또는 약 4초 내지 약 15초의 범위, 또는 약 5초 내지 약 10초의 범위의 시간 동안 기판 표면에 노출된다.
일부 구체예들에서, 불활성 가스는 추가적으로 텅스텐-함유 가스와 동시에 공정 챔버에 제공될 수 있다. 불활성 가스는 텅스텐-함유 가스 (예를 들어, 희석 가스로서)와 혼합되거나 별도로, 그리고 펄스화되거나 일정한 흐름일 수 있다. 일부 구체예들에서, 불활성 가스는 약 1 내지 약 10000 sccm 범위의 일정한 흐름으로 가공 챔버로 흐르게 된다. 불활성 가스는 임의의 불활성 가스, 예를 들어 아르곤, 헬륨, 네온, 이들의 조합, 등일 수 있다. 하나 이상의 구체예들에서, 텅스텐-함유 가스는 공정 챔버로 흐르기 전에 아르곤과 혼합된다.
증착 동안 기판의 온도는 예를 들어, 기판 지지체 또는 서셉터의 온도를 설정함으로써 조절될 수 있다. 일부 구체예들에서, 기판은 약 300℃ 내지 약 475℃의 범위, 또는 약 350℃ 내지 약 450℃의 범위의 온도로 유지된다. 하나 이상의 구체예들에서, 기판은 약 475℃ 미만, 또는 약 450℃ 미만, 또는 약 425℃ 미만, 또는 약 400℃ 미만, 또는 약 375℃ 미만의 온도로 유지된다.
상술된 것에 추가하여, 기판을 텅스텐-함유 공정 가스에 노출시키면서 추가 공정 파라미터들이 조정될 수 있다. 예를 들어, 일부 구체예들에서, 공정 챔버는 약 0.3 내지 약 90 Torr의 압력으로 유지될 수 있다.
일부 구체예들에서, 낮은 저항률 필름 (또는 조정 가능한 입자 크기 필름)은 실란의 사전소킹 및 ALD W 증착의 조합을 이용하여 증착된다. 예를 들어, 기판은 임의의 ALD 사이클들 이전에 실질적으로 오로지 실란 중에서 소킹된다(즉, 도 1의 단계 (103)에서). 텅스텐-함유 전구체는 텅스텐 노출 단계 (106) 동안에 비교적 소량의 수소 가스와 병류된다. 텅스텐-함유 전구체와 병류되는 수소의 양은 실질적으로 CVD 증착이 존재하지 않도록 충분히 낮다. 비교적 낮은 수소의 흐름은 단계 110에서 환원을 위해 요구되는 수소의 양에 대한 것으로서, 병류되는 텅스텐 전구체의 양에 대한 것이 아니다. 예를 들어, 수소는 약 5 sccm에서 병류된다 (여기서, 이는 환원을 위해 약 2000 배 이상이다). 일부 구체예들에서, 텅스텐-함유 화합물 및 수소를 함유한 제1 반응 가스는 원자 기준으로 텅스텐 보다 많은 수소를 갖는다. 하나 이상의 구체예들에서, 텅스텐-함유 전구체 대 수소의 혼합물은 약 1:2 내지 1:20의 범위이다. 본 발명자들은 놀랍게도, 실란 소크 및 수소 병류를 이용한 공정들로 증착된 텅스텐 필름이 다른 공정들에 비해 보다 큰 입자 크기 및 보다 낮은 저항률을 가짐을 발견하였다. 표준 공정 (즉, 실란 사전소크가 없고/거나 수소 병류가 없음)에 의해 증착된 70 Å 텅스텐 필름은 33 Å의 입자 크기를 갖는다. 실란 소크 및 수소 병류를 이용한 70 Å 텅스텐 필름에 대한 입자 크기는 약 70 Å이다. 일부 구체예들에서, 텅스텐 필름의 두께가 약 70Å일 때에, 텅스텐 필름은 약 60Å 또는 65Å 이상의 입자 크기를 갖는다. 표준 공정에 의해 증착된 200Å 텅스텐 필름은 약 40 μΩ·cm의 저항률을 가지며, 실란 소크 및 수소 병류 공정에 의해 증착된 필름은 약 20 μΩ·cm의 저항률을 갖는다. 일부 구체예들에서, 텅스텐 필름이 약 200Å의 두께를 가질 때에, 텅스텐 필름은 약 20 μΩ·cm 또는 25 μΩ·cm 이하의 저항률을 갖는다.
다음으로, 단계 (108)에서, 공정 챔버 (특히, 시간-도메인 ALD에서)는 불활성 가스를 사용하여 퍼징될 수 있다. (이는 반응 가스들을 분리시키는 가스 커튼이 존재하기 때문에 공간적 ALD 공정들에서 요구되지 않을 수 있다.) 불활성 가스는 임의의 불활성 가스, 예를 들어 아르곤, 헬륨, 네온, 등일 수 있다. 일부 구체예들에서, 불활성 가스는 동일할 수 있거나, 대안적으로, 106에서 제1 공정 가스에 기판의 노출 동안에 공정 챔버에 제공되는 불활성 가스와 상이할 수 있다. 불활성 가스가 동일한 구체예들에서, 퍼지는 제1 공정 가스를 공정 챔버로부터 전환시킴으로써 수행되어, 불활성 가스를 공정 챔버를 통해 흐르게 할 수 있고, 이에 의해 공정 챔버에서 임의의 과량의 제1 공정 가스 성분들 또는 반응 부산물들을 퍼징시킬 수 있다. 일부 구체예들에서, 불활성 가스는 상술된 바와 같은, 제1 공정 가스와 함께 사용되는 동일한 유량으로 제공될 수 있거나, 일부 구체예들에서, 유량은 증가되거나 감소될 수 있다. 예를 들어, 일부 구체예들에서, 불활성 가스는 공정 챔버를 퍼징시키기 위해 약 0 내지 약 10000 sccm의 유량으로 공정 챔버에 제공될 수 있다. 공간적 ALD에서, 퍼지 가스 커튼들은 반응 가스들의 흐름 사이에 유지되며, 공정 챔버를 퍼징시키는 것은 필수적이지 않을 수 있다. 그러나, 일부 구체예에서, 공정 챔버는 불활성 가스로 퍼징될 수 있다.
불활성 가스의 흐름은 제1 공정 가스 및 제2 공정 가스의 원치않는 가스상 반응들을 방지하기 위해 공정 챔버로부터 임의의 과량의 제1 공정 가스 성분들 및/또는 과량의 반응 부산물들의 제거를 촉진시킬 수 있다. 예를 들어, 불활성 가스의 흐름은 공정 챔버로부터 과량의 텅스텐-함유 가스를 제거할 수 있으며, 이에 의해 텅스텐 전구체와 후속 반응 가스 간의 반응을 방지할 수 있다.
다음으로, 단계 (110)에서, 기판은 제2 시간 동안 제2 공정 가스에 노출된다. 제2 공정 가스는 증착된 필름을 생성시키기 위해 기판 표면 상에서 텅스텐-함유 화합물과 반응한다. 제2 공정 가스는 얻어진 텅스텐 필름 상에 중대한 영향을 미칠 수 있다. 예를 들어, 제2 공정 가스가 H2일 때에, 텅스텐 필름이 증착되지만, 제2 반응 가스가 실란 또는 디실란일 때에, 텅스텐 실리사이드 필름이 증착된다.
일부 구체예들에서, 제2 반응 가스는 수소를 포함하며, 형성된 얻어진 필름은 텅스텐 필름이다. 수소 가스는 기판 표면에 텅스텐-함유 가스 농도 보다 큰 유량으로 공급될 수 있다. 하나 이상의 구체예들에서, H2의 유량은 텅스텐-함유 가스 보다 약 1배 초과, 또는 텅스텐-함유 가스 보다 약 100배 초과, 또는 텅스텐-함유 가스 보다 약 3000 내지 5000배 범위이다. 수소 가스는 시간-도메인 ALD에서, 약 1초 내지 약 30초의 범위, 또는 약 5초 내지 약 20초의 범위, 또는 약 10초 내지 약 15초의 범위의 시간 동안에 공급될 수 있다. 수소 가스는 약 1 Torr 내지 약 30 Torr의 범위, 또는 약 5 Torr 내지 약 25 Torr의 범위, 또는 약 10 Torr 내지 약 20 Torr의 범위, 또는 최대 약 50 Torr의 압력으로 공급될 수 있다. 기판 온도는 임의의 적합한 온도에서 유지될 수 있다. 하나 이상의 구체예들에서, 기판은 약 475℃ 미만의 온도로 유지되거나, 텅스텐-함유 필름 증착 동안 기판과 대략적으로 동일한 온도로 유지된다.
일부 구체예들에서, 피쳐의 콘포멀한 커버리지(conformal coverage)는 펄스 및 퍼지 단계 둘 모두에서 짧은 단계 시간, 통상적으로 약 1 내지 2초를 이용하여 수행된다. 이러한 시간은 펄스 단계에서 기판 표면 상에 전구체의 적절한 흡착, 및 퍼지 단계에서 가스 전달 경로 뿐만 아니라 챔버 공동으로부터 과량의 전구체들 또는 환원 가스들을 퍼징시키기 위해 충분하다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "적절한 흡착"은 필름을 성장시키기 위한 충분한 흡착이다. 일부 구체예들에서, 텅스텐 필름은 약 0.9 Å/사이클, 0.8 Å/사이클, 0.7 Å/사이클, 또는 0.6 Å/사이클 이하의 속도로 성장된다. 보다 낮은 성장 속도는 콘포멀한 성장을 위해 양호하지만, 보다 높은 성장 속도 (예를 들어, 약 1 Å/사이클 초과)는 비-콘포멀한 필름을 성장시키는 경향이 있다. 본 발명의 하나 이상의 구체예들은 콘포멀한 텅스텐 필름들에 관한 것이다.
일부 구체예들에서, 제2 반응 가스는 수소 라디칼들을 포함한다. 수소 라디칼들은 "고온-와이어(hot-wire)"에 수소 가스의 노출을 포함하는 임의의 적합한 수단에 의해 발생될 수 있다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "고온-와이어"는 구성요소 둘레에 흐르는 가스 중의 라디칼들을 발생시키기에 충분한 온도로 가열될 수 있는 임의의 구성요소를 의미한다. 이는 또한 발열체로서 지칭된다.
이에 따라, 본 발명의 하나 이상의 구체예들은 기판을 가공하거나 필름을 증착하는 방법들에 관한 것이다. 기판의 적어도 일부는 금속 착물을 포함하는 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출된다. 금속 착물은 유기금속 착물들을 포함하지만 이로 제한되지 않는 ALD 가공을 위한 임의의 적합한 금속 착물일 수 있다. 일부 구체예들에서, 유기금속 착물은 텅스텐-함유 화합물을 포함한다. 일부 구체예들의 텅스텐-함유 화합물은 실험식 WCl5 (예를 들어, WCl5, W2Cl10)를 갖는다. 하나 이상의 구체예들에서, 텅스텐-함유 화합물은 실험식 WCl6 (예를 들어, WCl6, W2Cl12)을 갖는다. 제2 반응 가스는 수소 라디칼들을 포함할 수 있다. 일부 구체예들의 방법은 공간적 원자층 증착 챔버에서 또는 공간적 원자층 증착 공정에 의해 실행된다. 공간적 ALD는 라디칼을 발생시키기 위해 사용되는 디바이스 (예를 들어, 고온 와이어)가 흔히 고온 와이어 물질과 양립 가능하지 않은 금속 유기 전구체들로부터 분리될 수 있기 때문에, 수소 라디칼들을 갖는 텅스텐 필름의 증착에 있어서 특히 효과적일 수 있다.
고온 와이어, 또는 발열체를 통과하는 동안에 제2 반응 가스 (예를 들어, 수소)는 라디칼화된다. 예를 들어, 고온 텅스텐 와이어를 통과하는 H2는 H*를 발생시킬 수 있다. 이러한 수소 라디칼들은 바닥 상태의 수소 원자들 보다 더욱 반응적이다.
효과적으로, 발열체는 라디칼들을 생성시키기에 충분한 온도로 가열되어야 한다. 가열은 예를 들어, 발열체의 온도를 상승시키기 위해 발열체를 통해 충분한 전류를 통과시킴으로써 일어날 수 있다.
다음으로, 112에서, 공정 챔버는 불활성 가스를 사용하여 퍼징될 수 있다. 불활성 가스는 임의의 불활성 가스, 예를 들어 아르곤, 헬륨, 네온 등일 수 있다. 일부 구체예들에서, 불활성 가스는 동일할 수 있거나, 대안적으로, 이전 공정 단계들 동안에 공정 챔버에 제공되는 불활성 가스와 상이할 수 있다. 불활성 가스가 동일한 구체예들에서, 퍼지는 제2 공정 가스를 공정 챔버로부터 전환시킴으로써 수행되어, 불활성 가스를 공정 챔버를 통해 흐르게 할 수 있으며, 이에 의해 공정 챔버에서 임의의 과량의 제2 공정 가스 성분들 또는 반응 부산물들을 퍼징시킬 수 있다. 일부 구체예들에서, 불활성 가스는 상술된 제2 공정 가스와 함께 사용되는 동일한 유량으로 제공되거나, 일부 구체예들에서, 유량은 증가되거나 감소될 수 있다. 예를 들어, 일부 구체예들에서, 불활성 가스는 공정 챔버를 퍼징하기 위해 약 0 내지 약 10,000 sccm의 유량으로 공정 챔버에 제공될 수 있다.
도 1에 도시된 가공 방법의 포괄적인 구체예가 단지 두 개의 반응 가스들의 펄스들을 포함하지만, 이는 단지 예시적인 것으로서 추가의 반응 가스들의 펄스들이 요구될 수 있는 것으로 이해될 것이다. 예를 들어, 일부 구체예들의 니트라이드 필름은 텅스텐 펜타클로라이드와 같은 전구체 가스를 함유한 제1 펄스, 환원제를 갖는 제2 펄스에 의해, 이후에 퍼징 및 니트라이드화를 위한 제3 펄스에 의해 성장될 수 있다. 이러한 펄스들은 이의 전체적으로 또는 부분적으로 반복될 수 있다. 예를 들어, 모두 세 개의 펄스들은 반복될 수 있거나, 단지 두 개의 펄스가 반복될 수 있다. 이는 요망되는 경우, 각 사이클에 대해 달라질 수 있다.
다음으로, 114에서, 텅스텐-함유 층이 사전결정된 두께를 달성하였는지의 여부가 결정된다. 사전결정된 두께가 달성되지 않은 경우에, 방법(100)은 104로 되돌아가서 사전결정된 또는 요망되는 두께에 도달할 때까지 텅스텐-함유 층을 연속적으로 형성한다. 사전결정된 두께가 도달된 직후에, 방법(100)은 종결되거나 116으로 진행할 수 있으며, 여기서, 벌크 증착 공정은 나머지 두께의 텅스텐-함유 층을 증착시키기 위해 수행될 수 있다. 일부 구체예들에서, 벌크 증착 공정은 CVD 공정일 수 있다. 요망되는 두께로 텅스텐-함유 층의 증착을 완료 시에, 방법(100)은 일반적으로 종결되며, 기판은 임의의 추가 가공을 위해 진행할 수 있다. 예를 들어, 일부 구체예들에서, CVD 공정은 타겟 두께로 텅스텐-함유 층을 벌크 증착시키기 위해 수행될 수 있다. 예를 들어 일부 구체예들에서, 텅스텐-함유 층은 약 10 내지 약 10,000 Å, 또는 일부 구체예들에서 약 10 내지 약 1000 Å, 또는 일부 구체예들에서, 약 500 내지 약 5,000 Å의 전체 층 두께를 형성시키기 위해 텅스텐 전구체 및 수소 라디칼들의 ALD 또는 CVD 반응을 통해 증착될 수 있다.
명세서에서는 일반적으로 제1 가스로서 텅스텐-함유 가스가 언급되어 있지만, 이러한 것은 단지 예시적인 것으로서 당업자에 의해 이해될 것이다. 일부 구체예들에서, 기판은 먼저 제2 반응 가스에 노출된 후에 텅스텐-함유 가스에 노출된다.
상기 구체예들의 임의의 구체예에서, 기판을 제1 공정 가스에 노출시키고, 불활성 가스로 퍼징시키고, 기판을 제2 공정 가스에 노출시키고, 불활성 가스로 퍼징시키는 것으로 이루어진 각 사이클은 기판 상에 약 0.1 내지 약 1.5Å의 두께를 갖는 텅스텐-함유 층을 형성시킬 수 있다. 일부 구체예들에서, 두께는 약 0.1 Å/사이클 내지 약 5 Å/사이클의 범위, 또는 약 0.2 Å/사이클 내지 약 3 Å/사이클의 범위, 또는 약 0.3 Å/사이클 내지 약 2 Å/사이클의 범위의 속도로 성장한다. 순서는 텅스텐-함유 층의 요망되는 전체 두께가 달성될 때까지 반복될 수 있다. 예를 들어, 일부 구체예들에서, 텅스텐-함유 층은 약 2 Å 내지 약 200 Å, 또는 일부 구체예들에서, 약 50 Å의 전체 두께를 포함할 수 있다. 이에 따라, 증착 공정은 요망되는 두께에 도달하게 하기 위하여 최대 약 2000회 사이클을 요구할 수 있다.
상기 구체예들 중 임의의 구체예에서, 각 펄스의 유량들 및/또는 기간들은 동일할 수 있거나 특정 텅스텐-함유 층을 형성시키기 위해 요구되는 전체 사이클들의 과정에 걸쳐 달라질 수 있고, 이에 의해 균일하거나 등급별 조성들 중 어느 하나를 갖는 층들을 촉진시킬 수 있다.
일부 구체예들에서, 기판 표면은 사전컨디셔닝된다. 예를 들어, 기판의 표면이 옥사이드인 경우에, 하이드라이드 또는 하이드라이드/수소 혼합물을 사용하여 사전-소크를 수행하는 것이 요망될 수 있다. 하이드라이드는 기판 표면과 흡착하고/거나 반응하여 컨디셔닝된 표면을 형성시키며, 이에 따라 균일한 텅스텐-함유 층이 형성될 수 있다. 일부 구체예들에서, 하이드라이드는 실란 (SixHy) 화합물들 (예를 들어, 실란 (SiH4), 디실란 (Si2H6), 트리실란 (Si3H8), 클로로실란, 디클로로실란 (H2SiCl2), 등), 보란 (BxHy) 화합물들 (예를 들어, 디보란 (B2H6), 트리보란 (B3H8), 펜타보란 (B5H9), 등), 포스핀 (PH3), 이들의 유도체들, 이들의 조합물, 등을 포함할 수 있다. 또한, 일부 구체예들에서, 하이드라이드는 희석 가스, 예를 들어 불활성 가스, 예를 들어 아르곤 (Ar), 헬륨 (He), 질소 (N2), 수소 (H2), 등에서 희석될 수 있다. 예를 들어, 이러한 구체예들에서, 하이드라이드는 약 5 부피% 하이드라이드와 약 95 부피% 희석 가스의 혼합물에 제공될 수 있다. 일부 구체예들에서, 예를 들어 하이드라이드가 디보란을 포함하는 경우에, 하이드라이드의 유량은 약 1 내지 약 75 sccm일 수 있다.
일 구체예에서, 텅스텐 필름은 10Å 이상의 금속성 층, 예를 들어 ALD TiN, TiSiN, TiAl, PVD Ti, TiN을 갖는 기판을 배치시킴으로써 형성될 수 있거나, 옥사이드인 경우에, 약 400 내지 약 475℃ 범위의 온도에서 유지되는 받침대(pedestal) 상에 5 내지 20 Torr 분압에서 디실란, 또는 수소와 실란의 혼합물 중 어느 하나로 소킹시킬 수 있다. 텅스텐-함유 화합물 (예를 들어, WCl5 또는 WCl6)은 웨이퍼 표면에 5 내지 20 Torr에서 아르곤의 혼합물로 펄스화된 후에, 아르곤 (또는 다른 불활성 가스)로 퍼징될 수 있다. 아르곤 압력은 약 20 Torr로 증가되며, 이후에 수소 펄스화가 시작된다. 수소 라디칼 펄스화는, 텅스텐-함유 화합물에 대한 수소의 비율이 약 10 내지 15초 동안에 약 3000 내지 5000의 범위이도록 높은 흐름에서 수행될 수 있다. 챔버는 5 내지 10초 동안 아르곤 (또는 다른 불활성 가스)으로 퍼징된다. 사이클은, 텅스텐 필름의 성장이 명시된 두께에 도달할 때까지 반복된다.
본 발명의 일부 구체예들은 텅스텐 함유 필름들에 관한 것이다. 이러한 필름은 텅스텐 금속 필름들, 텅스텐 니트라이드들, 실리사이드 및 텅스텐 규소 니트라이드를 포함한다. 텅스텐 함유 필름들은 p-금속 일 함수 층들 및 충진재들을 포함하지만, 이로 제한되지 않는 임의의 수의 적합한 목적을 위해 사용될수 있다.
이에 따라, 본 발명의 일부 구체예들은 논리 및 메모리 적용 둘 모두에서, 전계효과 트랜지스터 (FET) 디바이스들에서 금속 게이트들을 제작하기 위해 p-금속 일 함수 층으로서 사용되는 불소-부재 텅스텐 필름들에 관한 것이다. 기술된 공정들에 의해 성장된 필름은 현재 게이트 금속으로서 사용되는 다른 필름들 보다 매우 낮은 저항률 및 보다 높은 열 안정성 (최대 1000℃로 어닐링될 수 있음)을 포함하는 유의미한 잇점들을 갖는다. 열 안정성이 특히 메모리 적용들에서 VNAND 및 BWL에 대해 중요하다. 본 발명의 하나 이상의 구체예들은 약 4.6 eV 또는 약 4.7 eV, 또는 약 4.8 eV 보다 큰 일 함수를 갖는 텅스텐 필름들에 관한 것이다. 일 함수 금속은 FET의 게이트 옥사이드 상에 증착될 수 있다. 일 함수 금속은 전류 흐름에 대한 문턱값을 조절한다. 낮은 문턱값은 보다 낮은 에너지 사용을 필요로 하며, 이에 따라 보다 전도성 금속이 더욱 양호하다. 공지된 공정들에 의해 증착된 텅스텐 필름들은 통상적으로 약 4.45 eV의 일 함수를 갖는 필름을 야기시킨다.
본 발명의 일부 구체예들은 채널 위에 배치된 유전체 층을 포함하는 집적 회로 트랜지스터 소자들에 관한 것이다. 일 함수 금속은 유전체 층 위에 배치되며, 텅스텐을 필수적으로 포함하는 충진층은 일 함수 층 위에 증착된다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 바와 같이, 이와 관련하여 사용되는 용어 "텅스텐을 필수적으로 포함하는"은 충진층이 약 95%, 98% 또는 99% 초과의 텅스텐임을 의미한다. 일부 구체예들의 일 함수 층은 Ti 및 TiAl 중 하나 이상을 포함한다. 하나 이상의 구체예들에서, 일 함수 금속은 실질적으로 불소를 함유하지 않는다. 일부 구체예들에서, 충진층은 실질적으로 불소를 함유하지 않는다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "실질적으로 불소를 함유하지 않는다"는 것은 원자 기준으로 필름 중에 약 5%, 4%, 3%, 2%, 1%, 0.5% 또는 0.1% 미만의 불소가 존재함을 의미한다. 일부 구체예들에서, 일 함수 금속과 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재하지 않는다.
하나 이상의 구체예들에서, 일 함수 금속과 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재한다. 개재층은 집적 회로 트랜지스터의 의도된 용도에 따라 임의의 적합한 두께를 가질 수 있다. 일부 구체예들에서, 개재층은 약 7 Å, 6 Å, 5 Å, 4 Å 또는 3 Å 미만의 두께를 갖는다.
일부 구체예들에서, 텅스텐 함유 필름은 낮은 저항률 충진재로서 사용된다. 완전한 심리스 충진(seanless fill)을 달성하기 위하여, 주기적 처리 (예를 들어, 매 10 내지 30Å 필름 후)가 적용된다. 처리 방법은 (a) TiCl4 및 암모니아에 교대 노출; (b) TiCl4 소크를 수행, 또는 (c) 10 내지 30초 동안 수소 직접 또는 원거리 플라즈마 노출을 포함한다. 처리는 증착 공정과 동일한 온도에서 수행될 수 있다. 기술된 공정들은 표면을 재생시키고 증착 동안에 가외 클로라이드를 제거하기 위해 약 0.7Å TiN (1Å 미만의 TiN)을 증착시킬 것이다. 이러한 구체예들에 증착된 TiN의 양은 단일층의 약 ½ 미만, 또는 TiN의 단일층의 약 1/3 내지 약 1/5 범위이다.
기술된 다양한 텅스텐 필름들이 예를 들어, (1) 실란 소크를 이용한 핵형성 및 필름 성장 반복능력; (2) 초기의 하나 또는 두 개의 텅스텐 실리사이드 층을 성장시킴으로써 옥사이드들 상의 접착; (3) 암모니아에서 소킹시킨 후에 (핵형성 밀도를 증가시키기 위해) 실란에서 소킹시킴으로써 거칠기의 감소, (4) (옥사이드 또는 산화된 표면 상에) TiCl4/NH3 사이클에 의해 계면에서 약 1Å 미만의 TiN를 증착시킴; 및 (5) (임의의 적합한 방법 및 시약들에 의해 증착된) 양호한 핵형성, 접착 및 콘포말성을 제공하기 위한 얇은 TiN의 집적화(integration)를 위해 유용할 수 있다.
도 2는 본 발명의 시간-도메인 ALD 구체예들을 수행하기 위해 사용될 수 있는 장치의 일 구체예의 개략적 단면도를 도시한 것이다. 이러한 장치는 기판들을 가공하기 위한 임의의 적합한 장치, 예를 들어 GEMINI ALD 챔버 또는 Centura ALD 챔버 (둘 모두는 Applied Materials, Inc. (Santa Clara, Calif)로부터 입수 가능)일 수 있다.
도 2의 장치는 일반적으로 내부 부피(234)를 한정하기 위해 챔버 바디(206) 및 챔버 바디(206)의 상단 표면(210) 상에 배치된 챔버 뚜껑(270)을 갖는 공정 챔버(200)이다. 내부 부피(234)에 배치된 기판 지지체(212)는 기판 수용 표면(214) 상에 기판(220)을 지지한다. 기판 지지체(212) (또는 받침대)는 기판 지지체(212) 및 그 위에 배치된 기판(220)을 상승시키거나 하강시키기 위해 리프트 모터(228)에 탑재된다. 리피트 모터(218)에 연결된 리프트 플레이트(216)는 공정 챔버(200)에 탑재되고, 기판 지지체(212)를 통해 이동 가능하게 배치된 핀들(222)을 상승시키거나 하강시킨다. 핀들(222)은 기판 지지체(212)의 표면 위에서 기판(220)을 상승시키거나 하강시킨다. 일부 구체예들에서, 기판 지지체(212)는 기판(220)을 기판 지지체(212)에 고정시키기 위한 진공 척(vacuum chuck), 정적 척(electrostatic chuck), 또는 클램프 고리를 포함한다. 챔버 바디(206)의 벽(204)에 형성된 개구(208)는 공정 챔버(200)로 그리고 이의 밖으로 기판의 진입 및 출구를 용이하게 한다.
기판 지지체(212)는 그 위에 배치된 기판(220)의 온도를 증가시키기 위해 가열된다. 예를 들어, 기판 지지체(212)는 엠베딩된 발열체, 예를 들어 저항식 히터를 이용하여 가열될 수 있거나, 복사열, 예를 들어 기판 지지체(212) 위에 배치된 가열 램프들을 이용하여 가열될 수 있다. 퍼지 고리(224)는 기판 위에 증착을 방지하기 위해 기판(220)의 원주 부분으로 퍼지 가스를 제공하는 퍼지 채널(226)을 규정하기 위해 기판 지지체(212) 상에 배치된다.
배기 시스템(231)은 임의의 요망되지 않는 가스들을 공정 챔버(200)로부터 배기시키기 위해 펌핑 채널(232)과 소통한다. 배기 시스템(231)은 또한 공정 챔버(200) 내측에서 요망되는 압력 또는 요망되는 압력 범위를 유지시키는데 도움을 준다.
가스 전달 시스템(250)은 공정 챔버(200)에 전구체들, 공정 가스들, 운반 가스들 및/또는 퍼지 가스들을 제공하기 위해 챔버 바디(206)에 연결된다. 가스 전달 시스템(250)은 일반적으로 복수의 가스 소스들 (6개가 도시됨, 252, 253, 255, 265, 267, 269)을 갖는 가스 패널(251) 및 가스 패널(251)에서 공정 챔버(200)로의 가스 흐름을 조절하기 위한 하나 이상의 도관들 (예를 들어, 도관들 (256, 258))에 연결된 복수의 밸브들 (두 개가 도시됨, 257, 259)을 포함할 수 있다. 일부 구체예들에서, 복수의 가스 소스들(252, 253, 255, 265, 267, 269)은 복수의 가스 소스들(252, 253, 255, 265, 267, 269) 각각이 별개의 가스 (예를 들어, 전구체, 공정 가스, 운반 가스, 퍼지 가스 등), 예를 들어 도 1과 관련하여 상술된 가스들을 제공할 수 있도록 구성될 수 있다.
일부 구체예들에서, 예를 들어 도 2에 도시된 바와 같이, 가스 패널(251)은 공정 챔버(200)에 도달하기 전에 복수의 가스 소스들(252, 253, 255, 265, 267, 269)에 의해 제공된 가스들 중 일부를 합하기 위해 구성될 수 있다. 일부 구체예들에서, 하나 이상의 밸브들(257, 259)은 복수의 가스 소스들(252, 253, 255, 265, 267, 269)에 의해 제공된 가스의 흐름을 조절하기 위해 도관들(256, 261)을 따라 배치될 수 있다. 밸브들(257, 259)은 가스 패널(251)에 의해 제공된 가스의 펄스화를 촉진시키기 위한, 임의의 타입의 밸브, 예를 들어 스위칭 밸브, 고속 밸브, 스톱 밸브, 등일 수 있다. 일부 구체예들에서, 예를 들어, 도 2에 도시된 바와 같이, 밸브들(257, 259)은 양 방향 밸브, 예를 들어, 배기 시스템(230, 271)에 연결된 도관들(261, 273)을 통해 가스의 흐름을 공정 챔버(200)로부터 전환시키도록 구성된 전환기 밸브일 수 있다. 배기 시스템들(230, 231, 및 271)은 각각 동일한 배기 시스템일 수 있거나, 수명을 줄어들게 할 수 있거나 배기 시스템의 부품들 (예를 들어, 펌프들, 도관들, 밸브들 등)의 유지보수 및/또는 세정을 필요로 할 수 있는 배기 시스템 내에서의 물질들의 반응 및/또는 증착을 방지하기 위해 일부 또는 전부 별개의 시스템들일 수 있다. 이러한 구체예들에서, 밸브들(257, 259)은 하나 이상의 가스들을 동시에 선택적으로 조절하기 위해 적합한 개개의 도관들(256, 258)을 따라 임의의 위치에 위치될 수 있다. 예를 들어, 밸브(257) (제1 밸브)는 도 2에 도시된 바와 같이, 가스들을 도관(256)을 통해 공정 챔버(200)로 선택적으로 제공하거나 가스들을 도관(261)을 통해 배기 시스템(230)으로 전환시키기 위해 제1 가스 소스(252) 및 제2 가스 소스(255)를 연결시키는 접합부(263)의 다운스트림에 배치될 수 있다. 또한, 일부 구체예들에서, 밸브(259) (제2 밸브)는 가스들을 도관(258)을 통해 공정 챔버(200)로 선택적으로 제공하거나 가스들을 도관(273)을 통해 배기 시스템(271)으로 전환시키기 위해 제5 가스 소스(253)의 다운스트림에 배치될 수 있다. 일부 구체예들에서, 제6 가스 소스(269)는 제6 가스 소스(269)에 의해 제공된 가스들에 제5 가스 소스(253)로부터의 가스들을 제공하기 위하여 밸브(259)의 업스트림 (도시됨) 또는 밸브(259)의 다운스트림에서 제5 가스 소스(253)에 연결될 수 있다.
일부 구체예들에서, 하나 이상의 흐름 제한기 (미도시됨)는 밸브들(257, 259) 이전 및/또는 이후에 도관(256)을 따라 배치될 수 있다. 하나 이상의 흐름 제한기들의 포함은 가스의 흐름이 공정 챔버로 또는 공정 챔버로부터 전환될 때에 도관(256) 내의 압력의 편차를 감소시킬 수 있으며, 이에 의해 일정한 양의 가스 소스들(252, 253, 255)에 의해 제공된 가스들을 전달할 수 있다.
일부 구체예들에서, 예를 들어, 고체 또는 액체 전구체가 사용되는 경우에, 가스 전달 시스템(250)은 또한 하나 이상의 앰플들을 포함할 수 있다. 이러한 구체예들에서, 하나 이상의 앰플들은 고체 또는 액체 전구체를 함유하거나 공정 챔버(200)로의 전달을 위해 가스상 형태로 승화하도록 구성될 수 있다.
도 2로 돌아가서, 챔버 뚜껑(270)의 하단 표면(272)의 적어도 일부는 팽창 채널(274)에서 챔버 뚜껑(270)의 주변 부분으로 점점 가늘어질 수 있다. 팽창 채널(274)은 기판 (220)의 표면을 가로질러 (즉, 기판의 중심에서 기판의 에지로) 팽창 채널(274)로부터의 가스 흐름의 속도 프로파일을 개선시킨다. 일부 구체예들에서, 하단 표면(272)은 하나 이상의 테이퍼링된 표면들, 예를 들어 직선 표면, 오목한 표면, 볼록한 표면, 또는 이들의 조합을 포함한다. 일부 구체예들에서, 하단 표면(272)은 깔대기의 형태로 점점 가늘어진다. 팽창 채널(274)은 승화된 전구체 및 운반 가스를 도관(256)에서 기판(220)으로 전달하기 위한 가스 유입구의 하나의 예시적인 구체예이다. 다른 가스 유입구들, 예를 들어, 깔대기, 비-테이퍼링 채널, 노즐들, 샤워헤드들, 등이 가능하다.
제어기(240), 예를 들어 프로그래밍된 개인용 컴퓨터, 워크 스테이션 컴퓨터, 등이 공정 챔버(200)에 연결된다. 예시적으로, 제어기(240)는 중앙처리장치(CPU; 242), 지지 회로(244), 및 관련 제어 소프트웨어(248)를 함유한 메모리(246)를 포함한다. 제어기(240)는 공정 챔버에서 수행되는 공정들, 예를 들어 도 1과 관련하여 상술된 ALD 공정의 작업 조건들을 제어한다. 예를 들어, 제어기(240)는 증착 사이클의 상이한 스테이지들 동안에 가스 전달 시스템(250)에서 공정 챔버(200)로의 다양한 전구체 가스들 및 퍼지 가스들의 흐름을 제어하도록 구성될 수 있다.
도 3은 본 발명의 하나 이상의 구체예들에 따른 공간적 원자층 증착 시스템(300) 또는 반응기의 개략적 단면도이다. 시스템(300)은 로드 로크 챔버(load lock chamber)(301) 및 가공 챔버(302)를 포함한다. 가공 챔버(302)는 일반적으로 밀봉 가능한 엔클로저(enclosure)로서, 진공 하에서 또는 적어도 낮은 압력에서 작동된다. 가공 챔버(302)는 분리 밸브(303)에 의해 로드 로크 챔버(301)로부터 분리된다. 분리 밸브(303)는 폐쇄 위치에서 로드 로크 챔버(301)로부터 가공 챔버(302)를 밀봉하고, 기판(360)을 개방 위치에서 밸브를 통해 로드 로크 챔버(301)에서 가공 챔버(302)로 그리고 반대로 이동시킬 수 있다.
시스템(300)은 기판(360)을 가로질러 하나 이상의 가스들을 분배할 수 있는 가스 분배 어셈블리(310)를 포함한다. 가스 분배 어셈블리(310)는 당업자에게 알려진 임의의 적합한 분배 플레이트일 수 있으며, 기술된 특정의 가스 분배 어셈블리들은 본 발명의 범위를 제한하는 것으로 여겨지지 않을 것이다. 가스 분배 어셈블리(310)의 배출면은 기판(360)의 제1 표면(361)을 향한다.
가스 분배 어셈블리(310)는 하나 이상의 가스 스트림들을 기판(360)으로 전달하도록 구성된 복수의 가스 포트들, 및 각 가스 포트 사이에 배치되고 가공 챔버(302)로부터 가스 스트림들을 전달하도록 구성된 복수의 진공 포트들을 포함한다. 도 3의 상세한 구체예에서, 가스 분배 어셈블리(310)는 제1 전구체 주입기(320), 제2 전구체 주입기(330), 및 퍼지 가스 주입기(340)를 포함한다.
주입기들(320, 330, 340)은 시스템 컴퓨터(미도시됨), 예를 들어 메인프레임에 의해, 또는 챔버-특이적 제어기, 예를 들어 프로그램 가능한 논리 제어기에 의해 제어될 수 있다. 전구체 주입기(320)는 화합물 A의 반응성 전구체의 연속 (또는 펄스) 스트림을 복수의 가스 포트들(325)을 통해 가공 챔버(302)로 주입하도록 구성된다. 전구체 주입기(330)는 화합물 B의 반응성 전구체의 연속 (또는 펄스) 스트림을 복수의 가스 포트들(335)을 통해 가공 챔버(302)로 주입하도록 구성된다. 퍼지 가스 주입기(340)는 비-반응성 또는 퍼지 가스의 연속 (또는 펄스) 스트림을 복수의 가스 포트들(345)을 통해 가공 챔버(302)로 주입하도록 구성된다. 퍼지 가스는 가공 챔버(302)로부터 반응성 물질 및 반응성 부산물들을 제거하는데 도움을 준다. 퍼지 가스는 통상적으로 불활성 가스, 예를 들어 질소, 아르곤 및 헬륨이다. 가스 포트들(345)은 화합물 A의 전구체를 화합물 B의 전구체와 분리시키기 위하여 가스 포트들(325)과 가스 포트들(335) 사이에 배치되고, 이에 의해 전구체들 간의 교차-오염을 방지한다. 일부 구체예들의 가스 포트들(325, 335, 345) 및 진공 포트들(355)은 채널이 기판의 일부를 가로질러 연장하도록 기판 표면 쪽으로 (또는 이로부터 멀어지게) 향하는 가스의 채널을 형성하는 긴 가스 포트들이다.
다른 양태에서, 원격 플라즈마 소스 (미도시됨)는 전구체들을 챔버(302)에 주입하기 전에 전구체 주입기(320) 및 전구체 주입기(330)에 연결될 수 있다. 반응성 종들의 플라즈마는 원격 플라즈마 소스 내에서 화합물에 전기장을 인가함으로써 발생될 수 있다. 의도된 화합물들을 활성화시킬 수 있는 임의의 전원이 사용될 수 있다. 예를 들어, DC, 무선 주파수 (RF), 및 마이크로파(MW) 기반 방전 기술들을 이용한 전원들이 사용될 수 있다. RF 전원이 사용되는 경우에, 이는 용량적으로 또는 유도성으로 결합될 수 있다. 활성화는 또한 열 기반 기술, 가스 분해(gas breakdown) 기술, 고강도 광원 (예를 들어, UV 에너지), 또는 X-선 소스에 대한 노출에 의해 발생될 수 있다. 예시적인 원격 플라즈마 소스들은 MKS Instruments, Inc. 및 Advanced Energy Industries, Inc의 판매회사(vendor)들로부터 입수 가능하다.
시스템(300)은 가공 챔버(302)에 연결된 펌핑 시스템(350)을 추가로 포함한다. 펌핑 시스템(350)은 일반적으로 가스 스트림들을 하나 이상의 진공 포트들(355)을 통해 가공 챔버(302)로부터 배기하도록 구성된다. 용어 "진공 포트"는 "펌프 포트"와 교호적으로 사용된다. 진공 포트들(355)은 가스 스트림들이 기판 표면과 반응한 후에 가공 챔버(302)로부터 가스 스트림들을 배기시키고 전구체들 간의 교차-오염을 추가로 한정하기 위해 각 가스 포트 사이에 배치된다.
시스템(300)은 각 포트 사이에 가공 챔버(302)에 배치된 복수의 구획들(363)을 포함한다. 각 구획(363)의 하부 부분은 기판(360)의 제1 표면(361) 가까이로 연장한다. 예를 들어, 제1 표면(361)로부터 약 0.5 mm 이상. 이러한 방식으로, 구획들(363)의 하부 부분들은 기판 표면(361)으로부터, 가스 스트림들이 기판 표면(361)과 반응한 후에 하부 부분들 둘레에서 진공 포트들(355) 쪽으로 가스 스트림들을 흐르게 하기에 충분한 거리까지 분리된다. 화살표(398)는 가스 스트림들의 방향을 지시하는 것이다. 구획들(363)이 가스 스트림들에 대한 물리적 배리어로서 작동하기 때문에, 이러한 것들은 또한 전구체들 간의 교차-오염을 제한한다. 도시된 배열은 단지 예시적인 것으로서, 본 발명의 범위를 제한하는 것으로 고려되지 않아야 한다. 당업자에 의해 도시된 가스 분배 시스템이 단지 하나의 가능한 분배 시스템이며, 다른 타입의 샤워헤드들이 이용될 수 있다는 것으로 이해될 것이다.
작동 시에, 기판(360)은 로드 로크 챔버(301)로 (예를 들어, 로보트에 의해) 전달되고, 셔틀(365) 상에 배치된다. 분리 밸브(303)가 개방된 후에, 셔틀(365)은 트랙(370)을 따라 이동된다. 셔틀(365)이 가공 챔버(302)에 진입한 직후에, 분리 밸브(303)가 닫혀서 가공 챔버(302)를 밀봉시킨다. 셔틀(365)은 이후에 가공을 위해 가공 챔버(302)를 통해 이동된다. 일 구체예에서, 셔틀(365)은 챔버를 통해 선형 경로로 이동된다.
기판(360)이 가공 챔버(302)를 통해 이동함에 따라, 기판(360)의 제1 표면(361)은 가스 포트들(325)로부터 유입하는 화합물 A의 전구체 및 가스 포트들(335)로부터 유입하는 화합물 B의 전구체에 반복적으로 노출되며, 이들 사이에 가스 포트들(345)로부터 유입하는 퍼지 가스에 노출된다. 퍼지 가스의 주입은 기판 표면(361)을 다음 전구체에 노출시키기 전에 이전 전구체로부터 미반응된 물질을 제거하도록 설계된다. 다양한 가스 스트림들 (예를 들어, 전구체들 또는 퍼지 가스)에 대한 각 노출 후에, 가스 스트림들은 펌핑 시스템(350)에 의해 진공 포트들(355)을 통해 배기된다. 진공 포트가 각 가스 포트의 양 측면 상에 배치될 수 있기 때문에, 가스 스트림들은 양 측면 상에 진공 포트들(355)을 통해 배기된다. 이에 따라, 가스 스트림들은 개개 가스 포트들로부터 수직 하향으로 기판(360)의 제1 표면(361) 쪽으로, 기판 표면(361)을 가로질러 그리고 구획들(363)의 하부 부분들 둘레로, 그리고 상향으로 마지막으로 진공 포트들(355) 쪽으로 흐른다. 이러한 방식으로, 각 가스는 기판 표면(361)을 가로질러 균일하게 분포될 수 있다. 화살표들(398)은 가스 흐름의 방향을 지시하는 것이다. 기판(360)은 또한, 다양한 가스 스트림들에 노출되는 동안에 회전될 수 있다. 기판의 회전은 형성된 층들에서 스트립들의 형성을 방지하는데 유용할 수 있다. 기판의 회전은 연속적이거나 별도의 단계들일 수 있다.
일반적으로, 가공 챔버(302)에서 마지막 가스 포트에 의한 완전한 노출을 확보하기 위하여 가공 챔버(302)의 단부에 충분한 공간이 제공된다. 기판(360)이 가공 챔버(302)의 단부에 도달한 직후에 (즉, 제1 표면(361)이 챔버(302)에서의 모든 가스 포트에 완전히 노출된 직후에), 기판(360)은 로드 로크 챔버(301) 쪽 방향으로 역으로 되돌아간다. 기판(360)이 로드 로크 챔버(301) 쪽으로 역으로 이동함에 따라, 기판 표면은 다시 화합물 A의 전구체, 퍼지 가스, 및 화합물 B의 전구체로 제1 노출로부터 역순으로 노출될 수 있다.
기판 표면(361)이 각 가스에 노출되는 크기는 예를 들어 가스 포트로부터 유입하는 각 가스의 유량들, 및 기판(360)의 이동 속도에 의해 결정될 수 있다. 일 구체예에서, 각 가스의 유량들은 기판 표면(361)으로부터 흡착된 전구체들을 제거하지 못하도록 구성된다. 각 구획 간의 폭, 가공 챔버(302) 상에 배치된 가스 포트들의 수, 및 기판의 전후로 진행되는 횟수는 또한, 기판 표면(361)이 다양한 가스들에 노출되는 크기를 결정할 수 있다. 그 결과, 증착된 필름의 양 및 질은 상기 언급된 인자들을 변화시킴으로써 최적화될 수 있다.
다른 구체예에서, 시스템(300)은 퍼지 가스 주입기(340) 없이, 전구체 주입기(320) 및 전구체 주입기(330)를 포함할 수 있다. 그 결과, 기판(360)이 가공 챔버(302)를 통해 이동함에 따라, 기판 표면(361)은 이들 사이에 퍼지 가스에 노출시키지 않으면서, 화합물 A의 전구체 및 화합물 B의 전구체에 교대로 노출될 것이다.
도 3에 도시된 구체예는 기판 위에 가스 분배 어셈블리(310)를 갖는다. 구체예들이 이러한 수직 배향과 관련하여 기술되고 도시되어 있지만, 반전된 배향이 또한 가능한 것으로 이해될 것이다. 그러한 상황에서, 기판(360)의 제1 표면(361)은 하향을 향할 것이며, 기판 쪽으로의 가스 흐름들은 상향을 향할 것이다.
또 다른 구체예에서, 시스템(300)은 복수의 기판들을 가공하도록 구성될 수 있다. 이러한 구체예에서, 시스템(300)은 제2 로드 로크 챔버 (로드 로크 챔버(301)의 반대쪽 단부에 배치됨) 및 복수의 기판들(360) 또는 하나 이상의 가스 분배 어셈블리들을 갖는 카로우젤(carousel) 가공 챔버를 포함할 수 있다. 기판들(360)은 로드 로크 챔버(301)로 전달되고 제2 로드 로크 챔버로부터 회수될 수 있다. 하나 이상의 구체예들에서, 적어도 하나의 복사열 램프(390)는 기판(360)의 제2 측면을 가열시키기 위해 정위된다.
일부 구체예들에서, 셔틀(365)은 기판(360)을 운반하기 위한 서셉터(366)이다. 일반적으로, 서셉터(366)는 기판에 걸쳐 균일한 온도를 형성시키는데 도움을 주는 운반체이다. 서셉터(366)는 로드 로크 챔버(301)와 가공 챔버(302) 사이에서 양 방향으로 (도 3의 배열에 대해, 왼쪽에서 오른쪽으로, 및 오른쪽에서 왼쪽으로) 이동 가능하다. 서셉터(366)는 기판(360)을 운반하기 위한 상부 표면(367)을 갖는다. 서셉터(366)는 기판(360)이 가공을 위해 가열될 수 있도록 가열된 서셉터일 수 있다. 일 예로서, 서셉터(366)는 서셉터(366) 아래에 배치된, 복사열 램프들(390), 가열판, 저항식 코일, 또는 다른 가열 디바이스들에 의해 가열될 수 있다.
또 다른 구체예에서, 서셉터(366)의 상부 표면(367)은 도 4에 도시된 바와 같이, 기판(360)을 수용하도록 구성된 리세스(recess; 368)를 포함한다. 서셉터(366)는 일반적으로, 기판 아래에 서셉터 물질이 존재하도록 기판의 두께 보다 더욱 두껍다. 상세한 구체예들에서, 리세스(368)는 기판(360)이 리세스(368)의 내측에 배치될 때에, 기판(360)의 제1 표면(361)이 서셉터(366)의 상부 표면(367)과 평평하도록 구성된다. 다르게 기술하면, 일부 구체예들의 리세스(368)는 기판(360)이 여기에 배치되었을 때에, 기판(360)의 제1 표면(361)이 서셉터(366)의 상부 표면(367) 위로 돌출하지 않도록 구성된다.
도 5 내지 도 14는 본 발명의 다양한 구체예들에 따른 가스 분배 어셈블리들(310)을 도시한 것이다. 가스 분배 어셈블리들(310)은 투입면(301) 및 배출면(303)을 포함한다. 투입면(301) (도 5에 도시됨)은 제1 반응 가스 A의 흐름을 수용하기 위한 제1 반응 가스 유입구(305), 및 제2 반응 가스 B의 흐름을 수용하기 위한 제2 반응 가스 유입구(307)를 갖는다. 투입면(301)은 또한 하나 이상의 퍼지 가스들을 위한 유입구들(309), 및 하나 이상의 진공 포트들에 연결하기 위한 포트들(311)을 갖는다.
다양한 구체예들의 배출면(303)은 복수의 긴 가스 포트들(313)을 갖는다. 가스 포트들(313)은 배출면(303)에 인접하게 정위될 수 있는 기판 쪽으로 가스들의 흐름을 향하도록 구성된다. 긴 가스 포트들(313)은 적어도 하나의 제1 반응 가스 포트 및 적어도 하나의 제2 반응 가스 포트를 포함한다. 각 제1 반응 가스 포트는 제1 전구체를 가스 분배 어셈블리(310)를 통해 흐르게 하기 위해 제1 반응 가스 유입구(305)와 유체 소통한다. 각 제2 반응 가스 포트는 제2 전구체를 가스 분배 어셈블리(310)를 통해 흐르게 하기 위해 제2 반응 가스 유입구(307)와 유체 소통한다.
도 6에 도시된 바와 같이, 가스 포트들은 채널(317) 내에 복수의 개구들(315)을 포함할 수 있다. 채널(317)은 가스 분배 어셈블리의 배출면 내의 리세스된 슬롯이다. 가스들은 개구들(315)로부터 흐르고, 채널(317) 벽들에 의해 기판 표면 쪽으로 지향된다. 개구들(315)은 환형으로 도시되어 있지만, 개구들(315)이 정사각형, 직사각형 및 삼각형을 포함하지만 이로 제한되지 않는 임의의 적합한 형상일 수 있는 것으로 이해될 것이다. 개구들(315)의 수 및 크기는 또한 각 채널(317) 내에 다소간의 개구들에 적합하도록 변경될 수 있다. 도 6에 도시된 상세한 구체예에서, 퍼지 가스들 (P), 제1 반응 가스 포트들 (A) 및 제2 반응 가스 포트들 (B)은 채널들 내에 정위된 복수의 개구들을 포함한다. 진공 포트들과 관련된 개구들(318)은 채널(317)에서 보다는 가스 분배 어셈블리(310)의 배출면(303) 상에 있지만, 또한, 채널 내에 정위될 수 있다.
도 6에 도시된 특정 구체예는 기판이 화살표(350)를 따라 긴 가스 포트들에 대해 수직으로 이동될 때에 특정 순서의 가스 스트림들을 기판 표면에 제공하는 긴 가스 포트들의 조합을 갖는다. 기판이 이동되는 것으로 기술되지만, 기판이 정지 상태로 유지될 수 있으며 가스 분배 어셈블리(310)가 이동할 수 있는 것으로 당업자에 의해 이해될 것이다. 이는 기판 이동으로서 지칭되는, 기판과 가스 분배 어셈블리(310) 간의 상대적인 이동이다. 긴 가스 포트들에 대해 수직으로 이동하는 기판은 순서대로 퍼지 가스 스트림, 제1 반응 가스 A 스트림, 퍼지 가스 스트림, 제2 반응 가스 B 스트림, 퍼지 가스 스트림, 제1 반응 가스 A' 스트림 및 퍼지 가스 스트림의 가스 흐름들로 처리될 것이다. 가스 스트림들 각각 사이에는 가공 챔버로부터 가스 스트림들을 지향시키는 진공 포트들이 존재한다. 이는 도 3에 도시된 화살표(398)에 따른 흐름 패턴을 형성시킨다.
특정 구체예들에서, 가스 분배 어셈블리는 순서대로 선두 제1 반응 가스 포트 A, 제2 반응 가스 포트 B 및 이어지는 제1 반응 가스 포트 A'를 필수적으로 포함한다. 이러한 문맥에서 그리고 첨부된 특허청구범위에서 사용되는 용어 "필수적으로 포함하는"은 가스 분배 어셈블리가 반응 가스들을 위한 임의의 추가 가스 포트들을 포함하지 않음을 의미한다. 비-반응 가스들 (예를 들어, 퍼지 가스들) 및 진공을 위한 포트들은 필수적으로 절(clause)을 포함하는 것 내에 여전히 존재하면서 전반에 걸쳐 산재될 수 있다. 예를 들어, 가스 분배 어셈블리(310)는 8개의 진공 포트들 (V) 및 4개의 퍼지 포트들 (P)을 가질 수 있지만, 여전히 선두 제1 반응 가스 포트 (A), 제2 반응 가스 포트 (B) 및 이어지는 반응 가스 포트 (A')를 필수적으로 포함한다. 이러한 다양한 구체예들은 ABA 구성으로서 지칭될 수 있다.
ABA 구성의 사용은 어느 한 방향으로부터 이동하는 기판이 제2 반응 가스 B 포트를 접하기 전에 제1 반응 가스 A 포트에 접하게 할 수 있게 한다. 가스 분배 어셈블리(310)를 가로지르는 각 패스(pass)는 조성물 B의 단일 필름을 초래할 것이다. 여기에서, 두 개의 제1 반응 가스 A 포트들은, (가스 분배 어셈블리에 대해) 도면의 상부에서 하부로 이동하는 기판이 선두 제1 반응 가스 A, 제2 반응 가스 B 및 이어지는 제1 반응 가스 A'를 순서대로 만나도록 제2 반응 가스 B 포트를 둘러싸서, 기판 상에 전체 층을 형성한다. 동일한 경로를 따라 되돌아가는 기판은 반응 가스들의 역순서로 만날 것이며, 이에 따라 각 전체 사이클에 대해 두 개의 층을 형성시킬 것이다. 이러한 가스 분배 어셈블리를 가로질러 전후로 이동하는 기판은 AB AAB AAB (AAB)n ... AABA 펄스 순서로 노출되어, B의 균일한 필름 조성물을 형성할 것이다. 순서의 마지막에 제1 반응 가스 A에 대한 노출은 제2 반응 가스 B로 이어지지 않기 때문에 중요하지 않다. 당업자에 의해 필름 조성물이 B로서 지칭되지만, 이는 실제로, 반응 가스 A 및 반응 가스 B의 표면 반응 생성물들의 생성물이며, 단지 B의 사용이 편의를 위해 필름을 기술하는 것으로 이해될 것이다.
가스 분배 어셈블리(310)는 도 7에 도시된 바와 같이, 가스상 종들을 활성화시키기 위한, 또한 "와이어" 또는 "고온 와이어"로서 지칭될 수 있는 발열체(501)를 포함한다. 발열체(501)는 제1 반응 가스 포트 및 제2 반응 가스 포트 중 어느 하나 또는 둘 모두에 정위된다. 발열체(501)는 발열체(501)를 통한 전류의 흐름이 발열체(501)를 가열시키도록 구성된 파워 리드(power lead; 323) (도 5에 도시됨)에 연결된다. 발열체(501)는 인접한 발열체(501)로 진행시켜 가스 중의 종들을 활성화시키기 위해 고온으로 가열된다. 와이어의 목적은 기판에서 온도 증가를 형성시키지 않기 위해, 가스 중의 라디칼 종들을 생성시키기 위한 것이다. 발열체(501)는 여전히 가스 중의 라디칼 종 형성을 야기시킬 수 있으면서, 기판의 표면에 대한 직접 노출이 존재하지 않는 위치에 배치될 수 있다. 예를 들어, 발열체(501)가 제2 반응 가스 포트들에 배치되는 경우에, 원소는 제2 반응 가스 중의 분자들의 일부를 활성화하게 될 것이다. 활성화된 상태에서, 분자들은 보다 높은 에너지를 가지고, 제공된 가공 온도에서 기판 표면과 반응하기 더욱 쉽다.
발열체의 배치는 기판에 접촉하는 소정 양의 라디칼 종들에 영향을 미칠 수 있다. 발열체를 기판으로부터 너무 멀리 배치하면, 보다 가깝게 배치된 경우 보다 큰 수의 라디칼 종들이 기판 표면에 접촉하기 전에 비활성화될 수 있을 것이다. 라디칼 종들은 다른 라디칼들, 가스 스트림 중의 분자들, 및 가스 분배 어셈블리와의 접촉에 의해 비활성화될 수 있다. 그러나, 발열체를 기판으로부터 더 멀리 배치시키는 경우에는 가스 중에 라디칼 종들을 여전히 생성시키면서 발열체가 기판 표면을 가열시키는 것을 방지시키는데 도움을 줄 수 있다. 발열체(501)는 여기된 종들이 기판의 현저한 국부적 온도 변화를 야기시키지 않으면서 표면과 접촉하기에 충분히 길게 존재하는 것을 확보하기 위해 기판의 표면에 충분히 가깝게 배치될 수 있다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "현저한 국부적 온도 변화"는 와이어에 인접한 기판의 일부가 약 10℃ 보다 높은 온도의 증가를 갖지 않음을 의미한다. 발열체(501)는 도 7에 도시된 바와 같이 개방 채널(317)에 또는 가스 확산 부품 뒤에 정위될 수 있다. 또한 도 7에 도시된 가스 확산 부품의 구체예는 가스 포트의 배출구 영역에 배치된 복수의 작은 이격된 천공들을 갖는다. 발열체(501)는 가스 확산 부품의 뒤에 정위되어, 기판의 국부적 온도를 현저하게 변화시키지 않으면서 가스상 종들을 여기시킬 수 있다. 상세한 구체예들에서, 와이어는 약 10℃ 미만의 표면 온도 변화를 야기시키면서 가스상 종들을 여기시키기 위해 가열된다. 다양한 구체예들에서, 기판 표면의 국부적 온도 변화는 약 7℃, 5℃ 또는 3℃ 미만이다. 특정 구체예들에서, 국부적 온도 변화는 약 2℃, 1℃ 또는 0.5℃ 미만이다.
발열체는 비교적 짧은 시간에 고온으로 상승될 수 있는 임의의 적합한 물질로 제조될 수 있다. 적합한 물질은 반응 가스들과 양립 가능한 물질이다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 바와 같이, 이와 관련하여 사용되는 용어 "양립 가능한"은 발열체가 표준 온도 및 압력에서 반응 가스와 자발적으로 반응적이지 않은 것을 의미한다. 발열체의 온도는 가스 종들의 라디칼화 정도에 영향을 미칠 수 있다. 예를 들어, 산소는 최대 약 2000℃의 온도를 필요로 할 수 있으며, 폴리머 종들은 단지 약 300℃ 내지 약 500℃ 범위의 온도를 필요로 할 수 있다. 일부 구체예들에서, 발열체는 적어도 약 1000℃, 1100℃, 1200℃, 1300℃, 1400℃, 1500℃, 1600℃, 1700℃, 1800℃, 1900℃ 또는 2000℃의 온도로 가열될 수 있다. 다양한 구체예들에서, 발열체는 약 300℃ 내지 약 2000℃ 범위, 약 700℃ 내지 약 1400℃ 범위, 약 800℃ 내지 약 1300℃ 범위의 온도로 가열될 수 있다. 발열체에 공급되는 전력은 가공 전반에 걸쳐 임의의 포인트에서 변조되거나 켜지거나 꺼질 수 있다. 이는 발열체가 가열되게 할 수 있어, 단지 가공의 부분에 대해 여기된 가스상 종들을 생성시킬 수 있다.
발열체의 두께 및 길이는 또한, 사용되는 물질에 따라 변경될 수 있다. 발열체에 대한 적합한 물질들의 예들은 텅스텐, 탄탈, 이리듐, 루테늄, 니켈, 크롬, 흑연 및 이들의 합금들을 포함하지만, 이로 제한되지 않는다. 예를 들어, 산소가 라디칼화되는 종들인 경우에, 탄탈 또는 텅스텐의 사용은, 이러한 물질들이 산소에 대해 민감하고 와이어의 파괴를 야기시킬 수 있기 때문에, 요망되지 않을 수 있다. 상세한 구체예들에서, 발열체는 텅스텐을 포함한다.
다시 도 5를 참조하여, 전력원은 발열체를 통한 전류 흐름을 조절할 수 있는 임의의 적합한 전력원일 수 있다. 도 5에 도시된 전력 피드스루(power feedthrough; 321)는 파워 리드(323)를 가지고, 발열체를 위한 기계적 및 전기적 지지체 둘 모두를 제공하고, 가스 흐름의 경로에 발열체를 배치시킬 수 있게 한다. 전력 피드스루(321)는 파워 리드(323) 및 발열체를 가스 분배 어셈블리와 전기적으로 분리시키기 위해 절연체를 포함할 수 있는 마운팅 블록(mounting block; 327)을 통해 가스 분배 어셈블리(310)에 연결된다. 도 5의 구체예에서의 발열체는 제1 반응 가스 채널들을 통해 연장하고, 제2 반응 가스 채널 둘레를 둘러싸는 단일 발열체 또는 개개 발열체일 수 있다.
도 7에 도시된 발열체(501)는 채널(317)을 통해 연장하며, 발열체(501)의 단부들은 파워 리드들(323, 324)과 접촉한다. 그러나, 본 발명의 하나 이상의 구체예들에서, 발열체는 채널(317)에 삽입되거나 가스 분배 어셈블리(310)의 배출면(303)에 부착될 수 있는 별도의 어셈블리의 일부이다. 이에 따라, 도 8을 참조로 하여, 본 발명의 하나 이상의 구체예들은 이러한 어셈블리(600)에 관한 것이다. 도시된 어셈블리(600)는 세로 축(630)을 따라 연장하는 긴 엔클로저(605)를 포함한다. 긴 엔클로저는 엔클로저(605)를 통해 가스들의 흐름을 진행시킬 수 있는 개방 내부 영역(606)을 갖는다. 화살표(630)로서 도시된 가스 흐름은 엔클로저를 통해 세로 축에 대해 실질적으로 수직인 방향으로 진행할 수 있다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "실질적으로 수직"은 가스의 흐름이 엔클로저를 통해 그리고 발열체(601) 둘레에 둔각으로 진행함을 의미한다. 당업자는, 가스 흐름이 엔클로저에 대해 90°이외의 각을 이룰 수 있음의 의미하고, "여전히 실질적으로 수직"의 의미 내에 속한다. 일부 구체예들에서, 가스 흐름은 엔클로저에 대해 실질적으로 수직으로서, 이는 약 25°, 30°, 35°, 40°, 45°, 50°, 55°, 60°, 65°, 70°, 75°, 80° 또는 85° 보다 큰 각도를 형성한다. 일부 구체예들에서, 가스 흐름은 약 25°내지 약 90°의 범위, 또는 약 45°내지 약 90°의 범위, 또는 약 60°내지 약 90°의 범위, 또는 약 75°내지 약 90°의 범위, 또는 약 80°내지 약 90°의 범위의 엔클로저에 대한 각도를 형성한다.
도시된 엔클로저(605)는 양 측면 상에 평평한 면들(611)을 가지며, 이러한 측면들(613)은 엔클로저(605)의 한 단부에서 다른 단부까지 실질적으로 균일한 두께를 갖는다. 그러나, 도시된 형상 및 대략적인 치수는 단지 예시적인 것으로서, 본 발명의 범위를 한정하는 것으로서 고려되지 않는 것으로 당업자에 의해 이해될 것이다.
엔클로저(605)는 가공 챔버에서 경험되는 온도들에서 열팽창에 대해 실질적으로 내성인 물질로부터 제조된다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "열팽창에 대해 실질적으로 내성"은 엔클로저(605)의 전체 길이가 요망되는 가스상 종들을 라디칼화하기 위해 요구되는 온도들에서 약 5% 보다 크게 변하지 않는 것을 의미한다. 다양한 구체예들에서, 엔클로저의 전체 길이는 실온에서 엔클로저(605)의 길이에 대해 약 4%, 3%, 2%, 1% 또는 0.5% 보다 크게 변하지 않는다. 상세한 구체예들에서, 엔클로저는 석영 및 세라믹을 포함하는, 석영 또는 세라믹계 물질로 제조된다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "세라믹"은 무기, 비-금속성 물질을 지칭한다. 세라믹들의 적합한 예들은 알루미나, 베릴리아, 세리아, 지르코니아, 이러한 물질들의 카바이드들, 보라이드들, 니트라이드들, 실리사이드들, 복합 물질들, 옥사이드들 및 비옥사이드들을 포함하지만, 이로 제한되지 않는다. 발열체의 두께는 균일하거나 두께에 있어 가변적일 수 있다. 일부 구체예들에서, 발열체는 약 0.01 mm 내지 약 5 mm 범위의 단면 직경을 갖는 와이어이다. 일부 구체예들의 발열체는 가변 밀도/단위 길이를 갖는다.
발열체(601)는 긴 엔클로저(605)의 제1 단부(620)에서 제2 단부(622)로 연장한다. 기술된 바와 같이, 발열체(601)는 전류에 의해 가열하기에 적합한 물질을 포함한다. 도 8에 도시된 구체예는 발열체(601)를 통해 전류를 흐르게 할 수 있도록, 발열체(601)와 전기적으로 소통하거나 전기적으로 접촉된, 두 개의 리드로서 도시된, 적어도 하나의 전기 리드(610)를 포함한다. 전기 리드들(610)은 가스 분배 어셈블리 상에 정위된 전기적 접촉들과 상호작용할 수 있다. 예를 들어, 전기적 접촉들의 쌍들(양극 접점 및 음극 접합부)은 가스 분배 어셈블리의 채널들에 또는 가스 분배 어셈블리의 표면 상에 포함될 수 있다. 이러한 전기적 접촉 쌍들 각각은 개별적으로 또는 하나 이상의 유닛들로서 전력을 공급받을 수 있다. 상세한 구체예들에서, 적어도 하나의 전기 리드(610)는 발열체에 대한 전류의 인가에 따라 온도를 실질적으로 증가시키지 않는다. 특정 구체예들에서, 적어도 하나의 전기 리드(610)는 엔클로저(605)의 팽창을 실질적으로 야기시키지 않는다.
두 개의 별개의 전기 리드들(610)이 도 8에 도시되어 있지만, 엔클로저의 각 단부 상에 단지 하나의 전기 리드(610)가 정위될 수 있는 것으로 이해될 것이다. 이러한 종류의 구체예들에서, 발열체(601)는 엔클로저(605)을 통해 연장하고 엔클로저의 단부를 지나 연장하는 부분이 존재할 수 있다. 예를 들어, 도 9는 발열체(701)가 긴 엔클로저(705)의 제1 단부(720) 및 제2 단부(722) 중 하나 이상을 지나 연장하는 부분(730)을 포함하는 어셈블리(700)의 구체예를 도시한 것이다. 연장된 부분(730)은 전기 리드로서 작용할 수 있다.
가열할 때에, 발열체는 팽창하여, 발열체의 처짐을 야기시킬 수 있다. 이는 라디칼화의 효율을 감소시키고, 발열체를 기판에 더욱 가깝게 하여, 기판을 가열시킬 수 있다. 이러한 처짐은 요망되지 않을 수 있다. 발열체의 처짐을 최소화하기 위하여, 발열체의 하나 이상의 단부는 인장기(tensioner) (미도시됨)에 유지될 수 있다. 인장기는 처짐을 최소화하기 위해 발열체의 단부를 당긴다. 발열체에 적용된 장력의 정도는 일정하거나 동적일 수 있다. 동적 장력 환경에서, 발열체가 뜨거워지고 길어짐에 따라, 발열체 상의 장력의 정도는 처짐을 방지하기 위해 증가된다.
발열체는 임의의 적합한 형상을 가질 수 있고, 도면에 의해 구현된 형상들로 제한되지 않는다. 적합한 형상들은 직선, 사인형(sinusoidal), 나선형, 곡선형, 아코디언형 및 사각파형을 포함하지만, 이로 제한되지 않는다. 상세한 구체예들에서, 도 9에 도시된 바와 같이, 발열체는 엔클로저(705)의 제1 단부(720)에서 제2 단부(722)로 실질적으로 직선 경로로 연장한다(701). 도 10은 발열체(801)가 나선형 경로로 연장하는 어셈블리(800)의 다른 구체예를 도시한 것이다. 턴(turn)의 수 및 나선의 기밀성(tightness)은 다양할 수 있고, 도면들에 도시된 형상으로 한정되는 것으로 고려되지 않을 것이다. 도 11은 엔클로저(905)의 제1 단부(920)와 제2 단부(922) 사이에서 연장하는 두 개의 발열체들(901)이 존재하는 다른 어셈블리(900)를 도시한 것이다. 도 11은 발열체들과 전기 연결하는 발열체(901)의 각 단부에 대한 단일 연장부(930)를 도시한 것이지만, 각 발열체(901)에 대한 연장부가 존재할 수 있는 것으로 이해될 것이다. 추가적으로, 임의의 수의 개개 발열체들이 존재할 수 있으며, 각 구성요소의 형상이 상이할 수 있으며 이러한 형상들의 혼합이 가능할 수 있는 것으로 이해될 것이다.
도 12는 발열체(1001)가 외측 하우징(1002)에 캡슐화된 어셈블리(1000)의 다른 구체예를 도시한 것이다. 전류의 인가 시에, 발열체(1001)는 온도를 증가시키고, 외측 하우징(1002)을 가열시킨다. 외측 하우징(1002)은 엔클로저의 내부 영역(1006)을 통해 흐르는 가스에 노출된다. 이러한 종류의 구체예들은 특히 발열체(1001)가 내부 영역(1006)을 통해 흐르는 가스와 양립 가능하지 않은 경우에 사용할 수 있다. 상세한 구체예들에서, 외측 하우징(1002)은 변형되지 않으면서 발열체(1001)에 의해 가열될 수 있는 물질이다. 특정 구체예들에서, 외측 하우징(1002)은 석영을 포함한다.
다양한 구체예들의 어셈블리들은 발열체가 가스 분배 어셈블리에 용이하게 부가되거나 이로부터 제거될 수 있도록, 가스 분배 어셈블리의 채널들 내에 맞는 크기를 가질 수 있다. 도 13은 긴 엔클로저(1105)가 가스 분배 어셈블리(310)의 가스 포트 내에 맞는 크기를 갖는 구체예를 도시한 것이다. 발열체(501)를 엔클로저(1105)들에 도입하면, 발열체(501)를 교체되거나 세정될 가공 챔버에서 용이하게 제거할 수 있게 한다. 도시된 구체예가 개방 채널(317)을 갖지만, 발열체와 기판 사이에 확산기(diffuser)가 존재할 수 있는 것으로 이해될 것이다.
도 13의 하단 부분을 참조로 하여, 긴 엔클로저(1155)가 가스 분배 어셈블리(310)의 전면(303)에 부착되도록 구성된 다른 구체예가 도시된다. 엔클로저(1155)는 가스 포트로부터의 가스가 엔클로저(1155)의 개방 내부 영역(1156)을 통해 진행하며 어셈블리가 인접한 가스 포트로부터의 가스의 흐름을 실질적으로 방해하지 않도록 정위될 수 있다. 엔클로저(1155)는 상술된 바와 같이 연결부들(1123, 1124)에 의해 전력을 공급받는다.
도 14에 도시된 발열체들은 직선의 어셈블리들로서 도시되어 있다. 그러나, 어셈블리의 형상은 요망되는 사용에 따라 변경될 수 있다. 도 14는 어셈블리(1200)가 단일 발열체(1201)와 함께 두 개의 채널들(317)을 덮는 본 발명의 다른 구체예를 도시한 것이다. 어셈블리(1200)는 채널들(317) 위에 정위된 두 개의 개방 내부 영역들(1206)을 갖는 긴 엔클로저(1205)를 포함한다. 가열된 부재(1201)는 파워 리드들(1223, 1224)로 연결된다. 이러한 구체예의 가열된 부재(1201)는 가열된 부재(1201)가 가스 흐름들에 노출되지 않는 분리된 부분(1208)에서 엔클로저(1205)를 통해 돌려질 수 있다. 일부 구체예들에서, 가열된 부재(1201)는 전체 경로 전반에 걸쳐 노출된다. 상이하게 기술되는 경우에, 개방 내부 영역은 가스 포트들의 앞에 정위되지 않은 가열된 부재(1201)의 부분들과 엔클로저의 형상을 매칭시킬 수 있다.
도 14에 도시된 종류의 구체예들에서, 파워 리드들(1223, 1224)은 전류를 흐르게 하기 위해 반대 극성을 갖는다. 이에 따라, 하나의 파워 리드는 양극일 것이며, 나머지 하나는 음극일 것이다. 이러한 구성은 비교적 용이하게 셋업될 수 있으며, 단일 전력원은 파워 리드들(1223, 1224) 둘 모두에 연결된다. 단일 전력원(미도시됨)은 전위차계(potentiometer)와 같은, 와이어를 통해 흐르는 전류를 조절하기 위한 메카니즘을 포함할 수 있다.
가스 분배 어셈블리의 일부 구체예들은 교차하는 제1 반응 가스 A 포트들 및 제2 반응 가스 B 포트들의 적어도 두 개의 반복 유닛들, 이후 이어지는 제1 반응 가스 A' 포트를 필수적으로 포함하는 복수의 긴 가스 포트들을 포함한다. 달리 기술하면, 제1 반응 가스 A 포트 및 제2 반응 가스 B 포트의 조합은 AB 유닛으로서 지칭될 수 있는 것으로서, 이는 이어지는 제1 반응 가스 A' 포트와 함께 적어도 2회 반복된다. 당업자는, 퍼지, 진공 및 복수의 개구들이 가스 분배 어셈블리에 존재할 수 있는 것으로 이해할 것이다.
일부 구체예들에서, 하나 이상의 층들은 플라즈마 강화 원자층 증착 (PEALD) 공정 동안에 형성될 수 있다. 일부 공정들에서, 플라즈마의 사용은 표면 반응들이 선호되고 용이한 경우에 종들을 여기된 상태로 증진시키기 위해 충분한 에너지를 제공한다. 공정으로의 플라즈마의 도입은 연속적이거나 펄스화될 수 있다. 일부 구체예들에서, 전구체들 (또는 반응 가스들) 및 플라즈마의 순차적 펄스들은 층을 가공하기 위해 사용된다. 일부 구체예들에서, 제제들은 국부적으로 (즉, 가공 구역 내에서) 또는 원거리에서 (즉, 가공 구역 외측에서) 이온화될 수 있다. 일부 구체예들에서, 원거리 이온화(remote ionization)는 이온들 또는 다른 에너지 또는 발광 종들이 증착 필름과 직접 접촉하지 않도록 증착 챔버의 업스트림에서 일어날 수 있다. 일부 PEALD 공정들에서, 플라즈마는 예를 들어 원거리 플라즈마 발생기 시스템에 의해 가공 챔버로부터 외부에서 발생된다. 플라즈마는 당업자에게 공지된 임의의 적합한 플라즈마 발생 공정 또는 기술을 통해 발생될 수 있다. 예를 들어, 플라즈마는 마이크로파 (MW) 주파수 발생기 또는 라디오 주파수 (RF) 발생기 중 하나 이상에 의해 발생될 수 있다. 플라즈마의 주파수는 사용되는 특정 반응 종들에 따라 조정될 수 있다. 적합한 주파수들은 2 MHz, 13.56 MHz, 40 MHz, 60 MHz 및 100 MHz를 포함하지만, 이로 제한되지 않는다. 플라즈마들이 본원에 기술된 증착 공정들 동안에 사용될 수 있지만, 플라즈마들이 요구되지 않을 수 있다는 것이 주지되어야 한다. 실제로, 다른 구체예들은 플라즈마 없이 매우 온화한 조건들 하에서의 증착 공정들에 관한 것이다.
상술된 바와 같은 텅스텐 핵형성 층은 우수한 필름 성질들을 갖는 피쳐들을 형성하기 위해 전통적인 벌크 충진 기술로 집적화될 때에 특정 유용성을 나타낸다. 통합 방식은 핵형성 층을 증착시키기 위해 ALD 또는 펄스화-CVD 공정들을 포함할 수 있으며, 벌크 층은 CVD 또는 PVD 공정들에 의해 증착될 수 있다. 통합 방식을 수행할 수 있는 통합된 가공 시스템들은 Endura™, Endura SL™, Centura™ 및 Producer™ 가공 시스템이며, 각각은 Applied Materials, Inc. (Santa Clara, Calif 소재)에서 입수 가능하다. 임의의 이러한 시스템들은 핵형성 층을 증착시키기 위한 적어도 하나의 ALD 또는 펄스화-CVD 챔버, 및 벌크 충진을 위한 적어도 하나의 CVD 챔버 또는 PVD 챔버를 포함하도록 구성될 수 있다.
도 15a는 예시적인 다중-챔버 가공 시스템(1500)의 개략적 정면도 다이아그램이다. 유사한 다중-챔버 가공 시스템은 공동으로 양도된 미국특허번호 제5,186,718호에 기술되어 있으며, 이러한 문헌은 본원에 참고로 포함된다. 시스템(1500)은 일반적으로 기판들을 시스템(1500)으로 그리고 이로부터 이송시키기 위한 로드 로크 챔버(1502, 1504)들을 포함한다. 통상적으로, 시스템(1500)이 진공하에 있기 때문에, 로드 로크 챔버들(1502, 1504)은 시스템(1500)에 도입된 기판들을 "펌핑 다운"될 수 있다. 제1 로보트(1510)는 로드 로크 챔버들(1502, 1504)과 하나 이상의 기판 가공 챔버들(1512, 1514, 1516, 1518) (4개가 도시됨)의 제1 세트 사이로 기판들을 이송시킬 수 있다. 각 가공 챔버(1512, 1514, 1516, 1518)는 순환 층 증착, 에치, 사전-세정, 탈기, 배향, 및 다른 기판 공정들과 같은 다수의 기판 가공 작업들을 수행하기 위해 갖춰질 수 있다. 제1 로보트(1510)는 또한 기판들을 하나 이상의 이송 챔버들(1522, 1524)로/부터 이송시킨다.
이송 챔버들(1522, 1524)은 기판들을 시스템(1500) 내에서 이동될 수 있는 동안에 초고 진공 조건들을 유지하기 위해 사용된다. 제2 로보트(1530)는 이송 챔버들(1522, 1524)과 하나 이상의 가공 챔버들(1532, 1534, 1536, 1538)의 제2 세트 사이로 기판들을 이송시킬 수 있다. 가공 챔버들(1512, 1514, 1516, 1518)과 유사하게, 가공 챔버들(1532, 1534, 1536, 1538)은 예를 들어 순환 층 증착, 에치, 사전-세정, 탈기, 및 배향과 같은 다수의 기판 가공 작업들을 수행하기 위해 갖춰질 수 있다. 임의의 기판 가공 챔버들(1512, 1514, 1516, 1518, 1532, 1534, 1536, 1538)은 특정 공정이 시스템(1500)에 의해 수행되는 것을 필요로 하지 않는 경우에, 시스템(1500)으로부터 제거될 수 있다.
일 배열에서, 각 가공 챔버(1532 및 1538)는 핵형성 층을 증착시키기 위해 구성된 순환 증착 챔버일 수 있다. 각 가공 챔버(1534 및 1536)는 벌크 층을 형성시키기 위해 구성된 순환 증착 챔버, 화학적 증기 증착 챔버 또는 물리적 증기 증착 챔버일 수 있다. 각 가공 챔버(1512 및 1514)는 유전체 층을 증착시키기 위해 구성된 물리적 증기 증착 챔버, 화학적 증기 증착 챔버, 또는 순환 증착 챔버일 수 있다. 그리고, 각 가공 챔버(1516 및 1518)는 피쳐들을 상호연결시키기 위한 천공들 또는 개구들을 에칭시키도록 맞추어진 각 챔버일 수 있다. 시스템(1500)의 이러한 하나의 특정 배열은 본 발명을 예시하기 위해 제공된 것으로서, 본 발명의 범위를 제한하도록 사용되지 않아야 한다.
다른 통합된 시스템은 단일 챔버에서 핵형성 증착 뿐만 아니라 벌크 충진 증착을 포함할 수 있다. 순환 증착 모드 뿐만 아니라 통상적인 CVD 모드 둘 모두에서 작동하도록 구성된 챔버가 사용될 수 있다. 이러한 챔버의 일 예는 공동으로 양도된 미국특허출원 일련번호 제10/016,300호 (2001년 12월 12일에 출원)에 기술되어 있으며, 이러한 문헌은 본원에 참고로 포함된다.
다른 통합 방식에서, 하나 이상의 순환 증착 핵형성 챔버들은 제1 가공 시스템 상에 통합되며, 하나 이상의 벌크 층 증착 챔버들은 제2 가공 시스템 상에 통합된다. 이러한 구성에서, 기판들이 먼저 제1 시스템에서 가공되는데, 여기서 핵형성 층은 기판 상에 증착된다. 이후에, 기판들은 제2 가공 시스템으로 이동되는데, 여기서, 벌크 증착이 일어난다.
도 15b는 예시적인 다중-챔버 가공 시스템(1550)의 개략적 정면도 다이아그램이다. 시스템(1550)은 일반적으로 시스템(1550)으로 및 이로부터 기판들의 이송을 위한 로드 로크 챔버들(1552, 1554)을 포함한다. 통상적으로, 시스템(1550)이 진공 하에 있기 때문에, 로드 로크 챔버들(1552, 1554)은 시스템(1550)에 도입된 기판들을 "핌핑 다운" 시킬 수 있다. 로보트(1560)는 로드 로크 챔버들(1552, 1554)과, 기판 가공 챔버들(1562, 1564, 1566, 1568, 1570 및 1572) 사이로 기판들을 이송시킬 수 있다. 각 가공 챔버(1562, 1564, 1566, 1568, 1570 및 1572)는 다수의 기판 가공 작업들, 예를 들어, 순환 층 증착, CVD, PVD, 에치, 사전-세정, 탈기, 가열, 배향, 및 다른 기판 공정들을 수행하기 위해 갖추어질 수 있다. 로보트(1560)는 또한, 이송 챔버(1556)로/부터 기판들을 이송시킨다. 임의의 기판 가공 챔버들(1562, 1564, 1566, 1568, 1570 및 1572)은 특정 공정이 시스템(1550)에 의해 수행되는 것을 필요로 하지 않는 경우에 시스템(1550)으로부터 제거될 수 있다.
일 배열에서, 각 가공 챔버(1564 및 1570)는 핵형성 층을 증착시키도록 구성된 순환 증착 챔버일 수 있으며, 각 가공 챔버(1566 및 1568)는 벌크 충진 증착 층을 형성시키도록 구성된 순환 증착 챔버, 화학적 증기 증착 챔버 또는 물리적 증기 증착 챔버일 수 있다. 이러한 하나의 특정의 시스템(1550)의 배열은 본 발명을 예시하기 위해 제공된 것으로서, 본 발명의 범위를 한정하기 위해 사용되지 않을 수 있다.
대안적으로, 단일 챔버에서 복수의 스테이션들을 갖는 카로우젤 타입 배치 가공 시스템은 단일 가공 시스템에 핵형성 및 벌크 층 증착을 도입하기 위해 구성될 수 있다. 이러한 가공 시스템에서, 퍼지 가스 커튼, 예를 들어 아르곤 가스 커튼은 각 스테이션 사이에서 확립될 수 있어, 각 스테이션에서 마이크로 또는 미니 환경을 생성시킬 수 있다. 기판들은 시스템에 연속적으로 로딩되고 이후에 각 스테이션을 통해 회전되고, 각 스테이션에서 적어도 일부 가공된다. 예를 들어, 기판은 제1 스테이션에서 순환 증착 핵형성 단계에 노출되고 이후에 후속 스테이션들 각각에서 부분 벌크 충진 CVD 단계들에 노출될 수 있다. 대안적으로, 핵형성은 하나 초과의 스테이션에서 일어날 수 있으며, 벌크 충진은 하나 이상의 스테이션들에서 일어날 수 있다. 또한, 핵형성 층 및 벌크 층은 별개의 카로우젤 타입 시스템들에서 증착될 수 있다. 다른 양태에서, 소킹 및 핵형성 단계들은 하나의 카로우젤에서 완료되며, 벌크 단계들은 다른 카로우젤 상에서 수행되며, 여기서 두 개의 카로우젤들 모두는 동일한 공정 시스템의 일부이다. 각 압반은 각 스테이션에서 적어도 일부 공정 제어를 제공하기 위해 온도 조절될 수 있다. 그러나, 공정 압력은 통상적으로 스테이션들 간에 동일하게 유지되는데, 왜냐하면 스테이션들이 단일 챔버에서 하우징되어 있기 때문이다. 일부 압력 조절은 불활성 가스 커튼으로 인하여 각 스테이션에서 존재하는 마이크로 또는 미니 환경에서 이용 가능할 수 있다.
통합 방식과는 무관하게, 핵형성 층은 통상적으로 약 10Å 내지 약 200Å 범위의 두께로 증착되며, 벌크 층은 약 100Å 내지 약 10,000Å의 범위, 바람직하게 약 1,000Å 내지 약 5,000Å 범위의 두께를 갖는다. 그러나, 이러한 필름들의 두께는 제공된 적용의 피쳐 크기들 및 종횡비들에 따라 달라질 수 있다. 이에 따라, 필름들은 제공된 적용의 기하학적 구조를 수용하기 위해 적절한 크기를 갖는다. 하기는 본원에 기술된 구체예들에 따라 증착된 핵형성층으로부터 유리할 수 있는 일부 예시적인 기하학적 구조 및 적용들이다. 하기 설명은 오로지 예시적인 목적을 위해 의도된 것으로서, 본 발명의 용도를 한정하도록 의도되지 않는다.
도 16은 또한 주입기들 또는 주입기 어셈블리, 및 서셉터 어셈블리(1640)로서 지칭되는, 가스 분배 어셈블리(1620)를 포함하는 가공 챔버(1600)의 단면을 도시한 것이다. 가스 분배 어셈블리(1620)는 가공 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 어셈블리(1620)는 서셉터 어셈블리(1640)를 향하는 전면(1621)을 포함한다. 전면(1621)은 서셉터 어셈블리(1640) 쪽으로 가스들의 흐름을 전달하기 위해 임의의 수 또는 다양한 개구들을 가질 수 있다. 가스 분배 어셈블리(1620)는 또한, 도시된 구체예들에서 실질적으로 둥근 외부 에지(1624)를 포함한다.
사용되는 가스 분배 어셈블리(1620)의 특정 타입은 사용되는 특정 공정에 따라 달라질 수 있다. 본 발명의 구체예들은 서셉터와 가스 분배 어셈블리 사이의 갭이 조절되는 임의의 타입의 가공 시스템과 함께 사용될 수 있다. 다양한 타입의 가스 분배 어셈블리들 (예를 들어, 샤워헤드)이 이용될 수 있는 경우에, 본 발명의 구체예들은 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 ALD 가스 분배 어셈블리들과 특히 유용할 수 있다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "실질적으로 평행한"은 가스 채널들의 긴 축이 동일한 일반적인 방향으로 연장함을 의미한다. 가스 채널들의 평행성에 있어서 약간의 결함이 존재할 수 있다. 복수의 실질적으로 평행한 가스 채널들은 적어도 하나의 제1 반응 가스 A 채널, 적어도 하나의 제2 반응 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응 가스 A 채널(들), 제2 반응 가스 B 채널(들) 및 퍼지 가스 P 채널(들)로부터 흐르는 가스들은 웨이퍼의 상부 표면 쪽으로 지향된다. 가스 흐름 중 일부는 웨이퍼의 표면을 가로질러 수평으로 그리고 퍼지 가스 P 채널(들)을 통해 가공 영역으로부터 이동한다. 가스 분배 어셈블리의 한 단부에서 다른 단부로 이동하는 기판은 공정 가스들 각각은 순서대로 노출될 것이며, 이에 의해 기판 표면 상에 층을 형성시킬 것이다.
일부 구체예들에서, 가스 분배 어셈블리(1620)는 단일 주입기 유닛으로 제조된 강성의 정지 바디이다. 하나의 구체예들에서, 가스 분배 어셈블리(1620)는 복수의 개개 섹션들(1622)로 구성된다 (도 17 참조). 단일 피스 바디 또는 다중 섹션 바디 중 어느 하나를 갖는 가스 분배 어셈블리는 기술된 본 발명의 다양한 구체예들과 함께 사용될 수 있다.
서셉터 어셈블리(1640)는 가스 분배 어셈블리(1620) 아래에 정위된다. 서셉터 어셈블리(1640)는 두께를 한정하는 에지(1644), 상부 표면(1641) 및 하부 표면(1643)을 포함한다. 상부 표면(1641)은 가공을 위해 기판을 지지하기 위한 크기를 갖는 적어도 하나의 리세스(1642)를 포함할 수 있다. 리세스(1642)는 가공되는 웨이퍼들(1660)의 형상 및 크기에 따라 임의의 적합한 형상 및 크기를 가질 수 있다. 도 16에 도시된 구체예에서, 리세스(1642)는 웨이퍼의 바닥을 지지하기 위해 평평한 바닥을 갖지만, 리세스의 바닥이 다양할 수 있는 것으로 이해될 것이다. 일부 구체예들에서, 리세스는 웨이퍼의 외측 최외곽 에지를 지지하기 위한 크기를 갖는 리세스의 외측 외측 에지를 둘러싸는 스텝 영역들을 갖는다. 스텝들에 의해 지지되는 웨이퍼의 외측 외곽 에지의 정도는 예를 들어 웨이퍼의 두께 및 웨이퍼의 후측면 상에 이미 존재하는 피쳐들의 존재에 따라 달라질 수 있다.
일부 구체예들에서, 도 16에 도시된 바와 같이, 서셉터 어셈블리(1640)의 상부 표면(1641)에서의 리세스(1642)는 리세스(1642)에 지지되는 웨이퍼(1660)가 서셉터(1640)의 상부 표면(1641)과 실질적으로 동일 평면 상에 있는 상부 표면(1661)을 갖도록 크기를 갖는다. 본 명세서 및 첨부된 특허청구범위에서 사용되는 용어 "실질적으로 동일한 평면 상"은 웨이퍼의 상부 표면 및 서셉터 어셈블리의 상부 표면이 ±0.2 mm 내에서 동일한 평면 상에 있음을 의미한다. 일부 구체예들에서, 상부 표면들은 ± 0.15 mm, ± 0.10 mm 또는 ± 0.05 mm 내에서 동일한 평면 상에 있다.
도 16의 서셉터 어셈블리(1640)는 서셉터 어셈블리(1640)를 올라가게 하거나, 내려가게 하거나, 회전시킬 수 있는 지지 포스트(1690)를 포함한다. 서셉터 어셈블리는 가열기, 또는 가스 라인들, 또는 지지 포스트(1690)의 중심 내의 전기적 부품들을 포함할 수 있다. 지지 포스트(1690)는 서셉터 어셈블리(1640)와 가스 분배 어셈블리(1620) 사이의 갭을 증가 또는 감소시키는 주요 수단일 수 있다. 서셉터 어셈블리(1640)는 또한, 서셉터 어셈블리(1640)와 가스 주입기 어셈블리(1620) 사이에 요망되는 갭(1670)을 생성시키기 위해 서셉터 어셈블리(1640)에 대한 마이크로-조정할 수 있는 미세 조정 작동기들(1662)을 또한 포함할 수 있다.
일부 구체예들에서, 가공 동안에 갭(1670) 거리는 약 0.1 mm 내지 약 5.0 mm 범위, 또는 약 0.1 mm 내지 약 3.0 mm 범위, 약 0.1 mm 내지 약 2.0 mm 범위, 약 0.2 mm 내지 약 1.8 mm 범위, 약 0.3 mm 내지 약 1.7 mm 범위, 약 0.4 mm 내지 약 1.6 mm 범위, 약 0.5 mm 내지 약 1.5 mm 범위, 약 0.6 mm 내지 약 1.4 mm 범위, 약 0.7 mm 내지 약 1.3 mm 범위, 약 0.8 mm 내지 약 1.2 mm 범위, 약 0.9 mm 내지 약 1.1 mm의 범위, 또는 약 1 mm이다.
도 16 및 17에 도시된 가공 챔버(1600)는 서셉터 어셈블리(1640)가 복수의 웨이퍼들(1660)을 유지할 수 있는 카로우젤-타입 챔버이다. 도 17에 도시된 바와 같이, 가스 분배 어셈블리(1620)는 복수의 별개의 주입기 유닛들(1622)을 포함할 수 있는데, 각각의 주입기 유닛(1622)은 웨이퍼가 주입기 단위 아래로 이동함에 따라, 웨이퍼 상에 필름을 증착시킬 수 있다. 네 개의 일반적으로 파이-형상의 주입기 유닛들(1622)은 서셉터 어셈블리(1640)의 대략적으로 마주하는 측면들 상에 그리고 이러한 어셈블리 위에 정위되는 것으로 나타낸다. 이러한 주입기 유닛들(1622)의 수는 단지 예시 목적을 위해 나타낸 것이다. 보다 많거나 보다 적은 주입기 유닛들(1622)이 포함될 수 있는 것으로 이해될 것이다. 일부 구체예들에서, 서셉터 어셈블리(1640)의 형상과 일치하는 형상을 형성시키기 위해 충분한 수의 파이-형상 주입기 유닛들(1622)이 존재한다. 일부 구체예들에서, 개개의 파이-형상 주입기 유닛들(1622) 각각은 임의의 다른 주입기 유닛들(1622)에 영향을 미치지 않으면서 독립적으로 이동되고/거나 제거되고/거나 대체될 수 있다. 예를 들어, 하나의 세그먼트는 웨이퍼들(1660)을 로딩하거나/언로딩하기 위해 로보트가 서셉터 어셈블리(1640)와 가스 분배 어셈블리(1620) 사이의 영역에 접근하도록 상승될 수 있다.
유사하게, 도시되어 있지는 않지만, 서셉터 어셈블리(1640)는 복수의 별개의 피스들 또는 유닛들로 구성될 수 있다. 복수의 유닛들은 일반적으로 파이 형상을 지닐 수 있고, 상부 표면 및 하부 표면을 갖는 서셉터 어셈블리를 형성시키기 위해 함께 맞춰질 수 있다.
서셉터 어셈블리(1640)의 크기는 특정 가공 챔버, 및 가공될 웨이퍼들의 크기에 따라 달라질 수 있다. 일부 구체예들에서, 서셉터 어셈블리는 적어도 세 개의 웨이퍼들을 지지하기 위한 크기를 갖는다. 하나의 구체예들에서, 서셉터 어셈블리는 적어도 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16 또는 그 이상의 웨이퍼들을 지지하기 위한 크기를 갖는다. 이러한 웨이퍼들은 150 mm, 200 mm, 300 mm 및 450 mm 웨이퍼들을 포함하지만, 이로 제한되지 않는 임의의 크기의 웨이퍼일 수 있다. 서셉터 어셈블리의 직경은 또한 다양할 수 있다. 일부 구체예들에서, 서셉터 어셈블리는 약 0.75 미터 내지 약 2 미터의 범위, 또는 약 1 미터 내지 약 1.75 미터의 범위, 또는 약 1.25 미터 내지 약 1.75 미터의 범위 또는 1.5 미터의 직경을 갖는다.
다수의 가스 주입기들을 갖는 가공 챔버들은, 웨이퍼들이 동일한 공정 흐름을 나타내도록 다수의 웨이퍼들을 동시에 가공하기 위해 사용될 수 있다. 예를 들어, 도 17에 도시된 바와 같이, 가공 챔버(1600)는 4개의 가스 주입기 유닛들(1622) 및 4개의 웨이퍼들(1660)을 갖는다. 4개의 주입기 유닛들(1622)의 도면은 단지 예시적인 것으로서, 공정의 도면 및 설명을 보다 용이하게 하기 위해 선택된 것이다. 당업자는, 가스 분배 어셈블리가 단일 부품일 수 있고 서셉터 어셈블리와 거의 동일한 크기 및/또는 형상일 수 있다. 가공의 착수 시에, 웨이퍼들(1660)은 주입기 유닛들(1622) 사이에 정위될 수 있다. 서셉터 어셈블리(1640)를 45°로 회전시키는 것(1617)이, 각 웨이퍼(1660)를 야기시킬 것인데, 이는 주입기 어셈블리들(1622) 아래에 점선의 원으로 예시된 바와 같이, 필름 증착을 위한 주입기 유닛들(1622)로 이동되도록 주입기 유닛들(1622) 사이에 존재한다. 추가 45° 회전은 주입기 어셈블리들(1622)로부터 웨이퍼들(1660)을 멀리 이동시킬 것이다. 공간적 ALD 주입기들과 함께, 필름은 주입기 어셈블리에 대한 웨이퍼의 이동 동안에 웨이퍼 상에 증착된다. 일부 구체예들에서, 서셉터 어셈블리(1640)는 주입기 유닛들(1622) 아래에 웨이퍼들(1660)이 정지하는 것을 방지하는 증가로 회전된다. 웨이퍼들(1660) 및 주입기 유닛들(1622)의 수는 동일하거나 상이할 수 있다. 일부 구체예들에서, 가스 분배 어셈블리들이 존재하는 것과 동일한 수의 가공될 웨이퍼들이 존재한다. 하나 이상의 구체예들에서, 가공될 웨이퍼들의 수는 가수 분배 어셈블리들의 수의 분수 또는 정수 곱이다. 예를 들어, 4개의 가스 분배 어셈블리들이 존재하는 경우에, 4x 웨이퍼들이 가공될 것이며, 여기서 x는 1 이상의 정수값이다.
도 17에 도시된 가공 챔버(1600)는 단지 하나의 가능한 구성을 예시하기 위한 것으로서, 본 발명의 범위를 한정하는 것으로서 고려되지 않을 것이다. 여기에서, 가공 챔버(1600)는 복수의 가스 분배 어셈블리들(1620)을 포함한다. 도시된 구체예에서, 가공 챔버(1600)에 대해 일정하게 이격된 4개의 가스 분배 어셈블리들(1622)이 존재한다. 도시된 가공 챔버(1600)는 8각형이지만, 이러한 것이 하나의 가능한 형상이라는 것이 당업자에게 이해될 것이며, 이는 본 발명의 범위를 한정하는 것으로 고려되지 않을 것이다. 도시 가스 분배 어셈블리들(1620)은 사다리꼴이지만, 가스 분배 어셈블리들이 단일 환형 부품일 수 있거나 반지름을 갖는 내부 및/또는 외부 외측 에지들을 갖는 복수의 파이-형상의 세그먼트들로 구성될 수 있는 것으로 당업자에 의해 이해될 것이다.
도 17에 도시된 구체예는 로드 로크 챔버(1680), 또는 완충 스테이션과 같은 보조 챔버를 포함한다. 이러한 챔버(1680)는 예를 들어 기판들(1660)을 챔버(1600)로부터 로딩/언로딩할 수 있게 하기 위해 가공 챔버(1600)의 측면에 연결된다. 웨이퍼 로보트는 기판을 이동시키기 위해 챔버(1680)에 정위될 수 있다.
카로우젤(예를 들어, 서셉터 어셈블리(1640))의 회전은 연속적이거나 불연속적일 수 있다. 연속적인 가공에서, 웨이퍼들은 이러한 것들이 주입기 각각에 차례로 노출되도록 일정하게 회전된다. 불연속적인 가공에서, 웨이퍼들은 주입기 영역에 이동되고 정지되고, 이후에 주입기들 사이의 영역(1684)로 이동되고, 정지될 수 있다. 예를 들어, 카로우젤은 웨이퍼들이 주입기를 가로질러 주입기 사이 영역으로부터 (또는 주입기에 인접한 스톱으로부터) 그리고 다시 멈출 수 있는 다음 주입기 사이 영역 상으로 이동하도록 회전할 수 있다. 주입기들 사이의 유지는 각 층 증착들 사이의 추가 가공 단계들 (예를 들어, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
하나 이상의 구체예들에 따르면, 기판은 연속적으로 진공 또는 "로드 로크" 조건들 하에서 있고, 하나의 챔버에서 다음 챔버로 이동될 때에 주변 공기에 노출되지 않는다. 이에 따라, 이송 챔버들은 진공하에 있고, 진공 압력 하에서 "펌핑 다운"된다. 불활성 가스들은 가공 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 구체예들에서, 불활성 가스는 기판의 표면 상에 규소 층을 형성시킨 후에 반응물들 중 일부 또는 전부를 제거하기 위한 퍼지 가스로서 사용된다. 하나의 구체예들에 따르면, 퍼지 가스는 반응물들이 증착 챔버에서 이송 챔버 및/또는 추가 가공 챔버로 이동하는 것을 방지하기 위해 증착 챔버의 배출구에서 주입된다. 이에 따라, 불활성 가스의 흐름은 챔버의 배출구에서 커튼을 형성한다.
기판은 단일 기판 증착 챔버들에서 가공될 수 있으며, 여기서, 다른 기판이 가공되기 전에, 단일 기판이 로딩되고, 가공되고, 언로딩된다. 기판은 또한, 컨베이어 시스템과 같이, 연속 방식으로 가공될 수 있으며, 여기서 다수의 기판은 챔버의 제1 부분에 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 관련된 컨베이어 시스템의 형상은 직선 경로 또는 구부러진 경로를 형성할 수 있다. 추가적으로, 가공 챔버는 카로우젤일 수 있는데, 여기서 다수의 기판들은 중심축 둘레에 이동되고, 카로우젤 경로 전반에 걸쳐 증착, 에치, 어닐링, 세정 공정 등에 노출된다.
가공 동안에, 기판은 가열되거나 냉각될 수 있다. 이러한 가열 또는 냉각은 기판 지지체의 온도를 변경시키고 기판 표면에 가열되거나 냉각된 가스들을 흐르게 하는 것을 포함하지만, 이로 제한되지 않는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 구체예들에서, 기판 지지체는 기판 온도를 유도적으로 변경시키기 위해 조절될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 구체예들에서, 사용되는 가스들 (반응 가스들 또는 불활성 가스들 중 어느 하나)은 기판 온도를 국부적으로 변경하기 위해 가열되거나 냉각된다. 일부 구체예들에서, 가열기/냉각기는 기판 온도를 대류적으로 변경시키기 위해 기판 표면에 인접한 챔버 내에 정위된다.
기판은 또한, 가공 동안에 정지되거나 회전될 수 있다. 회전하는 기판은 연속적으로 또는 별개의 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 공정 전반에 걸쳐 회전될 수 있거나, 기판은 상이한 반응 가스 또는 퍼지 가스에 대한 노출 사이에 적은 정도로 회전될 수 있다. 가공 동안에 (연속적으로 또는 단계별로) 기판을 회전시키는 경우에는 예를 들어 가스 흐름 기하학적 구조에서 국부적 가변성의 효과를 최소화함으로써 더욱 균일한 증착 또는 에치를 형성시키는데 도움을 줄 수 있다.
제1 구체예는 기판을 실험식 WxCl5x를 갖는 화합물을 포함하는 텅스텐-함유 화합물을 포함하는 제1 반응 가스, 및 제2 반응 가스에 연속적으로 노출시켜 텅스텐-함유 필름을 형성시키는 것을 포함하는 가공 방법에 관한 것이다.
제2 구체예에서, 제1 구체예가 변형된 것으로서, 여기서 제2 반응 가스가 수소-함유 화합물을 포함하며, 텅스텐-함유 필름이 텅스텐 필름이다.
제3 구체예에서, 제1 또는 제2 구체예들이 변형된 것으로서, 여기서 제2 반응 가스가 질소-함유 화합물을 포함하며, 텅스텐-함유 필름이 텅스텐 니트라이드를 포함한다.
제4 구체예에서, 제1 내지 제3 구체예들 중 어느 하나가 변형된 것으로서, 여기서 제2 반응 가스가 규소-함유 화합물을 포함하며, 텅스텐-함유 필름이 텅스텐 실리사이드 (WSix)를 포함한다.
제5 구체예에서, 제1 또는 제4 구체예 중 어느 하나가 변형된 것으로서, 여기서 제2 반응 가스가 수소를 추가로 포함한다.
제6 구체예에서, 제1 내지 제5 구체예 중 어느 하나가 변형된 것으로서, 여기서 제2 반응 가스가 규소-함유 화합물 및 질소-함유 화합물의 혼합물을 포함하며, 텅스텐-함유 필름이 텅스텐-규소-니트라이드 (WSixNy)를 포함한다.
제7 구체예에서, 제1 내지 제6 구체예 중 어느 하나가 변형된 것으로서, 기판이 약 475℃ 미만의 온도에서 유지된다.
제8 구체예에서, 제1, 제2 또는 제7 구체예 중 어느 하나가 변형된 것으로서, 여기서 텅스텐-함유 필름이 텅스텐을 필수적으로 포함한다.
제9 구체예에서, 제8 구체예가 변형된 것으로서, 여기서 기판이 일 함수 금속을 포함한다.
제10 구체예에서, 제9 구체예가 변형된 것으로서, 여기서 일 함수 금속이 Ti를 포함한다.
제11 구체예에서, 제9 또는 제10 구체예 중 어느 하나가 변형된 것으로서, 여기서 일 함수 금속이 TiAl을 포함한다.
제12 구체예에서, 제8 내지 제11 구체예들 중 어느 하나가 변형된 것으로서, 여기서 일 함수 금속과 텅스텐을 필수적으로 포함하는 필름 사이에 개재층(intervening layer)이 존재하지 않는다.
제13 구체예에서, 제8 내지 제11 구체예들 중 어느 하나가 변형된 것으로서, 여기서 일 함수 금속과 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재하며, 개재층이 약 5 옹스트롬 미만의 두께를 갖는다.
본 발명의 제14 구체예는 기판을 가공 챔버에 정위시키고; 기판의 일부 또는 전부를 약 475℃ 이하의 온도에서 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 텅스텐-함유 필름을 형성시키는 것을 포함하며, 제1 반응 가스가 실험식 WxCl5x를 갖는 텅스텐 펜타클로라이드, 또는 텅스텐 헥사클로라이드 중 하나 이상을 포함하는 가공 방법에 관한 것이다.
제15 구체예에서, 제14 구체예가 변형된 것으로서, 여기서 제2 반응 가스가 수소-함유 화합물을 포함하며, 텅스텐-함유 필름이 텅스텐 필름이다.
제16 구체예에서, 제14 또는 제15 구체예들 중 어느 하나가 변형된 것으로서, 여기서 제2 반응 가스가 질소-함유 화합물을 포함하며, 텅스텐-함유 필름이 텅스텐 니트라이드를 포함한다.
제17 구체예에서, 제14 내지 제16 구체예들 중 어느 하나가 변형된 것으로서, 여기서 제2 반응 가스가 규소-함유 화합물을 포함하며, 텅스텐-함유 필름이 텅스텐 실리사이드 (WSix)를 포함한다.
제18 구체예에서, 제17 구체예가 변형된 것으로서, 여기서 제2 반응 가스가 수소를 추가로 포함한다.
제19 구체예에서, 제14 내지 제18 구체예들 중 어느 하나가 변형된 것으로서, 여기서 제2 반응 가스가 규소-함유 화합물 및 질소-함유 화합물의 혼합물을 포함하며, 텅스텐-함유 필름이 텅스텐-규소-니트라이드 (WSixNy)를 포함한다.
제20 구체예에서, 제14 내지 제19 구체예들 중 어느 하나가 변형된 것으로서, 여기서 텅스텐-함유 필름의 증착 전에, 기판이 금속성 층을 포함한다.
제21 구체예에서, 제14 내지 제20 구체예들 중 어느 하나가 변형된 것으로서, 여기서 텅스텐-함유 필름의 증착 전에, 기판이 옥사이드 층을 포함하며, 방법이 기판을 약 5 내지 약 20 Torr 범위의 분압에서 디실란, 또는 수소와 실란의 혼합물로 소킹시키는 것을 추가로 포함한다.
제22 구체예에서, 제14 내지 제21 구체예들 중 어느 하나가 변형된 것으로서, 여기서 텅스텐 함유 필름이 약 0.2 Å/사이클 내지 약 3 Å/사이클 범위의 속도로 성장한다.
본 발명의 제23 구체예는 WSix 필름을 증착시키는 방법에 관한 것이다. 본 방법은 기판을 가공 챔버에 정위시키고; 기판의 일부 또는 전부를 약 475℃ 이하의 온도에서 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 WSix 필름을 형성시키는 것을 포함하며, 제1 반응 가스가 실험식 WxCl5x를 갖는 텅스텐 펜타클로라이드 또는 텅스텐 헥사클로라이드 중 하나 이상을 포함하며, 제2 반응 가스가 규소-함유 가스를 포함하며, 규소-함유 가스 대 텅스텐-함유 가스의 비가 약 100:2 내지 약 100:0.2 범위이다.
제24 구체예에서, 제23 구체예가 변형된 것으로서, 여기서 규소-함유 가스가 실란 및 디실란 중 하나 이상을 포함하며, 제2 반응 가스가 수소를 추가로 포함한다.
제25 구체예에서, 제23 내지 제24 구체예들 중 어느 하나가 기판의 일부 또는 전부를 교대 사이클(alternate cycle)로 규소-함유 가스 대신에 수소-함유 가스에 노출시키는 것을 추가로 포함하도록 변형된다.
제26 구체예에서, 제25 구체예가 변형된 것으로서, 여기서 기판이 규소-함유 가스에 노출되기 전에, 수소-함유 가스에 노출된다.
본 발명의 제27 구체예는 채널 위에 배치된 유전체 층; 유전체 층 위에 배치된 일 함수 금속; 및 일 함수 층 위에 배치된 충진층을 포함하며, 충진층이 W를 필수적으로 포함하는, 집적 회로 트랜지스터 소자에 관한 것이다.
제28 구체예에서, 제27 구체예가 변형된 것으로서, 여기서 일 함수 층이 Ti를 포함한다.
제29 구체예에서, 제27 내지 제28 구체예 중 어느 하나가 변형된 것으로서, 여기서 일 함수 층이 TiAl을 포함한다.
제30 구체예에서, 제28 또는 제29 구체예 중 어느 하나가 변형된 것으로서, 여기서 일 함수 금속이 실질적으로 불소를 함유하지 않는다.
제31 구체예에서, 제27 내지 제30 구체예 중 어느 하나가 변형된 것으로서, 여기서 충진층이 실질적으로 불소를 함유하지 않는다.
제32 구체예에서, 제27 내지 제31 구체예 중 어느 하나가 변형된 것으로서, 여기서 W를 필수적으로 포함하는 충진층이 ALD W 층이다.
제33 구체예에서, 제32 구체예가 변형된 것으로서, 여기서 ALD W 층이 WxCl5x 및 수소-함유 화합물을 포함하는 제2 반응 가스의 연속적인 노출에 의해 형성된다.
제34 구체예에서, 제27 내지 제33 구체예들 중 어느 하나가 변형된 것으로서, 여기서 일 함수 금속과 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재하지 않는다.
제35 구체예에서, 제27 내지 제33 구체예들 중 어느 하나가 변형된 것으로서, 여기서 일 함수 금속과 텅스텐을 필수적으로 포함하는 필름 사이에 개재층이 존재하며, 개재층이 약 5 옹스트롬 미만의 두께를 갖는다.
제36 구체예에서, 제27 내지 제35 구체예들 중 어느 하나가 변형된 것으로서, 여기서 충진층이 CVD W이며, CVD W가 WxCl5x 및 수소-함유 화합물을 포함하는 제2 반응 가스의 동시 노출에 의해 형성된다.
본 발명의 제37 구체예는 가공 챔버에서 기판의 일부 또는 전부를 실험식 WCl5 또는 WCl6을 갖는 텅스텐-함유 화합물을 포함하는 제1 반응 가스, 및 수소 라디칼을 포함하는 제2 반응 가스에 연속적으로 노출시켜 텅스텐-함유 필름을 형성시키는 것을 포함하는 가공 방법에 관한 것이다.
제38 구체예에서, 제37 구체예가 수소 가스로부터 수소 라디칼들을 발생시키는 것을 추가로 포함한다.
제39 구체예에서, 제38 구체예가 변형된 것으로서, 여기서 수소 가스로부터 수소 라디칼들을 발생시키는 것이 수소 라디칼들을 생성시키기에 충분한 온도를 갖는 발열체(heating element)를 가로질러 수소 가스를 통과시키는 것을 포함한다.
제40 구체예에서, 제39 구체예가 발열체를 수소 라디칼들을 생성시키기에 충분한 온도로 가열시키는 것을 추가로 포함한다.
제41 구체예에서, 제40 구체예가 변형된 것으로서, 여기서 발열체를 가열시키는 것이 발열체를 통해 전류의 흐름을 제공하는 것을 포함한다.
제42 구체예에서, 제40 및 제41 구체예들 중 어느 하나가 발열체가 수소 라디칼들을 생성시키기에 충분한 온도에서 처짐을 방지하기 위해 발열체의 단부에 동적 장력(dynamic tension)을 적용하는 것을 추가로 포함한다.
제43 구체예에서, 제40 내지 제42 구체예들 중 어느 하나가 변형된 것으로서, 여기서 발열체가 열팽창에 대해 실질적으로 내성인 엔클로저 내에 포함되어 있다.
제44 구체예에서, 제37 내지 제43 구체예들 중 어느 하나가 변형된 것으로서, 여기서 제1 반응 가스 및 제2 반응 가스가 동시에 가공 챔버로 흘러들어간다.
제45 구체예에서, 제37 내지 제44 구체예들 중 어느 하나가 변형된 것으로서, 여기서 제1 반응 가스 및 제2 반응 가스가 인접한 긴 가스 포트들을 포함하는 가스 분배 어셈블리를 통해 가공 챔버로 흘러들어가며, 상이한 긴 가스 포트들을 통해 흐르는 제1 반응 가스 및 제2 반응 가스가 퍼지 가스 포트 및 진공 포트 중 하나 이상에 의해 분리된다.
제46 구체예에서, 제39 내지 제45 구체예들 중 어느 하나가 변형된 것으로서, 여기서 발열체가 제2 반응 가스 포트 내에서 정위된다.
제47 구체예에서, 제39 내지 제45 구체예들 중 어느 하나가 변형된 것으로서, 여기서 발열체가 열팽창에 대해 실질적으로 내성인 엔클로저 내에 포함되어 있다.
제48 구체예에서, 제47 구체예가 변형된 것으로서, 여기서 제2 반응 가스 포트들로부터 흐르는 제2 반응 가스가 엔클로저를 통해 그리고 발열체 둘레에 흐르도록, 엔클로저가 가스 분배 어셈블리의 전면에 부착된다.
제49 구체예에서, 제45 내지 제48 구체예들 중 어느 하나가 기판의 각 부분이 제1 반응 가스 및 제2 반응 가스를 순서대로 필수적으로 포함하는 가스의 흐름에 노출되도록, 가스 분배 어셈블리에 대해 기판을 이동시키는 것을 추가로 포함한다.
제50 구체예에서, 제37 내지 제49 구체예들 중 어느 하나가 변형된 것으로서, 여기서 기판이 약 475℃ 미만의 온도에서 유지된다.
제51 구체예는 기판을, 제1 반응 가스 포트 및 제2 반응 가스 포트를 포함하는 복수의 긴 가스 포트들을 포함하는 가스 분배 어셈블리를 포함하는 가공 챔버에 정위시키되, 제1 반응 가스 포트가 실험식 WCl5 또는 WCl6을 갖는 텅스텐-함유 화합물을 포함하는 제1 반응 가스와 유체 소통하며, 제2 반응 가스 포트가 수소를 포함하는 제2 반응 가스와 유체 소통하며, 가스 분배 어셈블리가 제1 반응 가스 및 제2 반응 가스 둘 모두를 가송 챔버에 동시에 흘려 보내고; 제2 반응 가스를 발열체를 가로질러 통과시켜 제2 반응 가스 중에 수소 라디칼들을 발생시키고; 기판의 일부 또는 전부를 제1 반응 가스 및 제2 반응 가스 중의 수소 라디칼들에 연속적으로 노출시켜 기판 상에 텅스텐 필름을 형성시키는 것을 포함하는 가공 방법에 관한 것이다.
제52 구체예에서, 제51 구체예가 변형된 것으로서, 여기서 발열체가 열팽창에 대해 실질적으로 내성인 엔클로저 내에 포함되며, 제2 반응 가스가 엔클로저를 통해 흐르도록 엔클로저가 가스 분배 어셈블리의 전면에 부착되어 있다.
제53 구체예에서, 제51 내지 제52 구체예들 중 어느 하나가 텅스텐 필름의 증착 전에, 기판이 금속성 층을 포함한다.
제54 구체예에서, 제51 내지 제53 구체예들 중 어느 하나가 변형된 것으로서, 여기서 텅스텐 필름의 증착 전에, 기판이 옥사이드 층을 포함하며, 방법이 기판을 약 5 Torr 내지 약 20 Torr 범위의 분압에서 디실란, 또는 수소와 실란의 혼합물로 소킹시키는 것을 추가로 포함한다.
제55 구체예에서, 제51 내지 제54 구체예들 중 어느 하나가 변형된 것으로서, 여기서 텅스텐 필름이 약 0.2 Å/사이클 내지 약 3 Å/사이클 범위의 속도로 성장한다.
제56 구체예에서, 제51 내지 제54 구체예들 중 어느 하나가 변형된 것으로서, 여기서 기판의 일부 또는 전부를 제1 반응 가스 및 제2 반응 가스 중의 수소 라디칼들에 연속적으로 노출시키는 것이, 기판의 각 부분이 순서대로 제1 반응 가스 및 수소 라디칼을 필수적으로 포함하는 가스의 흐름에 노출되도록, 가스 분배 어셈블리에 대해 기판을 이동시키는 것을 포함한다.
본 발명의 제57 구체예는 기판을 실란으로 소킹시키고; 미리 실란으로 소킹된 기판을 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 텅스텐 필름을 형성시키는 것을 포함하며, 제1 반응 가스가 실험식 WxCl5x를 갖는 화합물을 포함하는 텅스텐-함유 화합물 및 수소를 포함하며, 제2 반응 가스가 환원제를 포함하는 가공 방법에 관한 것이다.
제58 구체예에서, 제57 구체예가 변형된 것으로서, 여기서 제2 반응 가스가 수소-함유 화합물을 포함한다.
제59 구체예에서, 제57 내지 제58 구체예들 중 어느 하나가 변형된 것으로서, 여기서 기판이 약 350℃ 초과의 온도에서 유지된다.
제60 구체예에서, 제57 내지 제59 구체예들 중 어느 하나가 변형된 것으로서, 여기서 텅스텐 필름이 약 70Å의 두께를 가지며, 텅스텐 필름이 약 60Å 초과의 입자 크기(grain size)를 갖는다.
제61 구체예에서, 제57 내지 제60 구체예들 중 어느 하나가 변형된 것으로서, 여기서 텅스텐 필름이 약 200Å의 두께를 가지며, 텅스텐 필름이 약 30 μΩ·cm 미만의 저항률(resistivity)를 갖는다.
제62 구체예에서, 제57 내지 제61 구체예들 중 어느 하나가 변형된 것으로서, 여기서 제1 반응 가스가 원자 기준으로 텅스텐 보다 수소를 더 많이 포함한다.
제63 구체예에서, 제57 내지 제62 구체예들 중 어느 하나가 변형된 것으로서, 여기서 제1 반응 가스가 텅스텐 함유 화합물 및 수소를 포함하고, 텅스텐 함유 화합물 및 수소가 1:2 내지 1:20 범위의 비로 존재하는 것을 포함한다.
본 발명의 제64 구체예는 표면을 연속적으로 제1 시간 동안에 텅스텐-함유 화합물을 포함하는 제1 반응 가스에 노출시키고 제2 시간 동안에 수소를 포함하는 제2 반응 가스에 노출시켜 텅스텐 필름을 증착시키는 것을 포함하며, 제1 시간 및 제2 시간이 약 2초 미만인 콘포멀한(conformal) 텅스텐 필름을 형성시키는 방법에 관한 것이다.
제65 구체예에서, 제64 구체예가 변형된 것으로서, 여기서 텅스텐 필름이 약 1 Å/사이클 미만의 속도로 성장된다.
제66 구체예에서, 제64 내지 제65 구체예들 중 어느 하나가 변형된 것으로서, 여기서 텅스텐 필름이 약 0.8 Å/사이클 미만의 속도로 성장된다.
제67 구체예는 채널 위에 배치된 유전체 층; 및 유전체 층 상에 텅스텐을 필수적으로 포함하는 일 함수 층을 포함하는 집적 회로 트랜지스터 소자에 관한 것이다.
본 발명의 제68 구체예는 (a) 트랜지스터에서 일 함수 물질 상에 충진재로서 소정 두께의 텅스텐을 증착시키고; (b) 증착된 텅스텐 필름을 처리하고; (c) (a) 및 (b)를 반복하여 요망되는 두께의 텅스텐 충진물을 형성시키는 것을 포함하며, 텅스텐 필름을 처리하는 것이 (1) 충진재를 티탄 테트라클로라이드 및 암모니아에 연속적으로 노출시키는 것; (2) 충진재를 티탄 테트라클로라이드에 소킹시키는 것; (3) 충진재를 약 10초 내지 약 30초 범위의 시간 동안에 수소 플라즈마에 노출시키는 것 중 하나 이상을 포함하는 가공 방법에 관한 것이다.
제69 구체예에서, 제68 구체예가 변형된 것으로서, 여기서 (a)에서 충진재로서 증착된 텅스텐의 두께가 약 10 Å 내지 약 30 Å 범위이다.
제70 구체예에서, 제68 내지 제69 구체예들 중 어느 하나가 변형된 것으로서, 여기서 TiN의 양이 단일층 두께의 약 ½ 미만이다.
본원에서 본 발명이 특정의 구체예들을 참조로 하여 기술되었지만, 이러한 구체예들이 단지 본 발명의 원리들 및 적용들을 예시하는 것으로 이해될 것이다. 다양한 개질예들 및 변형예들이 본 발명의 사상 및 범위를 벗어나지 않으면서 본 발명의 방법 및 장비에 대해 이루어질 수 있다는 것이 당업자에게는 명백할 것이다. 이에 따라, 본 발명이 첨부된 특허청구범위 및 이들의 균등물 내에 있는 개질예들 및 변형예들을 포함하는 것으로 의도된다.

Claims (70)

  1. 기판 상에 WSix 필름을 증착시키는 방법으로서, 기판의 일부 또는 전부를 475℃ 이하의 온도에서 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 WSix 필름을 형성시키는 단계로서, 제1 반응 가스가 텅스텐 펜타클로라이드, 실험식 WxCl5x를 갖는 화합물 또는 텅스텐 헥사클로라이드 중 하나 이상을 포함하며, 제2 반응 가스가 규소-함유 가스를 포함하며, 규소-함유 가스 대 텅스텐-함유 가스의 비는 100:2 내지 100:0.2의 범위인 단계를 포함하는, 기판 상에 WSix 필름을 증착시키는 방법.
  2. 제1항에 있어서, 규소-함유 가스가 실란 및 디실란 중 하나 이상을 포함하며, 제2 반응 가스가 수소를 추가로 포함하는, 기판 상에 WSix 필름을 증착시키는 방법.
  3. 제1항 또는 제2항에 있어서, 기판의 일부 또는 전부를 교대 사이클(alternate cycle)로 규소-함유 가스 대신에 수소-함유 가스에 노출시키는 단계를 추가로 포함하는, 기판 상에 WSix 필름을 증착시키는 방법.
  4. 제3항에 있어서, 기판이 규소-함유 가스에 노출되기 전에, 수소-함유 가스에 노출되는, 기판 상에 WSix 필름을 증착시키는 방법.
  5. 기판의 가공 방법으로서,
    기판을 실란으로 소킹시키는 단계; 및
    사전에 실란으로 소킹된 기판을 제1 반응 가스 및 제2 반응 가스에 연속적으로 노출시켜 텅스텐 필름을 형성시키는 단계로서, 제1 반응 가스가 실험식 WxCl5x를 갖는 화합물을 포함하는 텅스텐-함유 화합물 및 수소를 포함하며, 제2 반응 가스가 환원제를 포함하는 단계를 포함하는, 기판의 가공 방법.
  6. 제5항에 있어서, 제2 반응 가스가 수소-함유 화합물을 포함하는, 기판의 가공 방법.
  7. 제5항에 있어서, 기판이 350℃ 초과의 온도에서 유지되는, 기판의 가공 방법.
  8. 제5항에 있어서, 텅스텐 필름이 70Å의 두께를 가지며, 텅스텐 필름이 60Å 초과의 입자 크기(grain size)를 갖는, 기판의 가공 방법.
  9. 제5항에 있어서, 텅스텐 필름이 200Å의 두께를 가지며, 텅스텐 필름이 30 μΩ·cm 미만의 저항률(resistivity)를 갖는, 기판의 가공 방법.
  10. 제5항에 있어서, 제1 반응 가스가 원자 기준으로 텅스텐 보다 수소를 더 많이 포함하는, 기판의 가공 방법.
  11. 제5항 내지 제10항 중 어느 한 항에 있어서, 제1 반응 가스가 텅스텐 함유 화합물 및 수소를 포함하고, 텅스텐 함유 화합물 및 수소가 1:2 내지 1:20 범위의 비로 존재하는, 기판의 가공 방법.
  12. 표면을 제1 시간 동안에 텅스텐-함유 화합물로 이루어진 제1 반응 가스에 그리고 제2 시간 동안에 수소로 이루어진 제2 반응 가스에 연속적으로 노출시켜 텅스텐 필름을 증착시키는 단계로서, 제1 시간 및 제2 시간이 2초 미만인 단계를 포함하는, 콘포멀한(conformal) 텅스텐 필름을 형성시키는 기판의 가공 방법.
  13. 제12항에 있어서, 텅스텐 필름이 1 Å/사이클 미만의 속도로 성장되는, 기판의 가공 방법.
  14. 제13항에 있어서, 텅스텐 필름이 0.8 Å/사이클 미만의 속도로 성장되는. 기판의 가공 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
KR1020207002099A 2012-10-26 2013-10-25 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법 KR102266379B1 (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US201261719350P 2012-10-26 2012-10-26
US61/719,350 2012-10-26
US201361784281P 2013-03-14 2013-03-14
US61/784,281 2013-03-14
US201361825983P 2013-05-21 2013-05-21
US61/825,983 2013-05-21
US14/062,804 US9230815B2 (en) 2012-10-26 2013-10-24 Methods for depositing fluorine/carbon-free conformal tungsten
US14/062,804 2013-10-24
PCT/US2013/066866 WO2014066792A1 (en) 2012-10-26 2013-10-25 Methods for depositing fluorine/carbon-free conformal tungsten
KR1020157013851A KR102071442B1 (ko) 2012-10-26 2013-10-25 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157013851A Division KR102071442B1 (ko) 2012-10-26 2013-10-25 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법

Publications (2)

Publication Number Publication Date
KR20200010612A KR20200010612A (ko) 2020-01-30
KR102266379B1 true KR102266379B1 (ko) 2021-06-16

Family

ID=50545326

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020157013851A KR102071442B1 (ko) 2012-10-26 2013-10-25 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법
KR1020207002099A KR102266379B1 (ko) 2012-10-26 2013-10-25 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020157013851A KR102071442B1 (ko) 2012-10-26 2013-10-25 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법

Country Status (5)

Country Link
US (4) US9230815B2 (ko)
KR (2) KR102071442B1 (ko)
CN (2) CN107829075A (ko)
TW (3) TWI647329B (ko)
WO (1) WO2014066792A1 (ko)

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR20160079031A (ko) * 2013-11-27 2016-07-05 도쿄엘렉트론가부시키가이샤 텅스텐막의 성막 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6437324B2 (ja) * 2014-03-25 2018-12-12 東京エレクトロン株式会社 タングステン膜の成膜方法および半導体装置の製造方法
US9653352B2 (en) * 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US20150348840A1 (en) * 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US20150360242A1 (en) * 2014-06-11 2015-12-17 Veeco Ald Inc. Linear Deposition Apparatus with Modular Assembly
US9397107B2 (en) * 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9960023B2 (en) 2014-12-31 2018-05-01 Applied Materials, Inc. Methods and apparatus for nodule control in a titanium-tungsten target
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9928994B2 (en) 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
JP6416679B2 (ja) * 2015-03-27 2018-10-31 東京エレクトロン株式会社 タングステン膜の成膜方法
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
TW201700761A (zh) * 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9768177B2 (en) 2015-08-04 2017-09-19 Micron Technology, Inc. Method of forming conductive material of a buried transistor gate line and method of forming a buried transistor gate line
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR20180063242A (ko) 2015-10-06 2018-06-11 엔테그리스, 아이엔씨. 고체 전구체의 저온 소결
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
WO2017091571A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Methods for forming low-resistance contacts through integrated process flow systems
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR20170120443A (ko) * 2016-04-21 2017-10-31 삼성전자주식회사 텅스텐 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
DE102016108845A1 (de) * 2016-05-12 2017-11-16 Stephan Wege Gasinjektor für Reaktorbereiche
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9862606B1 (en) * 2017-03-27 2018-01-09 Lyten, Inc. Carbon allotropes
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR20230127377A (ko) 2017-04-10 2023-08-31 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TW201908511A (zh) * 2017-07-13 2019-03-01 美商應用材料股份有限公司 用於沉積鎢成核層的方法及設備
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10519544B2 (en) 2017-08-24 2019-12-31 United Technologies Corporation Method for enabling optimized material deposition
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11643721B2 (en) * 2017-09-12 2023-05-09 Applied Materials, Inc. Low temperature deposition of iridium containing films
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR102404056B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 반도체 장치의 제조 방법
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN110622283A (zh) * 2017-11-22 2019-12-27 应用材料公司 减少或消除钨膜中缺陷的方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7018748B2 (ja) * 2017-11-28 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜条件の算出方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10710896B2 (en) 2018-04-30 2020-07-14 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tungsten pentachloride conditioning and crystalline phase manipulation
US10899630B2 (en) 2018-04-30 2021-01-26 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Tungsten pentachloride conditioning and crystalline phase manipulation
US10669160B2 (en) 2018-04-30 2020-06-02 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US11535931B2 (en) * 2018-06-26 2022-12-27 Kokusai Electric Corporation Method of manufacturing semiconductor device, method of managing parts, and recording medium
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7149788B2 (ja) * 2018-09-21 2022-10-07 東京エレクトロン株式会社 成膜方法及び成膜装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
CN109250759B (zh) * 2018-12-10 2021-03-02 湖南省华京粉体材料有限公司 一种五氯化钨的制备方法及其装置
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11821071B2 (en) 2019-03-11 2023-11-21 Lam Research Corporation Precursors for deposition of molybdenum-containing films
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7295749B2 (ja) * 2019-09-13 2023-06-21 キオクシア株式会社 半導体装置の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
TW202200822A (zh) * 2020-03-11 2022-01-01 美商應用材料股份有限公司 使用催化沉積的間隙填充方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
US11965239B2 (en) 2020-06-17 2024-04-23 Entegris, Inc. Method for nucleation of conductive nitride films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11377733B2 (en) * 2020-08-07 2022-07-05 Sandisk Technologies Llc Fluorine-free tungsten deposition process employing in-situ oxidation and apparatuses for effecting the same
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220098731A1 (en) * 2020-09-29 2022-03-31 Applied Materials, Inc. Method Of Reducing Titanium Nitride Etching During Tungsten Film Formation
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100551073B1 (ko) * 2003-12-18 2006-02-10 주식회사 하이닉스반도체 펄스식 화학기상증착 방식을 이용한 박막 형성 방법
KR102071442B1 (ko) * 2012-10-26 2020-01-30 어플라이드 머티어리얼스, 인코포레이티드 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4391846A (en) 1979-04-05 1983-07-05 The United States Of America As Represented By The United States Department Of Energy Method of preparing high-temperature-stable thin-film resistors
JPS58181714A (ja) 1982-04-19 1983-10-24 Sanyo Electric Co Ltd a−si感光体ドラム作成装置
US4699801A (en) 1985-02-28 1987-10-13 Kabuskiki Kaisha Toshiba Semiconductor device
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
EP0546670B2 (en) 1991-12-13 2000-11-08 Ford Motor Company Limited Metal nitride films
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5747113A (en) 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
KR100432378B1 (ko) * 2001-08-30 2004-05-22 주성엔지니어링(주) Hdp-cvd 장치
GB0124427D0 (en) * 2001-10-11 2001-12-05 Eblana Photonics Ltd A method of manufacturing a semiconductor device
WO2003079415A2 (en) 2002-03-14 2003-09-25 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
KR100831974B1 (ko) * 2002-07-12 2008-05-26 주식회사 하이닉스반도체 텅스텐을 적용한 이중 일 함수 금속 게이트 전극 및 그제조 방법
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7071086B2 (en) * 2003-04-23 2006-07-04 Advanced Micro Devices, Inc. Method of forming a metal gate structure with tuning of work function by silicon incorporation
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
WO2006019603A2 (en) 2004-07-30 2006-02-23 Applied Materials, Inc. Thin tungsten silicide layer deposition and gate metal integration
JP4945937B2 (ja) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
KR100713925B1 (ko) * 2005-12-28 2007-05-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7674710B2 (en) 2006-11-20 2010-03-09 Tokyo Electron Limited Method of integrating metal-containing films into semiconductor devices
DE102007001655A1 (de) * 2007-01-04 2008-07-10 Nitrochemie Aschau Gmbh Formteil sowie Verfahren zum Herstellen des Formteils
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
CN101308794B (zh) * 2007-05-15 2010-09-15 应用材料股份有限公司 钨材料的原子层沉积
KR20090001328A (ko) 2007-06-29 2009-01-08 한국단자공업 주식회사 커넥터어셈블리
KR20090013286A (ko) 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100075037A1 (en) 2008-09-22 2010-03-25 Marsh Eugene P Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods
CN102197459A (zh) * 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
US20120003833A1 (en) 2010-07-01 2012-01-05 Applied Materials, Inc. Methods for forming tungsten-containing layers
US20120213929A1 (en) * 2011-02-18 2012-08-23 Tokyo Electron Limited Method of operating filament assisted chemical vapor deposition system
US20130164445A1 (en) * 2011-12-23 2013-06-27 Garry K. Kwong Self-Contained Heating Element
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
KR20170069229A (ko) 2014-10-17 2017-06-20 로터스 어플라이드 테크놀로지, 엘엘씨 혼합 산화물 장벽 피막의 고속 증착

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100551073B1 (ko) * 2003-12-18 2006-02-10 주식회사 하이닉스반도체 펄스식 화학기상증착 방식을 이용한 박막 형성 방법
KR102071442B1 (ko) * 2012-10-26 2020-01-30 어플라이드 머티어리얼스, 인코포레이티드 불소/탄소-부재 콘포멀한 텅스텐을 증착시키는 방법

Also Published As

Publication number Publication date
US20210225655A1 (en) 2021-07-22
US10985023B2 (en) 2021-04-20
TWI599673B (zh) 2017-09-21
CN104737275B (zh) 2018-03-16
WO2014066792A1 (en) 2014-05-01
CN104737275A (zh) 2015-06-24
US20140120723A1 (en) 2014-05-01
KR20150074178A (ko) 2015-07-01
TWI647329B (zh) 2019-01-11
US11887855B2 (en) 2024-01-30
TW201925514A (zh) 2019-07-01
US20160104624A1 (en) 2016-04-14
TW201812069A (zh) 2018-04-01
US20170194156A1 (en) 2017-07-06
KR102071442B1 (ko) 2020-01-30
TWI686496B (zh) 2020-03-01
CN107829075A (zh) 2018-03-23
TW201428126A (zh) 2014-07-16
US9230815B2 (en) 2016-01-05
US9601339B2 (en) 2017-03-21
KR20200010612A (ko) 2020-01-30

Similar Documents

Publication Publication Date Title
US11887855B2 (en) Methods for depositing fluorine/carbon-free conformal tungsten
US9514933B2 (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
US20140023794A1 (en) Method And Apparatus For Low Temperature ALD Deposition
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
CN111492467B (zh) 钌的选择性原子层沉积
US20120003833A1 (en) Methods for forming tungsten-containing layers
US10096464B2 (en) Atomic layer deposition of high density silicon dioxide
WO2016054401A1 (en) Top lamp module for carousel deposition chamber
US20130323422A1 (en) Apparatus for CVD and ALD with an Elongate Nozzle and Methods Of Use
CN110892507A (zh) 沉积含钇膜的方法及设备

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant