CN110892507A - 沉积含钇膜的方法及设备 - Google Patents

沉积含钇膜的方法及设备 Download PDF

Info

Publication number
CN110892507A
CN110892507A CN201880046778.2A CN201880046778A CN110892507A CN 110892507 A CN110892507 A CN 110892507A CN 201880046778 A CN201880046778 A CN 201880046778A CN 110892507 A CN110892507 A CN 110892507A
Authority
CN
China
Prior art keywords
yttrium
substrate
gas
precursor
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880046778.2A
Other languages
English (en)
Other versions
CN110892507B (zh
Inventor
拉克马尔·C·卡拉塔拉格
马克·沙丽
托马斯·奈斯利
本杰明·施密格
大卫·汤普森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202310837902.XA priority Critical patent/CN116949421A/zh
Publication of CN110892507A publication Critical patent/CN110892507A/zh
Application granted granted Critical
Publication of CN110892507B publication Critical patent/CN110892507B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Saccharide Compounds (AREA)
  • Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)

Abstract

兹描述用于经由原子层沉积工艺来沉积含钇膜的方法。本公开内容的某些实施方式利用等离子体增强的原子层沉积工艺。亦描述用于执行含钇膜的原子层沉积的设备。

Description

沉积含钇膜的方法及设备
技术领域
本公开内容的实施方式一般地涉及用于产生蚀刻对比材料的方法。尤其是,本公开内容的实施方式涉及用于经由原子层沉积产生含钇膜的方法。本公开内容的额外实施方式涉及用于在基板上执行含钇膜的原子层沉积的设备。
背景技术
蚀刻选择性膜用于半导体制造工艺中的图案化应用。具有不同蚀刻选择性的膜降低了对通常用于图案化的昂贵平版印刷方法的需求。蚀刻一个膜而不是另一个膜(或相对于另一个膜选择性地蚀刻一个膜)的能力使用比平版印刷技术更少的工艺步骤。
氮化钛(TiN)是微电子器件中常用的膜。于器件制造期间,需要蚀刻TiN而不蚀刻器件中的其他材料。为了做到这一点,需要被保护而免于受TiN蚀刻影响的材料可被覆盖于显示出对TiN具有高蚀刻对比度(etch contrast)的材料中。使用卤化物系反应性离子(RI)蚀刻时显示出对TiN的高干式蚀刻选择性的已知材料不多。诸如SiN、TiO2、HfO2及ZrO2之类的常见材料对于卤化物系蚀刻的抗性不足以达到器件制造所需的高蚀刻选择性。
因此,本案所属技术领域需要新的方法来沉积对TiN具有高蚀刻对比度的材料。
发明内容
本公开内容的一或多个实施方式涉及沉积膜的方法。所述方法包含以下步骤:将基板暴露于钇前驱物,以于基板上形成钇物种。氮反应剂或氧反应剂中的一或多种被暴露于基板,以与基板上的钇物种反应,用以形成氮化钇或氧化钇膜中的一或多种。钇前驱物包含通式为YR1R2R3的络合物,其中R1、R2及R3独立地选自:卤化物、羰基、环戊二烯、胺、acac、hfac、脒盐(amidinate)或二氮杂二烯(diazadiene)。
本公开内容的额外实施方式涉及一种方法,该方法包含以下步骤:依序将基板暴露于钇前驱物及第一氮反应剂,以形成氮化钇膜。依序将基板暴露于硅前驱物及第二氮反应剂,以形成氮化硅膜。钇前驱物包含通式为YR1R2R3的钇物种,其中R1、R2及R3独立地选自:卤化物、羰基、环戊二烯、胺、acac、hfac、脒盐(amidinate)或二氮杂二烯(diazadiene)。第一氮反应剂包含以下一或多种:氨、氮、联氨、氩、氢、氦或前述的等离子体。
本公开内容的进一步实施方式涉及一种处理腔室。所述处理腔室包含基座组件,所述基座组件支撑多个基板,并且绕着中央轴旋转所述多个基板。基座组件具有顶表面,该顶表面具有多个凹部,所述多个凹部经改变尺寸以固持基板。处理腔室包括气体分配组件,所述气体分配组件具有前表面,该前表面与基座组件的顶表面分隔而形成间隙。气体分配组件包括:多个气体端口和真空端口,提供多个气流进入该间隙,并提供多个真空流以自该间隙移除气体。多个气体端口和真空端口经配置而形成多个处理区域。各处理区域由气帘(gas curtain)与相邻的处理区域分隔。控制器耦接基座组件和气体分配组件。控制器具有一或多种配置。这些配置可包括:第一配置,用以使基座组件绕着中央轴旋转;第二配置,用以将钇前驱物的流提供至处理区域;第三配置,用以将硅前驱物的流提供至处理区域;第四配置,用以将氮反应剂的流提供至所述处理区域中的一或多个;或第五配置,用以于处理区域中提供处理等离子体。钇前驱物包含通式为YR1R2R3的钇物种,其中R1、R2及R3独立地选自:卤化物、羰基、环戊二烯、胺、acac、hfac、脒盐(amidinate)或二氮杂二烯(diazadiene)。硅前驱物包含一物种,该物种具有通式SiXaR4-a、Si(NRR’)aR”4-a或硅氧烷,其中a为0至4,X为卤化物,且R、R’及R”独立地选自H、烷基或芳基。氮反应剂包含以下一或多种:氨、氮、联氨、氩、氢、氦或前述的等离子体。
附图说明
以上简要概述本公开内容的上述详述特征可以被详细理解的方式、以及本公开内容的更特定描述,可通过参照实施方式来获得,其中一些实施方式绘示于所附图式中。然而,应注意到,所附图式仅绘示本公开内容的典型实施方式,因而不应被视为对本公开内容的范围的限制,因为本公开内容可允许其他等同有效的实施方式。
图1示出根据本公开内容的一或多个实施方式的处理平台的示意图;
图2示出根据本公开内容的一或多个实施方式的批处理腔室的剖面视图;
图3示出根据本公开内容的一或多个实施方式的批处理腔室的局部透视图;
图4示出根据本公开内容的一或多个实施方式的批处理腔室的示意图;
图5示出根据本公开内容的一或多个实施方式的供在批处理腔室中使用的楔形气体分配组件的一部分的示意图;以及
图6示出根据本公开内容的一或多个实施方式的批处理腔室的示意图。
在附图中,类似的部件和/或特征可以具有相同的附图标号。进一步,可由在附图标号后以破折号和区别类似部件的第二标号来区别相同类型的各种部件。若在说明书中仅使用第一附图标号,则该描述适用于无论第二标号为何的具有相同第一附图标号的任何一个相似部件。
具体实施方式
在说明本公开内容的数个示例性实施方式之前,应了解到本公开内容不受限于下面说明书中所阐述的构造或处理步骤的细节。本公开内容能够具有其他实施方式,并能够以各种方式实施或执行。
当在本说明书和所附的权利要求书中使用时,术语“基板”指的是工艺作用于其上的表面或表面的部分。本案所属技术领域的技术人员也将理解到,除非前后文清楚指明,否则对基板的提及可仅指基板的一部分。此外,对基板上的沉积的提及可意指裸基板和具有一或多个膜或特征沉积或形成于其上的基板二者。
本文所用的“基板(substrate)”指的是在其上进行处理的任何基板或基板上的任何材料表面。举例而言,取决于应用,可在其上进行处理的基板表面包括但不限于:如下材料,诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石;以及任何其它材料,诸如金属、金属氮化物、金属合金及其他导电材料。基板可包括但不限于,半导体晶片。可将基板暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟基化(或者产生或嫁接目标化学部分以赋予化学官能性)、退火和/或烘烤基板表面。除了直接在基板本身的表面上进行处理之外,于本公开内容中,也可在如下文更为详细公开的形成于基板上的下层(underlayer)上进行任何于此公开的膜处理步骤,且如上下文所示,意欲术语“基板表面”包括此类下方层。因此,例如,在已经将膜/层或部分膜/层沉积至基板表面上的情况下,新沉积的膜/层的暴露表面便成为基板表面。给定的基板表面包含什么将取决于待沉积的材料是什么及所使用的特定化学物质。
如本文所使用,“原子层沉积(Atomic layer deposition)”或“循环沉积(cyclical deposition)”是指包含以下步骤的工艺:两种或更多种反应性化合物的依序暴露,以于基板表面上沉积材料层。如在本说明书及所附权利要求书中所使用,术语“反应性化合物(reactive compound)”、“反应性气体(reactive gas)”、“反应物种(reactivespecies)”、“前驱物”、“处理气体”和类似术语可互换地意指某物质,该物质具有能够在表面反应中(例如,化学吸附、氧化、还原、环加成)与基板表面或基板表面上的材料反应的物种。基板或基板的部分被依序地暴露于两种或更多种反应性化合物,这些反应性化合物被引入处理腔室的反应区内。
在时域ALD工艺(time-domain ALD process)中,于各反应性化合物下的暴露由时间延迟分开,以允许每一化合物粘附于基板表面和/或在基板表面上发生反应,并接着从处理腔室被清除。通过在后续的暴露之间净化处理腔室,可避免反应性气体混合。
在空间ALD工艺(spatial ALD process)中,可将反应性气体流入处理腔室内的不同处理区域中。不同处理区域可与相邻处理区域分隔,使得反应性气体不会混合。可在处理区域之间移动基板,以分隔的方式将基板暴露于这些处理气体。在基板移动期间,基板表面的不同部分,或基板表面上的材料,被暴露于两种或更多种反应性化合物,使得基板上的任何给定点实质上不同时暴露于超过一种反应性化合物。本案所属技术领域的技术人员将理解,由于处理腔室内的气体扩散,存在同时将基板的一小部分暴露于多种反应性气体的可能性,且除非另有指明,这样的同时暴露是非意欲的。
在时域ALD工艺的一个方面中,第一反应性气体(即,第一前驱物或化合物A)经脉冲输送至反应区内,继之以第一时间延迟。第二前驱物或化合物B经脉冲输送至反应区内,继之以第二延迟。在每一时间延迟期间,诸如氩气的净化气体被引入处理腔室内,以净化反应区或者从反应区移除任何残留反应性化合物或反应产物或副产物。或者,可使净化气体在整个沉积工艺期间连续不断地流动,以使得在介于反应性化合物的脉冲之间的时间延迟期间仅净化气体流动。反应性化合物可经交替地脉冲输送,直到在基板表面上形成预定的膜或膜厚度为止。在任一情况下,脉冲输送化合物A、净化气体、化合物B及净化气体的ALD工艺为一循环。循环可从化合物A或化合物B开始且继续相应序列的循环直至达到具有预定的厚度的膜为止。
在空间ALD工艺的一个方面中,将第一反应性气体及第二反应性气体(例如,氢自由基)同时输送至反应区,但由惰性气帘及/或真空气帘分隔。气帘可为流入处理腔室的惰性气体与流出处理腔室的真空流的组合。可相对于气体输送设备移动基板,使得基板上的任何给定点暴露于第一反应性气体及第二反应性气体。
如本文所使用,“脉冲”或“剂量”指的是间歇地或非连续地被引入处理腔室内的源气体的量。特定化合物在每一脉冲内的量可随着时间推移而变化,这取决于脉冲的持续时间。特定处理气体可包括单种化合物或两种或更多种化合物的混合物/组合。
每一脉冲/剂量的持续时间是可变的且可经调整以适应例如处理腔室的体积容量以及耦接至处理腔室的真空系统的容量。另外,处理气体的剂量时间可根据处理气体的流率、处理气体的温度、控制阀的类型、所使用处理腔室的类型以及处理气体吸附至基板表面上的组分的能力而变化。剂量时间亦可基于正形成的层的类型及正形成的装置的几何形状而变化。剂量时间应足够长以提供足以吸附/化学吸附至基板的实质上整体表面上的一定体积的化合物且在该整体表面上形成处理气体组分的层。
本公开内容的一或多个实施方式有利地提供了沉积膜的方法,所述膜具有对TiN的高蚀刻对比度。本公开内容的部分实施方式有利地提供了用于形成高蚀刻选择性的含钇膜的方法。本公开内容的部分实施方式有利地提供了将钇掺杂到氮化物膜中以增加蚀刻选择性的方法。本公开内容的部分实施方式有利地提供了将钇掺杂到氧化物膜中以增加蚀刻选择性的方法。部分实施方式提供了将钇掺杂到氧氮化物膜中的方法。本公开内容的部分实施方式有利地提供了用于含钇膜的原子层沉积的新的前驱物。本公开内容的部分实施方式有利地提供了阻挡层以防止铜扩散。
将钇掺杂到SiN膜中可以在保持由化学-机械平坦化法(CMP)去除膜的能力的同时,提供更高的蚀刻选择性。本公开内容的部分实施方式提供了通过ALD及PEALD沉积膜的方法,所述方法包括但不限于YN及经钇掺杂的SiN(具有可调变的Y浓度)。某些实施方式提供了适用于YN和SiYN膜的ALD的钇前驱物。
本公开内容的一或多个实施方式涉及沉积氮化物膜的方法。所述方法包含以下步骤:将基板暴露于钇前驱物,以于基板上形成钇物种;及将基板暴露于氮反应剂,以与基板上的钇物种反应,用以形成氮化钇膜。
本公开内容的部分实施方式提供了沉积蚀刻停止材料的方法,所述蚀刻停止材料包括YN或SiYN中的一或多种。在某些实施方式中,可沉积PEALD-YN和PEALD-SiN膜,以获得SiYN膜。沉积工艺可为二反应物(AB)工艺或三反应物(ABC)工艺。
在某些实施方式中,二反应物(AB)工艺具有脉冲序列,所述脉冲序列包括:钇前驱物暴露、净化、氮反应剂暴露、净化,以沉积YN膜。在时域ALD工艺中,可将钇前驱物脉冲输送至处理腔室,随后净化去掉过量的反应物/副产物。钇前驱物吸附到基板上(或与基板表面反应),以留下钇物种。将表面暴露于氮反应剂(例如,NH3或N2),氮反应剂与表面化学吸附的Y-前驱物反应。氮反应可为热工艺(即,在没有等离子体的情况下)或等离子体-增强工艺。从处理腔室清除过量的氮反应剂、反应产物和/或副产物。在空间ALD工艺中,将钇前驱物及氮反应剂提供至处理腔室的不同部分。处理区域由气帘分隔,气帘可包括净化气体及真空流。可重复脉冲序列,以生长预定厚度的膜。
某些实施方式提供三反应物(ABC)工艺来形成含钇膜。可通过使用脉冲序列:钇前驱物、净化、氮反应剂、净化、处理等离子体、净化,来实现YN的PEALD。本案所属领域的技术人员将理解,脉冲序列可用于时域工艺或空间工艺。可以将钇前驱物脉冲输送到腔室中,然后清除过量的反应物/副产物,或者将基板移出空间ALD腔室的钇处理区域。可在热工艺中将基板暴露于氮反应剂(例如,NH3),以与钇物种反应。可从处理腔室清除过量的氮反应剂,或可从处理腔室的氮反应剂处理区域移出基板。可通过使用处理等离子体暴露来再生基板上的反应位点。可重复脉冲序列,以生长预定厚度的膜。
除了氮化钇之外,二反应物或三反应物工艺也可包括氮化硅的沉积。硅前驱物可与钇前驱物共同流入,或者可为单独的前驱物暴露。SiYN可被形成为均质膜,或被形成为SiN层和YN层的叠层。氮化硅沉积可为热工艺或等离子体-增强工艺。
在某些实施方式中,形成氧化钇膜。二和三反应物工艺可改变成,使用氧反应剂以取代氮反应剂。在某些实施方式中,将氧反应剂添加至氮反应剂,以形成氧氮化物膜。
在某些实施方式中,钇前驱物包含通式为YR1R2R3的络合物,其中R1、R2及R3独立地选自:卤化物、羰基、环戊二烯、胺、乙酰丙酮酸盐(acetylacetonate;acac)、六氟乙酰丙酮酸盐(hexafluoroacetylacetonate;hfac)、脒盐(amidinate)或二氮杂二烯(diazadiene)。在某些实施方式中,这些R基团中的至少一个为卤化物。在某些实施方式中,这些R基团中的至少一个为羰基。在某些实施方式中,这些R基团中的至少一个为环戊二烯。在某些实施方式中,这些R基团中的一个为环戊二烯。在某些实施方式中,这些R基团中的二个为环戊二烯。在某些实施方式中,这些R基团中的各个为环戊二烯。
如此说明书及所附权利要求书中所使用,术语“环戊二烯(cyclopentadiene)”包括经取代及未经取代的环。环戊二烯配位体可经烷基取代或经胺基取代。针对环戊二烯配位体的烷基取代基包括C1-C4烷基。针对环戊二烯配位体的胺基取代基包括通式为–NR2的基团,其中R为C1-C4烷基。在以此方式使用时,字母“C”后跟着数字(例如,“C4”)意指该取代基包含指定数量的碳原子(例如,C4包含四个碳原子)。烷基可为直链基团(如,正丁基)或支链基团(如,三级丁基)。在某些实施方式中,环戊二烯配位体未经取代(即,C5H5)。在某些实施方式中,环戊二烯配位体具有式C5H4R'、C5H3R'2、C5H2R'3、C5HR'4或CR'5,其中各R'独立地为C1-C4烷基或胺基。在具有一个以上的R'基团的某些实施方式中,R'基团中的各个为相同的取代基。在具有一个以上的R'基团的某些实施方式中,存在至少两个不同的取代基。
在钇前驱物中,胺配位体可经C1-C4烷基取代。类似地,脒盐配位体可在氮原子中的一或二个上具有C1-C4烷基。
如方案(I)所描绘,当与钇原子结合时,二氮杂二烯配位体可采用数种共振型态。
Figure BDA0002365070170000081
当在金属络合物中键结在一起时,这些共振型态中的各个在钇金属中心上赋予不同的电荷。左侧含有两个双键(二烯)的型态为中性的非离子配位体(DAD0)。方案(I)中央的共振型态含有自由基共振结构,且为单阴离子配位体(DAD1)。含有单一双键的方案(I)右侧的共振型态为双阴离子配位体(DAD2)。就这些共振型态的各种而言,R1及R4独立地选自由C1-C4烷基或胺基所组成的群组;R2及R3中的各种独立地选自H或C1-C4烷基或胺基。在以此方式使用时,C1-C4胺基直接键结至二氮杂二烯配位体,且可含有C1-C4烷基取代基,所述烷基取代基可为直链基团(如,正丁基)或支链基团(如,三级丁基)。
在某些实施方式中,钇前驱物具有通式Y(DAD0)aQdZe,其中DAD0为中性二氮杂二烯系配位体
Figure BDA0002365070170000082
R1及R4独立地选自由C1-C4烷基和胺基所组成的群组;R2和R3中的各个独立地选自由H、C1-C3烷基或胺基所组成的群组;Q为阴离子配位体;Z为中性配位体;且a为1至3、d为0至3,且e为0至8。在某些实施方式中,Z为CO且a不为1。在某些实施方式中,Z不基于DAD。
在某些实施方式中,钇前驱物包含通式Y(DAD1)bQdZe的配位络合物,其中DAD1为阴离子二氮杂二烯自由基系配位体
Figure BDA0002365070170000083
R1及R4独立地选自由C1-C4烷基和胺基所组成的群组;R2和R3中的各个独立地选自由H、C1-C3烷基或胺基所组成的群组;Q为阴离子配位体;Z为中性配位体;且b为1至3、d为0至3且e为0至8。在某些实施方式中,d和e不同时为0。在某些实施方式中,Q不基于DAD或二价DAD系配位体。在某些实施方式中,Z不基于DAD或中性DAD系配位体。
在某些实施方式中,钇前驱物包含通式Y(DAD2)cQdZe的金属配位络合物,其中DAD2为双阴离子二氮杂二烯系配位体
Figure BDA0002365070170000091
R1及R4独立地选自由C1-C4烷基和胺基所组成的群组;R2和R3中的各个独立地选自由H、C1-C3烷基或胺基所组成的群组;Q为阴离子配位体;Z为中性配位体;且c为1至3、d为0至3,且e为0至8。在某些实施方式中,Q不基于DAD或单价DAD系配位体。在某些实施方式中,Z不基于DAD或中性DAD系配位体。
氮反应剂可为任何合适的氮物种,所述氮物种可与基板上的钇物种或硅物种反应。在某些实施方式中,氮反应剂包含以下一或多中:氮、NO、NO2、N2O、氨、联氨或联氨衍生物。在某些实施方式中,氮反应剂基本上由氨组成。就此使用的术语“基本上由氨组成”意指反应性物种在氮反应剂中有大于或等于约95%、98%或99%的所指物种。在某些实施方式中,氮反应剂与惰性、稀释剂或运载气体共同流入。合适的惰性、稀释剂或运载气体包括但不限于:氩、氢、氦及氮。在某些实施方式中,氮反应剂包含氨或基本上由氨组成,且氮反应剂与以下气体中的一或多个混合:N2、Ar、H2或He。
在某些实施方式中,氮反应剂包含反应物等离子体。某些实施方式的反应物等离子体包含氮、氨、联氨或联氨衍生物中的一或多种的等离子体。反应物等离子体也可包括稀释剂或运载气体,所述稀释剂或运载气体包括但不限于:氮、氩、氢或氦及前述的等离子体。反应物等离子体可为直接等离子体(direct plasma)或远程等离子体。反应物等离子体可为导电耦合性等离子体(conductively coupled plasma;CCP)或电感耦合性等离子体(inductively coupled plasma;ICP)。
当在本文使用,处理等离子体是与氮反应剂分隔的等离子体暴露。沉积工艺可为热工艺或等离子体增强工艺,且处理等离子体的添加可与任一种结合使用。在某些实施方式中,处理等离子体包含以下一或多种:经等离子体活化的Ar、N2、H2、He或前述的组合。处理等离子体可为直接等离子体或远程等离子体。处理等离子体可为导电耦合性等离子体(CCP)或电感耦合性等离子体(ICP)。
在某些实施方式中,所述方法包括:将基板上的钇-氮化物膜、硅-氮化钇膜和/或氮化硅膜暴露于处理等离子体,以改变膜的性质。在某些实施方式中,处理等离子体包含氮、氩、氢或氦中的一或多种。可由处理等离子体改性的膜性质包括但不限于:密度、湿式蚀刻速率和折射系数。
在某些实施方式中,将硅并入膜中,以产生氮化硅或氮化硅钇膜。将基板暴露于钇前驱物,以于基板上形成钇物种。接着可将基板暴露于硅前驱物,以使得基板上的钇物种变成硅-钇物种。在暴露于氮反应剂之后,形成了硅-氮化钇膜。在钇前驱物之前或之后,可使基板暴露于硅前驱物,使得硅物种形成在表面上并改变成硅-钇物种。在某些实施方式中,硅前驱物和钇前驱物共同流入而于表面上形成硅-钇物种。在某些实施方式中,氮化钇膜被形成在基板上,并接着暴露于硅前驱物,以在基板上形成硅物种。在暴露于氮反应剂之后,在已位于基板上的氮化钇膜上形成氮化硅膜。
合适的硅前驱物包括但不限于:硅氧烷;具有通式SiXaR(4-a)的甲硅烷基卤化物(silyl halide),其中X为F、Cl、Br、I,且R为H、烷基或芳基;或具有通式Si(NRR’)aR”(4-a)的甲硅烷基酰胺(silyl amide),其中R、R’及R”为H、烷基或芳基。甲硅烷基卤化物的实例包括但不限于:二氯硅烷(DCS)、六氯硅烷(HCDS)、三氯硅烷(TCS)及SiCl4。甲硅烷基酰胺的实例包括但不限于:双(二乙基胺基)硅烷(BDEAS)、双(第三丁基胺基)硅烷(BTBAS)、四(二甲基胺基)硅烷(TDMAS)。在某些实施方式中,硅前驱物包含的物种具有通式SinXaR2n+2-a,其中n为1至4,a为0至2n+2,X为卤化物,且R、R’及R”独立地选自H、C1-C6烷基或芳基。合适的硅氧烷包括但不限于:六氯二硅氧烷(HCDSO)及八氯三硅氧烷(OCTSO)。
本公开内容的一或多个实施方式涉及一种方法,该方法包含:将基板依序暴露于钇前驱物和第一氮反应剂,以形成氮化钇膜,并将基板依序暴露于硅前驱物和第二氮反应剂,以形成氮化硅膜。第一及第二氮反应剂可为相同或相异。第一氮反应剂的浓度、等离子体态(即,无等离子体或等离子体)或化学成分独立于第二氮反应剂。在某些实施方式中,第一氮反应剂和第二氮反应剂为相同物种。在某些实施方式中,第一氮反应剂与第二氮反应剂相同。
在某些实施方式中,所述方法也包括:重复形成氮化钇膜和/或氮化硅膜,以形成预定厚度的氮化钇膜和/或硅-氮化钇膜。氮化钇或硅-氮化钇膜的预定厚度可依据膜的用途而改变。举例而言,含钇的蚀刻对比膜(etch contrast film)可具有与含钇的铜阻挡层相异的厚度。在某些实施方式中,含钇膜用作扩散阻挡层,并具有范围在约
Figure BDA0002365070170000111
至约
Figure BDA0002365070170000112
的厚度,或范围在约
Figure BDA0002365070170000113
至约
Figure BDA0002365070170000114
的厚度。在某些实施方式中,含钇膜用作蚀刻对比层,并具有范围在约
Figure BDA0002365070170000115
至约
Figure BDA0002365070170000116
的厚度,或范围在约
Figure BDA0002365070170000119
至约
Figure BDA00023650701700001110
的厚度,或范围在约
Figure BDA0002365070170000117
至约
Figure BDA0002365070170000118
的厚度,或范围在约
Figure BDA00023650701700001111
至约
Figure BDA00023650701700001112
的厚度。
本公开内容的某些实施方式提供了在基板上形成共形膜的方法。可将共形膜沉积在基板的部分或全部表面上。举例而言,可将共形膜沉积在具有至少一个表面特征(如,沟槽或通孔)的基板上。共形膜在特征的顶部处具有与在特征的底部处相同的厚度。在某些实施方式中,膜的共形性可被测量为特征的顶部处的厚度相对于特征的底部处的厚度,且可大于或等于约90%、91%、92%、93%、94%、95%、96%、97%、98%、99%或约100%。
在某些实施方式中,可将钇前驱物、硅前驱物和(数种)氮反应剂提供至处理腔室。前驱物和反应物可作为纯化合物而提供,或可被稀释剂或运载气体稀释。将供应至腔室的反应性化合物(包括任何稀释剂或运载气体)称为处理气体。
以下描述的是本公开内容的方法的实施方式,其中使用原子层沉积(ALD)工艺在基板的表面上形成沉积膜。以下描述的方法为示例性方法,且不应该被视为限制。除了以下描述的步骤以外,本公开内容的方法可含有额外的工艺步骤。
可在与其他处理气体不同的参数条件下供应各处理气体。可以一或多个脉冲提供处理气体,或连续不断地提供处理气体。处理气体的流率可为任何合适的流率,包括但不限于:流率在约1sccm至约5000sccm的范围内,或在约2sccm至约4000sccm的范围内,或在约3sccm至约3000sccm的范围内,或在约5sccm至约2000sccm的范围内。可在任何合适的压力下提供处理气体,包括但不限于:压力在约5mTorr至约25Torr的范围内,或在约100mTorr至约20Torr的范围内,或在约5Torr至约20Torr的范围内,或在约50mTorr至约2000mTorr的范围内,或在约100mTorr至约1000mTorr的范围内,或在约200mTorr至约500mTorr的范围内。
基板暴露于处理气体的时间段可为对容许在基板表面顶部形成足够的成核层或有足够的反应必需的任何合适的时间量。举例而言,可将处理气体流入处理腔室达约0.1秒至约90秒的时间段。在某些时域ALD工艺中,将处理气体暴露于基板表面达以下时间:范围自约0.1秒至约90秒,或范围自约0.5秒至约60秒,或范围自约1秒至约30秒,或范围自约2秒至约25秒,或范围自约3秒至约20秒,或范围自约4秒至约15秒,或范围自约5秒至约10秒。
在某些实施方式中,可额外将惰性气体与处理气体同时提供至处理腔室。惰性气体可与处理气体混合(例如,作为稀释气体)或分隔,且可被脉冲输送或恒定流动。在某些实施方式中,惰性气体以约1至约10000sccm的范围内的恒定流量流入处理腔室。惰性气体可为任何惰性气体,举例而言,例如,氩、氦、氖、前述的组合,或者类似气体。
可以控制沉积期间的基板温度,例如,通过设定基板支撑件或基座的温度来控制。在某些实施方式中,基板被保持在约100℃至约600℃的温度范围内,或在约200℃至约525℃的温度范围内,或在约300℃至约475℃的温度范围内,或在约350℃至约450℃的温度范围内。在一或多个实施方式中,基板被维持在低于约475℃,或低于约450℃,或低于约425℃,或低于约400℃,或低于约375℃的温度下。
除了前述之外,可在将基板暴露于处理气体时,调控额外的工艺参数。举例而言,在某些实施方式中,处理腔室可维持在约0.2Torr至约100Torr的压力下,或在约0.3Torr至约90Torr的范围内,或在约0.5Torr至约80Torr的范围内,或在约1Torr至约50Torr的范围内。
在将基板暴露于一种处理气体之后,可使用惰性气体净化处理腔室(特别是在时域ALD中)。(由于有气帘分隔反应性气体,因此在空间ALD工艺中可能不需要这样。)惰性气体可为任何惰性气体,举例而言,例如,氩、氦、氖,或者类似气体。在某些实施方式中,惰性气体可与在将基板暴露于第一处理气体期间提供到处理腔室的惰性气体相同,或者不同。在惰性气体为相同的实施方式中,可通过以下步骤进行净化:从处理腔室转移第一处理气体、允许惰性气体流经处理腔室、净化处理腔室去除任何过量的第一处理气体成分或反应副产物。在某些实施方式中,与上述的第一处理气体结合使用,惰性气体可以相同流率下被提供,或在某些实施方式中,可升高或降低流率。举例而言,在某些实施方式中,可在大于0sccm至约10000sccm的流率下将惰性气体提供到处理腔室,以净化处理腔室。在空间ALD中,净化气帘被维持在反应性气体流之间,而可能不需要净化处理腔室。在空间ALD工艺的某些实施方式中,可使用惰性气体来净化处理腔室或处理腔室的区域。
惰性气体流可有助于从处理腔室去除任何过量的处理气体和/或过量的反应副产物,以防止不想要的气相反应。举例而言,惰性气体流可从处理腔室去除过量的处理气体,防止钇前驱物与后续处理气体之间的反应。
接着将基板暴露于第二处理气体达第二时间段。第二处理气体可与基板表面上的物种反应,以产生沉积膜。可在大于第一处理气体的流率下将第二处理气体供应至基板表面。在一或多个实施方式中,流率大于第一处理气体的流率的约1倍,或是第一处理气体的流率的约100倍,或在第一处理气体的流率的约3000至5000倍的范围内。在时域ALD中,可供应第二处理气体达约1sec至约30sec的范围内的时间,或约5sec至约20sec的范围内的时间,或约10sec至约15sec的范围内的时间。可在约1Torr至约30Torr的范围内、或约5Torr至约25Torr的范围内、或约10Torr至约20Torr的范围内、或达约50Torr的压力下供应第二处理气体。可将基板温度维持在任何合适的温度下。在一或多个实施方式中,将基板维持在低于约475℃的温度下,或在与暴露于第一处理气体期间的基板的温度约略相同的温度下。
可使用惰性气体再次净化处理腔室。惰性气体可为任何惰性气体,举例而言,例如,氩、氦、氖,或类似气体。在某些实施方式中,惰性气体可与在先前工艺步骤期间提供到处理腔室的惰性气体相同,或者不同。在惰性气体为相同的实施方式中,可通过以下步骤进行净化:从处理腔室转移第二处理气体、容许惰性气体流经处理腔室、净化处理腔室去除任何过量的第二处理气体成分或反应副产物。在某些实施方式中,与上述结合第二处理气体使用,惰性气体可以相同流率下被提供,或在某些实施方式中,可升高或降低流率。举例而言,在某些实施方式中,可在大于0至约10,000sccm的流率下将惰性气体提供到处理腔室,以净化处理腔室。
虽然上述处理方法的实施方式仅包括反应性气体的两个脉冲,但将可理解,这仅是示例性的,并且可以使用处理气体的额外脉冲。可重复脉冲的全部或部分。可重复循环以形成预定厚度的膜。
请参见图式,图1示出根据本公开内容的一或多个实施方式的处理平台100。图1所示的实施方式仅代表一种可能的配置,且不应被视为本公开内容的范围的限制。举例而言,在某些实施方式中,处理平台100具有不同数量的处理腔室、缓冲腔室及机器人配置。
处理平台100包括中央移送站110,中央移送站110具有多个侧111、112、113、114、115、116。所示的移送站110具有第一侧111、第二侧112、第三侧113、第四侧114、第五侧115及第六侧116。尽管示出了六侧,但是本案所属技术领域的技术人员将理解,取决于例如处理平台100的整体配置,移送站110可以具有任何合适数量的侧面。
移送站110中安置有机器人117。机器人117可为能在处理期间移动晶片的任何合适的机器人。在某些实施方式中,机器人117具有第一机械臂118和第二机械臂119。第一机械臂118和第二机械臂119可独立于另一机械臂移动。第一机械臂118和第二机械臂119可在x-y平面中移动和/或沿着z轴移动。在某些实施方式中,机器人117包括第三机械臂或第四机械臂(未示出)。各机械臂可独立于其他机械臂移动。
批处理腔室120可连接至中央移送站110的第一侧111。批处理腔室120可经配置以在批次时间内一次处理x个晶片。在某些实施方式中,批处理腔室120可经配置以同时处理约4个(x=4)至约12个(x=12)的范围内的晶片。在某些实施方式中,批处理腔室120经配置以同时处理6个(x=6)晶片。本案所属技术领域的技术人员将理解,尽管批处理腔室120可在装载/卸除单独晶片之间处理多个晶片,但各个晶片可在任何给定的时间经受不同工艺条件。举例而言,类似于图2至6所示的空间原子层沉积腔室,可将晶片暴露于不同处理区域中的不同工艺条件,以使得在晶片移动穿过各区域时完成工艺。
图2示出处理腔室200的剖面,处理腔室200包括气体分配组件220以及基座组件240,气体分配组件220亦称为注入器或注入器组件。气体分配组件220为在处理腔室中使用的任何类型的气体递送装置。气体分配组件220包括了面向基座组件240的前表面221。前表面221可具有任何数量或种类的开口,以朝向基座组件240递送气体流。气体分配组件220也包括外边缘224,在所示实施方式中,外边缘224实质上为圆形。
可取决于所使用的特定工艺来改变所使用的气体分配组件220的具体类型。本公开内容的实施方式可与任何类型的处理系统一起使用,其中基座与气体分配组件之间的间隙为受控的。尽管可利用各种类型的气体分配组件(如,喷淋头),但本公开内容的实施方式可特别有利于空间气体分配组件(spatial gas distribution assembly),所述空间气体分配组件可具有多个实质上平行的气体通道。如本说明书与所附权利要求书中所使用,术语“实质上平行(substantially parallel)”意指气体通道的延长轴在大体相同的方向上延伸。气体通道的平行性可有些许不完美。在二元反应中,多个实质上平行的气体通道可包括至少一个第一反应性气体A通道、至少一个第二反应性气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。可朝晶片的顶表面引导从(数个)第一反应性气体A通道、(数个)第二反应性气体B通道及(数个)净化气体P通道流出的气体。部分气体流跨晶片的表面水平地移动,并经由(数个)净化气体P通道离开处理区域。从气体分配组件的一端向另一端移动的基板将依序暴露于各处理气体,而在基板表面上形成层。
在某些实施方式中,气体分配组件220为由单一注入器单元所制成的刚性固定体。在一或多个实施方式中,如图3所示,气体分配组件220可由多个独立区段(如,注入器单元222)制成。无论单件本体或多区段本体都可与本公开内容所描述的各种实施方式一起使用。
可将基座组件240安置在气体分配组件220下方。基座组件240包括顶表面241及顶表面241中的至少一个凹部242。基座组件240也具有底表面243及边缘244。根据待处理的基板60的形状与尺寸,凹部242可为任何合适的形状与尺寸。在图2所示的实施方式中,凹部242具有平坦底部,以支撑晶片的底部;然而,凹部的底部可变化。在某些实施方式中,凹部在凹部的外部周围边缘附近具有阶梯状区域,阶梯状区域的尺寸经订定以支撑晶片的外部周围边缘。可改变由阶梯部支撑的晶片的外部周围边缘量,例如,依据晶片的厚度及已于晶片的背侧上存在的特征而改变。
在某些实施方式中,如图2所示,基座组件240的顶表面241中的凹部242的尺寸经订定,以使得支撑于凹部242中的基板60的顶表面61与基座240的顶表面241实质上共平面。如本说明书与所附权利要求书中所使用,术语“实质上共平面(substantially coplanar)”指的是晶片的顶表面与基座组件的顶表面在±0.2mm内共平面。在某些实施方式中,所述顶表面在0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm或±0.05mm内共平面。
图2的基座组件240包括支撑柱260,支撑柱260能够升举、降低并旋转基座组件240。基座组件可于支撑柱260的中心内包括加热器、或气体线、或电性部件。支撑柱260可为增加或减少基座组件240与气体分配组件220之间的间隙,此举将基座组件240移入适当的位置的主要构件。基座组件240亦可包含微调致动器262,微调致动器262可对基座组件240进行微小的调整,以在基座组件240与气体分配组件220之间产生预定间隙270。
在某些实施方式中,间隙270距离可在以下范围内:约0.1mm至约5.0mm、或为约0.1mm至约3.0mm、或为约0.1mm至约2.0mm、或为约0.2mm至约1.8mm、或为约0.3mm至约1.7mm、或为约0.4mm至约1.6mm、或为约0.5mm至约1.5mm、或为约0.6mm至约1.4mm、或为约0.7mm至约1.3mm、或为约0.8mm至约1.2mm、或为约0.9mm至约1.1mm、或约1mm。
图式所示的处理腔室200为旋转料架型腔室(carousel-type chamber),其中基座组件240可固持多个基板60。如图3所示,气体分配组件220可包括多个分隔的注入器单元222,在晶片于注入器单元下方移动时,各注入器单元222能够将膜沉积在晶片上。示出两个派形(pie-shaped)注入器单元222为安置在基座组件240的大略相对侧,并于基座组件240上方。仅为了说明性目的而示出此数量的注入器单元222。将可理解,可包括更多或更少的注入器单元222。在某些实施方式中,有足够数量的派形注入器单元222,以形成与基座组件240的形状相符的形状。在某些实施方式中,单独派形注入器单元222中的每一个可独立地移动、移除和/或替换,而不会影响任何其他注入器单元222。举例而言,可升高一个区段,以允许机器人接近介于基座组件240与气体分配组件220之间的区域,以装载/卸除基板60。
可使用具有多个气体注入器的处理腔室来同时处理多个晶片,使得所述晶片经历相同的处理流。举例而言,如图4所示,处理腔室200具有四个气体注入器组件及四个基板60。在处理开始时,可将基板60安置于气体分配组件220之间。以45゜旋转17基座组件240,将致使介于气体分配组件220之间的各基板60移动至气体分配组件220,以进行膜沉积,如气体分配组件220下方的虚线圆圈所图示说明。额外的45゜旋转可移动基板60离开气体分配组件220。基板60和气体分配组件220的数量可为相同或相异。在某些实施方式中,气体分配组件的数量与待处理的晶片数量相同。在一或多个实施方式中,待处理的晶片的数量为气体分配组件的数量的分数或整数倍。举例而言,若有四个气体分配组件,则有4x个待处理晶片,其中x为大于或等于1的整数值。在示例性实施方式中,气体分配组件220包括由气帘分隔的八个处理区域,且基座组件240可固持六个晶片。
图4所示的处理腔室200仅代表一种可能的配置,且不应被当作对本公开内容的范围的限制。在此,处理腔室200包括多个气体分配组件220。在所示实施方式中,有四个气体分配组件220(亦称作注入器组件)绕着处理腔室200平均地隔开。所示的处理腔室200为八角形;然而,本发明所属技术领域的技术人员将了解到这仅是一种可能的形状,而不应被当作对本公开内容的范围的限制。所示的气体分配组件220为梯型,但可为单一圆形部件或可由多个派形区段制成(如图3所示)。
图4所示的实施方式包括装载锁定腔室(load lock chamber)280,或如同缓冲站的辅助腔室。此腔室280连接至处理腔室200的一侧,以允许例如是基板(亦称为基板60)被装载至/卸除自腔室200。可将晶片机器人安置在腔室280中,以将基板移动至基座上。
旋转料架(如,基座组件240)的旋转可为连续的或间歇的(不连续的)。在连续处理中,晶片持续旋转,使得晶片依次暴露于各注入器。在不连续的处理中,可将晶片移动至注入器区域并停止,且接着被移动至注入器之间的区域84并停止。举例而言,可使旋转料架旋转以使晶片从注入器间区域跨过注入器移动(或在注入器附近停止),并且继续到旋转料架可再次暂停的下一个注入器间区域。注入器之间的暂停可在各层沉积之间提供用于进行额外处理步骤(如,于等离子体下的暴露)的时间。
图5绘示气体分配组件222的区段或部分,所述区段或部分可称为注入器单元。可单独地或结合其他注入器单元使用注入器单元222。举例而言,如图6所示,可结合四个图5的注入器单元222来形成单个气体分配组件220。(为了清楚说明,未示出分隔这四个注入器单元的线。)尽管除了净化气体端口255和真空端口245之外,图5的注入器单元222具有第一反应性气体端口225和第二气体端口235二者,但注入器单元222不需要所有这些部件。
一并参见图5及6,根据一或多个实施方式的气体分配组件220可包含多个区段(或注入器单元222),而各区段可相同或相异。气体分配组件220可安置在处理腔室内,并在气体分配组件220的前表面221中包含多个延长气体端口225、235、245。多个延长气体端口225、235、245、255从内部周围边缘223附近的区块朝向气体分配组件220的外部周围边缘224附近的区块延伸。所示的多个气体端口包括第一反应性气体端口225、第二气体端口235、真空端口245以及净化气体端口255,其中真空端口245围绕第一反应性气体端口及第二反应性气体端口中的各个。
参照图5或6图所示的实施方式,虽然指出端口从至少约内周围区域延伸到至少约外周围区域,但,端口可不止仅从内区域径向延伸至外区域。当真空端口245围绕反应性气体端口225和反应性气体端口235时,端口可正切地延伸。在图5及6所示的实施方式中,真空端口245围绕在楔形反应性气体端口225、235的所有边缘上,包括与内周围区域和外周围区域相邻的边缘。
参见图5,随着基板沿路径227移动,基板表面的各部分暴露于各种反应性气体。为了跟随路径227,基板将被暴露于(或“看到(see)”)净化气体端口255、真空端口245、第一反应性气体端口225、真空端口245、净化气体端口255、真空端口245、第二气体端口235及真空端口245。因此,在图5所示的路径227的末端,基板已被暴露于第一反应性气体225及第二反应性气体235,以形成层。所示的注入器单元222形成四分之一圆,但可以更大或更小。图6所示的气体分配组件220可被视为四个图3的注入器单元222串联连接的组合。
图5的注入器单元222示出了分隔反应性气体的气帘250。术语“气帘(gascurtain)”用于描述任何气体流或真空的结合,所述气体流或真空可分隔反应性气体使其不混合。图5所示的气帘250包含:真空端口245在第一反应性气体端口225旁的部分、中间的净化气体端口255,及真空端口245在第二气体端口235旁的部分。可使用此气体流与真空的结合,以防止或最小化第一反应性气体与第二反应性气体的气相反应。
参见图6,来自气体分配组件220的气体流与真空的结合,分隔了多个处理区域350。可以介于350之间的气帘250粗略地界定围绕单独的气体端口225、235的处理区域。图6所示的实施方式由八个分隔的处理区域350构成,且其间有八个分隔气帘250。处理腔室可具有至少两个处理区域。在某些实施方式中,存在至少三个、四个、五个、六个、七个、八个、九个、十个、十一个或十二个处理区域。
在处理期间,基板可在任何给定的时间下暴露于超过一个处理区域350。然而,暴露于不同处理区域的部分,将具有分隔所述两个处理区域的气帘。举例而言,若基板的前缘进入了包括第二气体端口235的处理区域,则基板的中间部分将处于气帘250下方,且基板的尾缘将处于包括第一反应性气体端口225的处理区域中。
示出工厂接口280(如图4所示)为连接至处理腔室200,工厂接口280可为,例如,装载锁定腔室。示出基板60为叠加于气体分配组件220上方,以提供参考框(frame)。基板60通常可位在基座组件上,以被固持在气体分配板220的前表面221附近。可经由工厂接口280将基板60装载进入处理腔室200而至基板支撑件或基座组件上(请见图4)。示出基板60可为安置在处理区域内,因为基板被定位在邻近第一反应性气体端口225处并介于两个气帘250a、250b之间。沿着路径227旋转基板60将绕着处理腔室200逆时针移动基板。因此,基板60将被暴露于第一处理区域350a至第八处理区域350h,包括其间的所有处理区域。
本公开内容的某些实施方式涉及处理腔室200,处理腔室200具有多个处理区域350a至350h,各处理区域由气帘250与相邻区域分隔。举例而言,图6所示的处理腔室。取决于气体流的设置,处理腔室内的气帘和处理区域的数量可为任何合适的数量。图6所示的实施方式具有八个气帘250和八个处理区域350a至350h。
回头参见图1,处理平台100包括处理腔室140,处理腔室140连接至中央移送站110的第二侧112。某些实施方式的处理腔室140经配置而将晶片暴露于在第一批处理腔室120中的处理之前和/或之后处理晶片的工艺。某些实施方式的处理腔室140包含退火腔室。退火腔室可为炉退火腔室或快速热退火腔室,或经配置以将晶片保持在预定温度和压力下并提供气体流至腔室的不同腔室。
在某些实施方式中,处理平台进一步包含第二批处理腔室130,第二批处理腔室130连接至中央移送站110的第三侧113。可以类似于批处理腔室120的方式配置第二批处理腔室130,或可配置第二批处理腔室130来进行不同工艺或处理不同数量的基板。
第二批处理腔室130可与第一批处理腔室120相同或相异。在某些实施方式中,第一批处理腔室120及第二批处理腔室130经配置以在相同的批次时间(batch time)内对相同数量的晶片进行相同的工艺,使得x(第一批处理腔室120中的晶片的数量)与y(第二批处理腔室130中的晶片的数量)相同,且第一批次时间与(第二批处理腔室130的)第二批次时间相同。在某些实施方式中,第一批处理腔室120和第二批处理腔室130经配置以具有相异的晶片数量(x不等于y)、相异的批次时间中的一或多项,或者二项均相异。
在图1所示的实施方式中,处理平台100包括第二处理腔室150,第二处理腔室150连接至中央移送站110的第四侧114。第二处理腔室150可与处理腔室140相同或相异。
处理平台100可包括控制器195,控制器195连接至机器人117(未示出所述连接)。控制器195可经配置而以机器人117的第一机械臂118在预清洁腔室140与第一批处理腔室120之间移动晶片。在某些实施方式中,控制器195也可经配置而以机器人117的第二机械臂119在第二单晶片处理腔室150与第二批处理腔室130之间移动晶片。
在某些实施方式中,控制器195连接至处理腔室200的基座组件240和气体分配组件220。控制器195可经配置以绕着中央轴旋转17基座组件240。控制器也可经配置来控制气体端口225、235、245、255中的气体流动。在某些实施方式中,第一反应性气体端口225提供钇前驱物的流。在某些实施方式中,第二反应性气体端口235提供硅前驱物的流。在某些实施方式中,其他气体端口(未标记)可提供氮反应剂的流或提供处理等离子体。可以任何处理序列布置第一反应性气体端口225、第二反应性气体端口235及其他反应性气体端口(未标记)。
处理平台100还可包括连接至中央移送站110的第五侧115的第一缓冲站151,和/或连接至中央移送站110的第六侧116的第二缓冲站152。第一缓冲站151和第二缓冲站152可执行相同或相异的功能。举例而言,缓冲站可固持经处理并返回原始盒的晶片的盒,或者第一缓冲站151可固持未经处理的晶片,所述未经处理的晶片在处理后被移动至第二缓冲站152。在某些实施方式中,一或多个缓冲站经配置以在处理之前和/或之后预处理、预热或清洁晶片。
在某些实施方式中,控制器195经配置以使用机器人117的第一机械臂118,在处理腔室140和第一批处理腔室120中的一或多个与第一缓冲站151之间移动晶片。在某些实施方式中,控制器195经配置以使用机器人117的第二机械臂119,在第二处理腔室150或第二批处理腔室130中的一或多个与第二缓冲站152之间移动晶片。
处理平台100还可包括在中央移送站110与任何处理腔室之间的一或多个狭缝阀160。在所示实施方式中,在各个处理腔室120、130、140、150与中央移送站110之间存在狭缝阀160。狭缝阀160可打开和关闭,以将处理腔室内的环境与中央移送站110内的环境隔离。举例而言,若处理腔室在处理期间将产生等离子体,则关闭所述处理腔室的狭缝阀可有助于防止杂散等离子体损坏移送站中的机器人。
在某些实施方式中,处理腔室不容易从中央移送站110移去。为了在任何处理腔室上进行维护,各处理腔室可进一步包括在处理腔室的侧面上多个接取门(access door)170。接取门170允许手动接取处理腔室,而无需从中央移送站110移去处理腔室。在所示实施方式中,除了连接至移送站的该侧以外,各处理腔室的各侧都具有接取门170。包括如此多的接取门170可使所采用的处理腔室的构造复杂化,因为腔室内的硬件可能需要经配置才能通过所述门进出。
某些实施方式的处理平台包括连接到移送腔室110的水箱180。水箱180可经配置以将冷却剂提供至任何或全部处理腔室。尽管被称为“水(water)”箱,但是本案所属技术领域的技术人员将理解,可以使用任何冷却剂。
在某些实施方式中,处理平台100的尺寸允许经由单一电力连接器190连接至厂用电力(house power)。单一电力连接器190附接至处理平台100,以为各处理腔室和中央移送站110供电。
处理平台100可连接至工厂接口102,以容许晶片或晶片的盒被装载进入平台100。工厂接口102内的机器人103可将晶片或盒移动进入或离开缓冲站151、152。可由中央移送站110中的机器人117在平台100内移动晶片或盒。在某些实施方式中,工厂接口102为另一个群集工具的移送站。
在某些实施方式中,处理平台100或批处理腔室120连接至控制器。控制器可为与控制器195相同或不同的控制器。控制器可耦接至批处理腔室120的基座组件及气体分配组件,并具有一或多种配置。所述配置可包括但不限于:第一配置,用以使基座组件绕着中央轴旋转;第二配置,用以将钇前驱物的流提供至处理区域,该钇前驱物包含通式为YR1R2R3的钇物种,其中R1、R2及R3独立地选自:卤化物、羰基、环戊二烯、胺、acac、hfac、脒盐(amidinate)或二氮杂二烯(diazadiene);第三配置,用以将硅前驱物的流提供至处理区域,该硅前驱物包含一物种,该物种具有通式SinXaR2n+2-a、Si(NRR’)aR”4-a或硅氧烷,其中n为1至4,a为0至2n+2,X为卤化物,且R、R’及R”独立地选自H、烷基或芳基;第四配置,用以将氮反应剂的流提供至处理区域中的一或多个,该氮反应剂包含以下一或多种:氨、氮、联氨、氩、氢、氦或前述的等离子体;或第五配置,用以于处理区域中提供处理等离子体。
在本公开内容的部分实施方式中,以氮化钇层和氮化硅层的叠层的方式将硅-氮化钇膜沉积或形成在基板表面上。可改变氮化钇层和氮化硅层的数目,以控制硅-氮化钇膜中的钇或硅的量。在某些实施方式中,通过二反应物工艺或三反应物工艺来沉积第一循环数的氮化钇。通过二反应物工艺或三反应物工艺来沉积第二循环数的氮化硅。可重复所述第一循环数或所述第二循环数中的一或多项来形成硅-氮化钇膜。可先沉积氮化钇或氮化硅膜中的任一个。
在某些实施方式中,沉积PEALD SiYN膜。可将Y前驱物脉冲输送至腔室,然后净化去除过量的反应物/副产物。接着将表面暴露于Si-前驱物,Si-前驱物与化学吸附的Y-前驱物反应。接着将表面暴露于经等离子体活化的NH3,或暴露于NH3后以等离子体活化(Ar、N2、H2、He等离子体),以形成SiYN膜。重复此序列可产生预定厚度的SiYN膜。可以先使硅前驱物或钇前驱物暴露于基板。
在一或多个实施方式中,可通过热ALD形成SiYN膜。可将基板暴露于Y前驱物接着暴露于Si前驱物,期间进行净化,或者通过将基板移动至不同处理区域来进行。硅前驱物可与化学吸附的Y-前驱物反应,或者若先暴露于Si前驱物,反之亦然。接着可将表面暴露于NH3(没有等离子体活化)。可重复此序列而形成预定厚度的SiYN膜。
在某些实施方式中,可通过PEALD和热ALD工艺的混合,来形成SiYN膜。YN PEALD或热工艺可与SiN PEALD或热工艺混合。可改变该YN及SiN工艺中的各工艺的循环数,以控制SiYN膜的组成。
实例
通过依序暴露于钇前驱物、净化气体、反应物等离子体及净化气体,来沉积YN膜。所使用的钇前驱物为Y(Et-Cp)2(N,N-二异丙基脒盐)。各步骤由10s的Ar净化来分隔。反应物等离子体为1:1的NH3:Ar混合物。用于钇前驱物和等离子体暴露的脉冲长度分别为6s和5s。将钇前驱物安瓿维持在95℃,且将基板保持在350℃。YN膜的每循环生长(growth percycle;GPC)为
Figure BDA0002365070170000233
及在稀释HF(1:100)中的湿式蚀刻速率(wet etch rate;WER)为
Figure BDA0002365070170000234
YN膜不在水中蚀刻。降低温度会增加GPC,也会增加膜中的C含量。
以交替的YN及SiN循环(二反应物YN/二反应物SiN)进行ALD循环。两种循环均利用1:1NH3:Ar的反应物等离子体。所述序列的各步骤由10s的Ar净化来分隔。用于钇前驱物(Y(Et-Cp)2(N,N-二异丙基脒盐))、等离子体、三氯硅烷(TCS)及等离子体的脉冲长度分别为6s、5s、1s及10s。将钇前驱物安瓿保持在95℃,并将TCS安瓿维持在室温。在350℃的基板温度下进行沉积。混合的膜的GPC高于单独的膜的GPC。混合膜的WER介于单独的SiN膜与YN膜之间。混合的膜所具有的原子组成介于单独的SiN膜与YN膜的组成之间。
通过依序暴露于钇前驱物、净化气体、反应物等离子体及净化气体,来沉积YO膜。钇前驱物为Y(Et-Cp)2(N,N-二异丙基脒盐)。反应物等离子体为1:1O2:Ar混合物。各步骤由10s的Ar净化来分隔。将钇前驱物安瓿维持在95℃,并将基板保持在350℃。
通过依序暴露于钇前驱物、净化气体、氧反应剂及净化气体,来沉积YO膜。使用的钇前驱物为Y(Et-Cp)2(N,N-二异丙基脒盐)。就不同的膜而言,氧反应剂为O2/Ar、O3/Ar及H2O/Ar的1:1混合物。各步骤由10s的Ar净化来分隔。将钇前驱物安瓿维持在95℃,并将基板保持在350℃。
YO膜具有约
Figure BDA0002365070170000231
的每循环生长(GPC),及在稀释HF(1:100)和水中的小于
Figure BDA0002365070170000232
的湿式蚀刻速率(WER)。使用卤化物系蚀刻来测量干式蚀刻速率,并除以ALD-TiN蚀刻速率,以获得蚀刻选择性。在这些条件下,某些膜不会显示出任何蚀刻。YN/SiYN膜的干式蚀刻选择性的范围自12至无限大。YO/SiYO膜的干式蚀刻选择性的范围自23至无限大。就YN/SiYN膜和YO/SiYO膜而言,当Si/Y比例降低时,蚀刻选择性会增加。
本说明书中对“一个实施方式”、“某些实施方式”、“一或多个实施方式”或“一实施方式”的提及,表示在本公开内容的至少一个实施方式中包含连同实施方式所说明的特定特征、结构、材料或特性。因此,在本说明书中各处出现诸如“在一或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”的词语,不一定指本公开内容的相同实施方式。再者,可以任何适合的方式结合一或多个实施方式中的特定特征、结构、材料或特性。
虽然已参照特定实施方式说明了本文的公开内容,应了解到,这些实施方式仅是对本公开内容的原理与应用的说明。将对本公开内容所属技术领域中的技术人员显而易见的是,在不脱离本公开内容的精神与范围的情况下可对本公开内容的方法与设备进行各种修改与变型。因此,旨在使本公开内容包括在所附权利要求书及其等效形式的范围内的修改与变型。

Claims (14)

1.一种沉积膜的方法,所述方法包含以下步骤:
将基板暴露于钇前驱物,以于所述基板上形成钇物种,所述钇前驱物包含通式为YR1R2R3的络合物,其中R1、R2及R3独立地选自:卤化物、羰基、环戊二烯、胺、acac、hfac、脒盐或二氮杂二烯;及
将所述基板暴露于氮反应剂或氧反应剂中的一或多种,以与所述基板上的所述钇物种反应,用以形成氮化钇或氧化钇膜中的一或多种。
2.如权利要求1所述的方法,其中所述氮反应剂包含:氮、氨或联氨中的一或多种。
3.如权利要求1所述的方法,其中所述氮反应剂包含反应物等离子体。
4.如权利要求1所述的方法,进一步包含以下步骤:将所述基板上的氮化钇膜暴露于处理等离子体,以改变所述膜的性质。
5.如权利要求4所述的方法,其中所述处理等离子体包含以下一或多种:氮、氩、氢或氦。
6.如权利要求1所述的方法,进一步包含以下步骤:将所述基板暴露于硅前驱物,使得所述基板上的所述钇物种为硅-钇物种,且在暴露于所述氮反应剂后所形成的膜为硅-氮化钇膜,所述硅前驱物包含一物种,所述物种具有通式SinXaR2n+2-a、Si(NRR’)aR”4-a或硅氧烷,其中n为1至4,a为0至2n+2,X为卤化物,且R、R’及R”独立地选自H、烷基或芳基。
7.如权利要求6所述的方法,其中所述硅前驱物和所述钇前驱物同时被暴露于所述基板。
8.如权利要求6所述的方法,其中所述硅前驱物和所述钇前驱物依序地被暴露于所述基板。
9.如权利要求6所述的方法,进一步包含以下步骤:将所述基板上的所述硅-氮化钇膜暴露于处理等离子体,以改变所述膜的性质。
10.如权利要求9所述的方法,其中所述处理等离子体包含以下一或多种:氮、氩、氢或氦。
11.如权利要求1所述的方法,进一步包含以下步骤:将具有所述氮化钇膜的所述基板暴露于硅前驱物,以于所述基板上形成硅物种;并将所述基板上的所述硅物种暴露于氮反应剂,以于所述基板上形成氮化硅膜,所述硅前驱物包含一物种,所述物种具有通式SinXaR2n+2-a、Si(NRR’)aR”4-a或硅氧烷,其中n为1至4,a为0至2n+2,X为卤化物,且R、R’及R”独立地选自H、烷基或芳基。
12.如权利要求11所述的方法,进一步包含以下步骤:将所述基板上的所述硅-氮化钇膜暴露于处理等离子体,以改变所述膜的性质。
13.如权利要求12所述的方法,其中所述处理等离子体包含以下一或多种:氮、氩、氢或氦。
14.一种处理腔室,包含:
基座组件,所述基座组件支撑多个基板,并且绕着中央轴旋转所述多个基板,所述基座组件具有顶表面,所述顶表面具有多个凹部,所述多个凹部的尺寸经订定以固持所述基板;
气体分配组件,具有前表面,所述前表面与所述基座组件的所述顶表面分隔而形成间隙,所述气体分配组件包括多个气体端口和真空端口,以提供多个气流进入所述间隙,并提供多个真空流以自所述间隙移除气体,所述多个气体端口和真空端口布置成形成多个处理区域,各处理区域由气帘与相邻的处理区域分隔;及
控制器,耦接到所述基座组件及所述气体分配组件,所述控制器具有一或多种配置,所述一或多种配置选自:第一配置,用以使所述基座组件绕着所述中央轴旋转;第二配置,用以将钇前驱物的流提供至处理区域,所述钇前驱物包含通式为YR1R2R3的钇物种,其中R1、R2及R3独立地选自:卤化物、羰基、环戊二烯、胺、acac、hfac、脒盐或二氮杂二烯;第三配置,用以将硅前驱物的流提供至处理区域,所述硅前驱物包含一物种,所述物种具有通式SinXaR2n+2-a、Si(NRR’)aR”4-a或硅氧烷,其中n为1至4,a为0至2n+2,X为卤化物,且R、R’及R”独立地选自H、烷基或芳基;第四配置,用以将氮反应剂的流提供至所述处理区域中的一或多个,所述氮反应剂包含以下一或多种:氨、氮、联氨、氩、氢、氦或前述的等离子体;或第五配置,用以于处理区域中提供处理等离子体。
CN201880046778.2A 2017-07-13 2018-07-12 沉积含钇膜的方法及设备 Active CN110892507B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310837902.XA CN116949421A (zh) 2017-07-13 2018-07-12 沉积含钇膜的方法及设备

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/649,584 2017-07-13
US15/649,584 US10760159B2 (en) 2017-07-13 2017-07-13 Methods and apparatus for depositing yttrium-containing films
PCT/US2018/041827 WO2019014449A1 (en) 2017-07-13 2018-07-12 METHODS AND APPARATUS FOR DEPOSITING FILMS CONTAINING YTTRIUM

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310837902.XA Division CN116949421A (zh) 2017-07-13 2018-07-12 沉积含钇膜的方法及设备

Publications (2)

Publication Number Publication Date
CN110892507A true CN110892507A (zh) 2020-03-17
CN110892507B CN110892507B (zh) 2023-07-18

Family

ID=65000079

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202310837902.XA Pending CN116949421A (zh) 2017-07-13 2018-07-12 沉积含钇膜的方法及设备
CN201880046778.2A Active CN110892507B (zh) 2017-07-13 2018-07-12 沉积含钇膜的方法及设备

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202310837902.XA Pending CN116949421A (zh) 2017-07-13 2018-07-12 沉积含钇膜的方法及设备

Country Status (5)

Country Link
US (2) US10760159B2 (zh)
KR (2) KR20240017984A (zh)
CN (2) CN116949421A (zh)
TW (2) TWI817555B (zh)
WO (1) WO2019014449A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116583621A (zh) * 2020-11-20 2023-08-11 默克专利股份有限公司 镧系及类镧系过渡金属的络合物

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04357187A (ja) * 1991-06-03 1992-12-10 Mitsubishi Heavy Ind Ltd 窒化珪素質焼結体及びその製造方法
US20020042165A1 (en) * 2000-04-14 2002-04-11 Matti Putkonen Process for producing oxide thin films
CN102912302A (zh) * 2012-10-11 2013-02-06 厦门大学 一种镁合金表面制备钇/氮化硅复合涂层材料的方法
US20160196980A1 (en) * 2015-01-06 2016-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101228592B1 (ko) * 2011-03-02 2013-02-01 순천대학교 산학협력단 투명 전도성 산화막의 표면처리 방법
US8846443B2 (en) * 2011-08-05 2014-09-30 Intermolecular, Inc. Atomic layer deposition of metal oxides for memory applications
US9177826B2 (en) 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US20150325447A1 (en) * 2013-01-18 2015-11-12 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US9620150B2 (en) * 2014-11-11 2017-04-11 Seagate Technology Llc Devices including an amorphous gas barrier layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04357187A (ja) * 1991-06-03 1992-12-10 Mitsubishi Heavy Ind Ltd 窒化珪素質焼結体及びその製造方法
US20020042165A1 (en) * 2000-04-14 2002-04-11 Matti Putkonen Process for producing oxide thin films
CN102912302A (zh) * 2012-10-11 2013-02-06 厦门大学 一种镁合金表面制备钇/氮化硅复合涂层材料的方法
US20160196980A1 (en) * 2015-01-06 2016-07-07 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
TW201634743A (zh) * 2015-01-06 2016-10-01 Hitachi Int Electric Inc 半導體裝置之製造方法、基板處理裝置、基板處理系統及記錄媒體

Also Published As

Publication number Publication date
KR20240017984A (ko) 2024-02-08
TW202242178A (zh) 2022-11-01
TW201908512A (zh) 2019-03-01
US20190017171A1 (en) 2019-01-17
TWI817555B (zh) 2023-10-01
TWI770206B (zh) 2022-07-11
WO2019014449A1 (en) 2019-01-17
US10760159B2 (en) 2020-09-01
CN110892507B (zh) 2023-07-18
US20200392624A1 (en) 2020-12-17
KR102633017B1 (ko) 2024-02-01
CN116949421A (zh) 2023-10-27
KR20200019769A (ko) 2020-02-24

Similar Documents

Publication Publication Date Title
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
TWI753523B (zh) 高溫熱原子層沉積氮化矽膜
CN111492467B (zh) 钌的选择性原子层沉积
CN115088064A (zh) 使用催化沉积的间隙填充方法
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
US20080261413A1 (en) Pretreatment processes within a batch ald reactor
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
KR20080050510A (ko) 배치 ald 반응기에 대한 처리 공정
TWI794175B (zh) 處理基板的方法
KR20150121217A (ko) SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
CN110892507B (zh) 沉积含钇膜的方法及设备
JP2022544038A (ja) 原子層堆積による多層カプセル化スタック
US11866824B2 (en) Homoleptic lanthanide deposition precursors
CN112335021A (zh) 金属膜的催化沉积
US11359281B2 (en) Selective deposition of SiCON by plasma ALD

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant