TWI817555B - 處理腔室 - Google Patents

處理腔室 Download PDF

Info

Publication number
TWI817555B
TWI817555B TW111121939A TW111121939A TWI817555B TW I817555 B TWI817555 B TW I817555B TW 111121939 A TW111121939 A TW 111121939A TW 111121939 A TW111121939 A TW 111121939A TW I817555 B TWI817555 B TW I817555B
Authority
TW
Taiwan
Prior art keywords
yttrium
processing chamber
configuration
precursor
silicon
Prior art date
Application number
TW111121939A
Other languages
English (en)
Other versions
TW202242178A (zh
Inventor
蘭卡摩C 卡路塔瑞奇
馬克 薩利
湯瑪士 尼斯里
班哲明 史密格
大衛 湯普森
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202242178A publication Critical patent/TW202242178A/zh
Application granted granted Critical
Publication of TWI817555B publication Critical patent/TWI817555B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

茲描述用以透過原子層沉積製程來沉積含釔膜之方法。本揭示內容的某些實施例利用電漿增強之原子層沉積製程。亦描述用以進行含釔膜之原子層沉積之設備。

Description

處理腔室
本揭示內容的實施例一般涉及用於產生蝕刻對比材料的方法。更具體地,本揭示內容的實施例涉及用於透過原子層沉積產生含釔膜的方法。本揭示內容的額外實施例涉及用於在基板上進行含釔膜之原子層沉積的設備。
蝕刻選擇性膜用於半導體製造製程中的圖案化應用。具有不同蝕刻選擇性的膜降低了對通常用於圖案化之昂貴微影方法的需求。蝕刻一個膜而不是另一個膜(或相對於另一個膜選擇性地蝕刻一個膜)的能力使用比微影技術更少的製程步驟。
氮化鈦(TiN)是微電子元件中常用的膜。於元件製造期間,需要蝕刻TiN而不蝕刻元件中的其他材料。為了做到這一點,需要被保護不受TiN蝕刻影響之材料可被覆蓋於顯示出對TiN具有高蝕刻對比度的材料中。可顯示對TiN之高乾式蝕刻選擇性(使用鹵化物系反應性離子(RI)蝕刻)的已知材料不多。諸如SiN、TiO2、HfO2及ZrO 2等常見材料對於鹵化物系蝕刻的抗性不足以獲得元件製造所需之高蝕刻選擇性。
因此,本案所屬技術領域需要新的方法來沉積對TiN具有高蝕刻對比度的材料。
本揭示內容之一或多個實施例涉及沉積膜之方法。所述方法包含以下步驟:將基板暴露於釔前驅物,以於基板上形成釔物種。氮反應劑或氧反應劑中之一或多者對基板暴露,以與基板上之釔物種反應,以形成氮化釔或氧化釔膜中之一或多者。釔前驅物包含錯合物,該錯合物具有通式YR1R2R3,其中R1、R2及R3獨立地選自:鹵化物、羰基、環戊二烯、胺、acac、hfac、脒鹽(amidinate)或二氮雜二烯(diazadiene)。
本揭示內容之額外實施例涉及一種方法,該方法包含以下步驟:依序將基板暴露於釔前驅物及第一氮反應劑,以形成氮化釔膜。依序將基板暴露於矽前驅物及第二氮反應劑,以形成氮化矽膜。釔前驅物包含釔物種,所述釔物種具有通式YR1R2R3,其中R1、R2及R3獨立地選自:鹵化物、羰基、環戊二烯、胺、acac、hfac、脒鹽(amidinate)或二氮雜二烯(diazadiene)。第一氮反應劑包含以下一或多者:氨、氮、聯氨、氬、氫、氦或前述者之電漿。
本揭示內容之進一步實施例涉及一種處理腔室。所述處理腔室包含基座組件,以支撐複數個基板,並繞著中央軸旋轉該複數個基板。基座組件具有頂表面,該頂表面具有複數個凹部,該複數個凹部的尺寸經訂定以固持基板。處理腔室包括氣體分配組件,所述氣體分配組件具有前表面,該前表面與基座組件的頂表面分隔而形成間隙。氣體分配組件包括複數個氣體埠和真空埠,以提供複數個氣流進入該間隙,並提供複數個真空流以自該間隙移除氣體。複數個氣體埠和真空埠經配置而形成複數個製程區域。各製程區域藉由氣簾(gas curtain)與相鄰的製程區域隔離。控制器耦接基座組件和氣體分配組件。控制器具有一或多種配置。這些配置可包括:第一配置,用以使基座組件繞著中央軸旋轉;第二配置,用以將釔前驅物之流提供至製程區域;第三配置,用以將矽前驅物之流提供至製程區域;第四配置,用以將氮反應劑之流提供至該等製程區域中之一或多者;或第五配置,用以於製程區域中提供處理電漿。釔前驅物包含釔物種,該釔物種具有通式YR 1R 2R 3,其中R 1、R 2及R 3獨立地選自:鹵化物、羰基、環戊二烯、胺、acac、hfac、脒鹽(amidinate)或二氮雜二烯(diazadiene)。矽前驅物包含一物種,該物種具有通式SiX aR 4-a、Si(NRR’) aR’’ 4-a或矽氧烷,其中a為0至4,X為鹵化物,且R、R’及R’’獨立地選自H、烷基或芳基。氮反應劑包含以下一或多者:氨、氮、聯氨、氬、氫、氦或前述者之電漿。
在說明本揭示內容的數個示例性實施例之前,應瞭解到本揭示內容不受限於下面說明書中所闡述的建置或處理步驟的細節。本揭示內容能夠具有其他實施例,並能夠被由各種方式實作或執行。
當在本說明書和隨附申請專利範圍中使用時,術語「基板」指的是製程作用的表面,或表面的部分。本案所屬技術領域中具通常知識者也將理解到,除非前後文清楚指明,否則對基板之參照可僅指基板的一部分。此外,對基板上之沉積的參照可指裸基板和具有一或多個膜或特徵沉積或形成於其上之基板二者。
本文所用之「基板(substrate)」指的是形成在基板上的任何基板或材料表面,而處理是在所述基板或材料表面上進行。舉例而言,取決於應用,可在其上進行處理的基板表面包括,但不限於:諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石等材料,以及任何其它材料(如,金屬、金屬氮化物、金屬合金及其他導電材料)。基板可包括,但不限於,半導體晶圓。可將基板暴露於預處理製程,以研磨、蝕刻、還原、氧化、羥基化(或者產生或嫁接標的化學部分以賦予化學官能性)、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行處理之外,於此揭示內容中,也可在形成於基板上的下方層(underlayer)上進行任何於此揭示的膜處理步驟(於下文揭示細節),且如上下文所示,術語「基板表面」欲包括此類下方層。因此,例如,在已經將膜/層或部分膜/層沉積至基板表面上的情況下,新沉積之膜/層的暴露表面便成為基板表面。給定之基板表面包含什麼將取決於待沉積的材料是什麼及所使用之特定化學物質。
如本文所使用,「原子層沉積(Atomic layer deposition)」或「循環沉積(cyclical deposition)」係指包含以下步驟之製程:依序暴露於兩種或更多種反應性化合物,以於基板表面上沉積材料層。如在本說明書及隨附申請專利範圍中所使用,術語「反應性化合物(reactive compound)」、「反應性氣體(reactive gas)」、「反應物種(reactive species)」、「前驅物」、「製程氣體」等可互換用於指某物質,該物質具有能夠在表面反應中(例如,化學吸附、氧化、還原、環加成)與基板表面或基板表面上之材料反應之物種。基板或基板之部分係順序地暴露至兩種或更多種反應性化合物,該等反應性化合物經引入處理腔室之反應區內。
在時域ALD製程(time-domain ALD process)中,對各反應性化合物之暴露由時間延遲分開,以允許每一化合物黏著於基板表面及/或在基板表面上發生反應,並接著從處理腔室被清除。藉由在後續的暴露之間淨化處理腔室,可避免反應性氣體混合。
在空間ALD製程(spatial ALD process)中,可將反應性氣體流入處理腔室內的不同處理區域中。不同處理區域可與相鄰處理區域隔離,使得反應性氣體不會混合。可在處理區域之間移動基板,以隔離的方式將基板暴露於彼等處理氣體。在基板移動期間,基板表面之不同部分,或基板表面上之材料,被暴露於兩種或更多種反應性化合物,致使基板上之任何已知點實質上不同時暴露於超過一種反應性化合物。本案所屬技術領域中具通常知識者將理解,由於處理腔室內的氣體擴散,有同時將基板的一小部分暴露於多種反應性氣體之可能性,且除非另有指明,這樣的同時暴露是無意的。
在時域ALD製程之一個態樣中,第一反應性氣體(即,第一前驅物或化合物A)經脈衝輸送至反應區內,繼之以第一時間延遲。第二前驅物或化合物B經脈衝輸送至反應區內,繼之以第二延遲。在每一時間延遲期間,諸如氬氣之淨化氣體經引入處理腔室內,以淨化反應區或者自反應區移除任何殘留反應性化合物或反應產物或副產物。或者,可使淨化氣體在整個沉積製程期間連續不斷地流動,以便在介於反應性化合物之脈衝之間的時間延遲期間僅淨化氣體流動。反應性化合物可經交替地脈衝輸送,直到在基板表面上形成預定的膜或膜厚度為止。在任一情況下,脈衝輸送化合物A、淨化氣體、化合物B及淨化氣體之ALD製程為一循環。循環可從化合物A或化合物B開始且繼續各別順序之循環直至達到具有預定的厚度之膜為止。
在空間ALD製程之一個態樣中,將第一反應性氣體及第二反應性氣體(例如,氫自由基)同時輸送至反應區,但藉由惰性氣簾及/或真空氣簾隔離。氣簾可為流入處理腔室之惰性氣體與流出處理腔室之真空流的組合。可相對於氣體輸送設備移動基板,致使基板上之任何已知點暴露於第一反應性氣體及第二反應性氣體。
如本文所使用,「脈衝」或「劑量」指的是間歇地或非連續地引入製程腔室內之源氣體之量。特定化合物在每一脈衝內的量可隨著時間推移而變化,此取決於脈衝的持續時間。特定製程氣體可包括單種化合物或兩種或更多種化合物之混合物/組合。
每一脈衝/劑量之持續時間係可變的且可經調整以適應例如處理腔室之體積容量以及耦接至處理腔室之真空系統的容量。另外,製程氣體之劑量時間可根據製程氣體之流速、製程氣體之溫度、控制閥之類型、所使用製程腔室之類型以及製程氣體吸附至基板表面上之組分的能力而變化。劑量時間亦可基於正形成之層的類型及正形成之裝置的幾何形狀而變化。劑量時間應足夠長以提供足以吸附/化學吸附至基板之實質上整體表面上的一定體積的化合物且在該整體表面上形成製程氣體組分之層。
本揭示內容之一或多個實施例有利地提供了沉積膜的方法,所述膜具有對TiN之高蝕刻對比度。本揭示內容的部分實施例有利地提供了用於形成含釔膜的方法,該含釔膜具有高蝕刻選擇性。本揭示內容的部分實施例有利地提供了將釔摻雜到氮化物膜中以增加蝕刻選擇性的方法。本揭示內容的部分實施例有利地提供了將釔摻雜到氧化物膜中以增加蝕刻選擇性的方法。部分實施例提供了將釔摻雜到氧氮化物膜中的方法。本揭示內容的部分實施例有利地提供了用於含釔膜之原子層沉積的新穎前驅物。本揭示內容的部分實施例有利地提供了阻障層以防止銅擴散。
將釔摻雜到SiN膜中可以在保持由化學-機械平坦化法(CMP)去除膜之能力的同時,提供更高的蝕刻選擇性。本揭示內容的部分實施例提供了藉由ALD及PEALD沉積膜的方法,包括但不限於YN及經釔摻雜的SiN (具有可調變的Y濃度)。某些實施例提供了適用於YN和SiYN膜之ALD之釔前驅物。
本揭示內容之一或多個實施例涉及沉積氮化物膜之方法。所述方法包含以下步驟:將基板暴露於釔前驅物,以於基板上形成釔物種;及將基板暴露於氮反應劑,以與基板上的釔物種反應,以形成氮化釔膜。
本揭示內容的部分實施例提供了沉積蝕刻停止材料的方法,所述蝕刻停止材料包括YN或SiYN中之一或多者。在某些實施例中,可沉積PEALD-YN和PEALD-SiN膜,以獲得SiYN膜。沉積製程可為二反應物(AB)製程或三反應物(ABC)製程。
在某些實施例中,二反應物(AB)製程具有脈衝序列,所述脈衝序列包括:釔前驅物暴露、淨化、氮反應劑暴露、淨化,以沉積YN膜。在時域ALD製程中,可將釔前驅物脈衝輸送至處理腔室,隨後淨化去掉過量的反應物/副產物。釔前驅物吸附到基板上(或與基板表面反應),以留下釔物種。將表面暴露於氮反應劑(例如,NH 3或N 2),氮反應劑與表面化學吸附之Y-前驅物反應。氮反應可為熱製程(即,在沒有電漿的情況下)或電漿-增強製程。從處理腔室清除過量的氮反應劑、反應產物及/或副產物。在空間ALD製程中,將釔前驅物及氮反應劑提供至處理腔室的不同部分。製程區域由氣簾分隔,氣簾可包括淨化氣體及真空流。可重複脈衝序列,以生長預定厚度的膜。
某些實施例提供三反應物(ABC)製程來形成含釔膜。可藉由使用脈衝序列:釔前驅物、淨化、氮反應劑、淨化、處理電漿、淨化,來實現YN的PEALD。本案所屬領域具通常知識者將理解,脈衝序列可用於時域製程或空間製程。可以將釔前驅物脈衝輸送到腔室中,然後清除過量的反應物/副產物,或者將基板移出空間ALD腔室的釔製程區域。可在熱製程中將基板暴露於氮反應劑(例如,NH 3),以與釔物種反應。可自製程腔室清除過量的氮反應劑,或可自製程腔室之氮反應劑製程區域移出基板。可藉由使用處理電漿暴露來再生基板上的反應位點。可重複脈衝序列,以生長預定厚度的膜。
除了氮化釔之外,二反應物或三反應物製程也可包括氮化矽之沉積。矽前驅物可與釔前驅物共同流入,或者可為個別的前驅物暴露。SiYN可被形成為均質膜,或被形成為SiN層和YN層之層疊。氮化矽沉積可為熱製程或電漿-增強製程。
在某些實施例中,形成氧化釔膜。可改變二和三反應物製程,以使用氧反應劑取代氮反應劑。在某些實施例中,將氧反應劑添加至氮反應劑,以形成氧氮化物膜。
在某些實施例中,釔前驅物包含錯合物,該錯合物具有通式YR 1R 2R 3,其中R 1、R 2及R 3獨立地選自:鹵化物、羰基、環戊二烯、胺、乙醯丙酮酸鹽(acetylacetonate;acac)、六氟乙醯丙酮酸鹽(hexafluoroacetylacetonate;hfac)、脒鹽(amidinate)或二氮雜二烯(diazadiene)。在某些實施例中,該等R基團中之至少一者為鹵化物。在某些實施例中,該等R基團中之至少一者為羰基。在某些實施例中,該等R基團中之至少一者為環戊二烯。在某些實施例中,該等R基團中之一者為環戊二烯。在某些實施例中,該等R基團中之二者為環戊二烯。在某些實施例中,各該等R基團為環戊二烯。
如此說明書及隨附申請專利範圍所使用,術語「環戊二烯(cyclopentadiene)」包括經取代及未經取代的環。環戊二烯配位體可經烷基取代或經胺基取代。針對環戊二烯配位體之烷基取代基包括C1-C4烷基。針對環戊二烯配位體之胺基取代基包括具有通式–NR2之基團,其中R為C1-C4烷基。在以此方式使用時,字母「C」後跟著數字(例如,「C4」)表示該取代基包含指定數量的碳原子(例如,C4包含四個碳原子)。烷基可為直鏈基團(如,正丁基)或支鏈基團(如,三級丁基)。在某些實施例中,環戊二烯配位體未經取代(即,C 5H 5)。在某些實施例中,環戊二烯配位體具有式C 5H 4R'、C 5H 3R' 2、C 5H 2R' 3、C 5HR' 4或CR' 5,其中各R'獨立地為C1-C4烷基或胺基。在具有一個以上之R'基團的某些實施例中,各R'基團為相同的取代基。在具有一個以上之R'基團的某些實施例中,存在至少兩個不同的取代基。
在釔前驅物中,胺配位體可經C1-C4烷基取代。類似地,脒鹽配位體可在氮原子中之一或二者上具有C1-C4烷基。
如方案(I)所描繪,當與釔原子結合時,二氮雜二烯配位體可採用數種共振型態。 (I)
當在金屬錯合物中鍵結在一起時,這些共振型態中之各者在釔金屬中心上賦予不同的電荷。左側含有兩個雙鍵(二烯)之型態為中性的非離子配位體(DAD0)。方案(I)中央的共振型態含有自由基共振結構,且為單陰離子配位體(DAD1)。含有單一雙鍵之方案(I)右側的共振型態為雙陰離子配位體(DAD2)。就這些共振型態之各者而言,R 1及R 4獨立地選自由C1-C4烷基或胺基所組成之群組;R 2及R 3中之各者獨立地選自H或C1-C4烷基或胺基。在以此方式使用時,C1-C4胺基直接鍵結至二氮雜二烯配位體,且可含有C1-C4烷基取代基,所述烷基取代基可為直鏈基團(如,正丁基)或支鏈基團(如,三級丁基)。
在某些實施例中,釔前驅物具有通式Y(DAD0) aQ dZe,其中DAD0為中性二氮雜二烯系配位體 ; R1及R4獨立地選自由C1-C4烷基和胺基所組成之群組;R2和R3中之各者獨立地選自由H、C1-C3烷基或胺基所組成之群組;Q為陰離子配位體;Z為中性配位體;且a為1至3、d為0至3,且e為0至8。在某些實施例中,Z為CO且a不為1。在某些實施例中,Z不基於DAD。
在某些實施例中,釔前驅物包含通式Y(DAD1) bQ dZ e之配位錯合物,其中DAD1為陰離子二氮雜二烯自由基系配位體 ; R 1及R 4獨立地選自由C1-C4烷基和胺基所組成之群組;R 2和R 3中之各者獨立地選自由H、C1-C3烷基或胺基所組成之群組;Q為陰離子配位體;Z為中性配位體;且b為1至3、d為0至3且e為0至8。在某些實施例中,d和e不同時為0。在某些實施例中,Q不基於DAD或二價DAD系配位體。在某些實施例中,Z不基於DAD或中性DAD系配位體。
在某些實施例中,釔前驅物包含通式 Y(DAD2) cQ dZ e之金屬配位錯合物,其中DAD2為雙陰離子二氮雜二烯系配位體 ; R1及R4獨立地選自由C1-C4烷基和胺基所組成之群組;R2和R3中之各者獨立地選自由H、C1-C3烷基或胺基所組成之群組;Q為陰離子配位體;Z為中性配位體;且c為1至3、d為0至3,且e為0至8。在某些實施例中,Q不基於DAD或單價DAD系配位體。在某些實施例中,Z不基於DAD或中性DAD系配位體。
氮反應劑可為任何合適的氮物種,所述氮物種可與基板上之釔物種或矽物種反應。在某些實施例中,氮反應劑包含以下一或多者:氮、NO、NO 2、N 2O、氨、聯氨或聯氨衍生物。在某些實施例中,氮反應劑基本上由氨組成。就此使用之術語「基本上由氨組成」意指反應性物種在氮反應劑中有大於或等於約95%、98%或99%的所指反應性物種。在某些實施例中,氮反應劑與惰性、稀釋劑或載氣共同流入。合適的惰性、稀釋劑或載氣包括,但不限於:氬、氫、氦及氮。在某些實施例中,氮反應劑包含氨或基本上由氨組成,且氮反應劑與N 2、Ar、H 2或He中之一或多者混合。
在某些實施例中,氮反應劑包含反應物電漿。某些實施例之反應物電漿包含氮、氨、聯氨或聯氨衍生物中之一或多者的電漿。反應物電漿也可包括稀釋劑或載氣,包括但不限於:氮、氬、氫或氦及前述者之電漿。反應物電漿可為直接電漿(direct plasma)或遠端電漿。反應物電漿可為導電耦合性電漿(conductively coupled plasma;CCP)或感應耦合性電漿(inductively coupled plasma;ICP)。
當在本文使用,處理電漿是與氮反應劑分開之電漿暴露。沉積製程可為熱或電漿增強製程,且處理電漿的添加可使用於任一者。在某些實施例中,處理電漿包含以下一或多者:經電漿活化之Ar、N 2、H 2、He或前述者之組合。處理電漿可為直接電漿或遠端電漿。處理電漿可為導電耦合性電漿(CCP)或感應耦合性電漿(ICP)。
在某些實施例中,所述方法包括:將基板上之釔-氮化物膜、矽-氮化釔膜及/或氮化矽膜暴露於處理電漿,以改變膜的性質。在某些實施例中,處理電漿包含氮、氬、氫或氦中之一或多者。可由處理電漿改質之膜性質包括,但不限於:密度、濕式蝕刻速率和折射係數。
在某些實施例中,將矽併入膜中,以產生氮化矽或氮化矽釔膜。將基板暴露於釔前驅物,以於基板上形成釔物種。接著可將基板暴露於矽前驅物,致使基板上之釔物種變成矽-釔物種。在暴露於氮反應劑之後,形成了矽-氮化釔膜。在釔前驅物之前或之後,可使基板暴露於矽前驅物,致使矽物種形成在表面上並改變成矽-釔物種。在某些實施例中,矽前驅物和釔前驅物共同流入而於表面上形成矽-釔物種。在某些實施例中,氮化釔膜被形成在基板上,並接著暴露於矽前驅物,以在基板上形成矽物種。在暴露於氮反應劑之後,在已位於基板上之氮化釔膜上形成氮化矽膜。
合適的矽前驅物包括,但不限於:矽氧烷;具有通式SiXaR(4-a)之甲矽烷基鹵化物(silyl halide),其中X為F、Cl、Br、I,且R為H、烷基或芳基;或具有通式Si(NRR’)aR”(4-a)之甲矽烷基醯胺(silyl amide),其中R、R’及R”為H、烷基或芳基。甲矽烷基鹵化物之實例包括,但不限於:二氯矽烷(DCS)、六氯矽烷(HCDS)、三氯矽烷(TCS)及SiCl 4。甲矽烷基醯胺之實例包括,但不限於:雙(二乙基胺基)矽烷(BDEAS)、雙(第三丁基胺基)矽烷(BTBAS)、四(二甲基胺基)矽烷(TDMAS)。在某些實施例中,矽前驅物包含之物種具有通式SinXaR2n+2-a,其中n為1至4,a為0至2n+2,X為鹵化物,且R、R’及R’’獨立地選自H、C1-C6烷基或芳基。合適的矽氧烷包括,但不限於:六氯二矽氧烷(HCDSO)及八氯三矽氧烷(OCTSO)。
本揭示內容之一或多個實施例涉及了一種方法,該方法包含:將基板依序暴露於釔前驅物和第一氮反應劑,以形成氮化釔膜,並將基板依序暴露於矽前驅物和第二氮反應劑,以形成氮化矽膜。第一及第二氮反應劑可為相同或相異。第一氮反應劑的濃度、電漿態(即,無電漿或電漿)或化學成分獨立於第二氮反應劑。在某些實施例中,第一氮反應劑和第二氮反應劑為相同物種。在某些實施例中,第一氮反應劑與第二氮反應劑相同。
在某些實施例中,所述方法也包括:重複形成氮化釔膜及/或氮化矽膜,以形成預定厚度的氮化釔膜及/或矽-氮化釔膜。氮化釔或矽-氮化釔膜的預定厚度可取決於膜的用途而改變。舉例而言,含釔之蝕刻對比膜(etch contrast film)可具有與含釔之銅阻障層相異之厚度。在某些實施例中,含釔膜用作擴散阻障層,並具有範圍在約1 Å至約100 Å之厚度,或範圍在約5 Å至約50 Å之厚度。在某些實施例中,含釔膜用作蝕刻對比層,並具有範圍在約10 Å至約1,000 Å之厚度,或範圍在約100 Å至約800 Å之厚度,或範圍在約200 Å至約600 Å之厚度,或範圍在約300 Å至約500 Å之厚度。
本揭示內容的某些實施例提供了在基板上形成共形膜的方法。可將共形膜沉積在基板的部分或全部表面上。舉例而言,可將共形膜沉積在具有至少一個表面特徵(如,溝槽或介層孔)之基板上。共形膜在特徵的頂部處與在特徵的底部處具有相同的厚度。在某些實施例中,膜的共形性可被測量為特徵的頂部處之厚度相對於特徵的底部處之厚度,且可大於或等於約90%、91%、92%、93%、94%、95%、96%、97%、98%、99%或約100%。
在某些實施例中,可將釔前驅物、矽前驅物和(多種)氮反應劑提供至製程腔室。前驅物和反應物可作為純化合物而提供,或可被稀釋劑或載氣稀釋。將供應至腔室之反應性化合物(包括任何稀釋劑或載氣)稱為製程氣體。
以下描述的是本揭示內容之方法的實施例,其中使用原子層沉積(ALD)製程在基板的表面上形成沉積膜。以下描述的方法為示例性方法,且不應該被視為限制。除了以下描述之步驟以外,本揭示內容之方法可含有額外的製程步驟。
可在與其他製程氣體不同的參數條件下供應各製程氣體。可以一或更多個脈衝提供製程氣體,或連續不斷地提供製程氣體。製程氣體的流速可為任何合適的流速,包括但不限於:流速在約1至約5000 sccm之範圍內,或在約2至約4000 sccm之範圍內,或在約3至約3000 sccm之範圍內,或在約5至約2000 sccm之範圍內。可在任何合適的壓力下提供製程氣體,包括但不限於:壓力在約5 mTorr至約25 Torr之範圍內,或在約100 mTorr至約20 Torr之範圍內,或在約5 Torr至約20 Torr之範圍內,或在約50 mTorr至約2000 mTorr之範圍內,或在約100 mTorr至約1000 mTorr之範圍內,或在約200 mTorr至約500 mTorr之範圍內。
基板暴露於製程氣體的時間段可為任何合適的時間量,需要所述時間量以容許在基板表面頂部形成足夠的成核層或有足夠的反應。舉例而言,可將製程氣體流入製程腔室達約 0.1秒至約90秒之時段。在某些時域ALD製程中,製程氣體暴露至基板表面達以下時間:範圍自約0.1秒至約90秒,或範圍自約0.5秒至約60秒,或範圍自約1秒至約30秒,或範圍自約2秒至約25秒,或範圍自約3秒至約20秒,或範圍自約4秒至約15秒,或範圍自約5秒至約10秒。
在某些實施例中,可額外將惰性氣體與製程氣體同時提供至製程腔室。惰性氣體可與製程氣體混合(例如,作為稀釋氣體)或分隔,且可被脈衝輸送或恆定流入。在某些實施例中,惰性氣體以約1至約10000 sccm之範圍內的恆定流量流入處理腔室。惰性氣體可為任何惰性氣體,舉例而言,例如,氬、氦、氖、所述者之組合,等等。
可以藉由,例如,設定基板支撐件或基座的溫度,來控制沉積期間之基板溫度。在某些實施例中,基板被保持在約100ºC至約600ºC的溫度範圍內,或在約200ºC至約525ºC的溫度範圍內,或在約300ºC至約475ºC的溫度範圍內,或在約350ºC至約450ºC的溫度範圍內。在一或多個實施例中,基板被維持在低於約475ºC,或低於約450ºC,或低於約425ºC,或低於約400ºC,或低於約375ºC之溫度下。
除了前述之外,可在將基板暴露於製程氣體時,調控額外的製程參數。舉例而言,在某些實施例中,製程腔室可維持在約0.2至約100 Torr之壓力下,或在約0.3至約90 Torr之範圍內,或在約0.5至約80 Torr之範圍內,或在約1至約50 Torr之範圍內。
在將基板暴露於一種製程氣體之後,可使用惰性氣體淨化製程腔室(特別是在時域ALD中)。(由於有氣簾分隔反應性氣體,因此在空間ALD製程中可能不需要這樣。)惰性氣體可為任何惰性氣體,舉例而言,例如,氬、氦、氖,等等。在某些實施例中,惰性氣體可與在將基板暴露於第一製程氣體期間提供到製程腔室之惰性氣體相同,或者不同。在惰性氣體為相同的實施例中,可藉由以下步驟進行淨化:從製程腔室轉移第一製程氣體、允許惰性氣體流經製程腔室、淨化製程腔室去除任何過量的第一製程氣體成分或反應副產物。在某些實施例中,可在與上述結合第一製程氣體使用之相同流速下提供惰性氣體,或在某些實施例中,可升高或降低流速。舉例而言,在某些實施例中,可在大於0至約10000 sccm之流速下將惰性氣體提供到製程腔室,以淨化製程腔室。在空間ALD中,淨化氣簾被維持在反應性氣體流之間,而可能不需要淨化製程腔室。在空間ALD製程的某些實施例中,可使用惰性氣體來淨化製程腔室或製程腔室的區域。
惰性氣體流可有助於從製程腔室去除任何過量的製程氣體及/或過量的反應副產物,以防止不想要的氣相反應。舉例而言,惰性氣體流可從製程腔室去除過量的製程氣體,防止釔前驅物與後續製程氣體之間的反應。
接著將基板暴露於第二製程氣體達第二時間段。第二製程氣體可與基板表面上之物種反應,以產生沉積膜。可在大於第一製程氣體之流速下將第二製程氣體供應至基板表面。在一或多個實施例中,流速大於第一製程氣體的流速之約1倍,或第一製程氣體的流速之約100倍,或在第一製程氣體的流速之約3000至5000倍之範圍內。在時域ALD中,可供應第二製程氣體達約1 sec至約30 sec的範圍內之時間,或約5 sec至約20 sec的範圍內之時間,或約10 sec至約15 sec的範圍內之時間。可在約1 Torr至約30 Torr的範圍內,或約5 Torr至約25 Torr的範圍內,或約10 Torr至約20 Torr的範圍內,或達約50 Torr之壓力下供應第二製程氣體。可將基板溫度維持在任何合適的溫度下。在一或多個實施例中,將基板維持在低於約475ºC的溫度下,或在與暴露於第一製程氣體期間之基板的溫度約略相同之溫度下。
可使用惰性氣體再次淨化製程腔室。惰性氣體可為任何惰性氣體,舉例而言,例如,氬、氦、氖,等等。在某些實施例中,惰性氣體可與在先前製程步驟期間提供到製程腔室之惰性氣體相同,或者不同。在惰性氣體為相同的實施例中,可藉由以下步驟進行淨化:從製程腔室轉移第二製程氣體、容許惰性氣體流經製程腔室、淨化製程腔室去除任何過量的第二製程氣體成分或反應副產物。在某些實施例中,可在與上述結合第二製程氣體使用之相同流速下提供惰性氣體,或在某些實施例中,可升高或降低流速。舉例而言,在某些實施例中,可在大於0至約10,000 sccm之流速下將惰性氣體提供到製程腔室,以淨化製程腔室。
雖然上述處理方法的實施例僅包括反應性氣體之兩個脈衝,但將可理解,這僅是示例性的,並且可以使用製程氣體的額外脈衝。可重複脈衝的全部或部分。可重複循環以形成預定厚度的膜。
請參見圖式,第1圖繪示根據本揭示內容的一或多個實施例之處理平台100。第1圖所示之實施例僅代表一種可能的配置,且不應被視為本揭示內容之範疇的限制。舉例而言,在某些實施例中,處理平台100具有不同數量的製程腔室、緩衝腔室及機器人配置。
處理平台100包括中央移送站110,中央移送站110具有複數個側111、112、113、114、115、116。所示之移送站110具有第一側111、第二側112、第三側113、第四側114、第五側115及第六側116。儘管繪示了六側,但是本案所屬技術領域中具通常知識者將可理解,取決於例如處理平台100的整體配置,移送站110可以具有任何合適數量的側面。
移送站110中安置有機器人117。機器人117可為能在處理期間移動晶圓之任何合適的機器人。在某些實施例中,機器人117具有第一機械臂118和第二機械臂119。第一機械臂118和第二機械臂119可獨立於另一機械臂移動。第一機械臂118和第二機械臂119可在x-y平面中移動及/或沿著z軸移動。在某些實施例中,機器人117包括第三機械臂或第四機械臂(未繪示)。各機械臂可獨立於其他機械臂移動。
批次處理腔室120可連接至中央移送站110的第一側111。批次處理腔室120可經配置以在批次時間內一次處理x個晶圓。在某些實施例中,批次處理腔室120可經配置以同時處理約4個(x=4)至約12個(x=12)之範圍內的晶圓。在某些實施例中,批次處理腔室120經配置以同時處理6個(x=6)晶圓。本案所屬技術領域中具通常知識者將可理解,儘管批次處理腔室120可在裝載/卸載單獨晶圓之間處理多個晶圓,但各個晶圓可在任何給定的時間經受不同製程條件。舉例而言,類似於第2至6圖所示之空間原子層沉積腔室,可將晶圓暴露於不同處理區域中之不同製程條件,致使在晶圓移動穿過各區域時完成製程。
第2圖繪示處理腔室200的剖面,處理腔室200包括氣體分配組件220以及基座組件240,氣體分配組件220亦稱為注入器或注入器組件。氣體分配組件220為在處理腔室中使用的任何類型的氣體遞送裝置。氣體分配組件220包括了面向基座組件240之前表面221。前表面221可具有任何數量或種類的開口,以朝向基座組件240遞送氣體流。氣體分配組件220也包括外邊緣224,在所示實施例中,外邊緣224實質上為圓形。
可取決於所使用的特定製程來改變所使用之氣體分配組件220的具體類型。本揭示內容之實施例可與任何類型的處理系統一起使用,其中基座與氣體分配組件之間的間隙為受控的。儘管可利用各種類型之氣體分配組件(如,噴淋頭),但本揭示內容之實施例可特別有利於空間氣體分配組件(spatial gas distribution assembly),所述空間氣體分配組件可具有複數個實質上平行的氣體通道。如本說明書與隨附申請專利範圍中所使用,術語「實質上平行(substantially parallel)」意指氣體通道的延長軸在大體相同的方向上延伸。氣體通道的平行性可有些許不完美。在二元反應中,複數個實質上平行的氣體通道可包括至少一個第一反應性氣體A通道、至少一個第二反應性氣體B通道、至少一個淨化氣體P通道及/或至少一個真空V通道。可朝晶圓的頂表面引導從(多個)第一反應性氣體A通道、(多個)第二反應性氣體B通道及(多個)淨化氣體P通道流出的氣體。部分氣體流跨晶圓的表面水平地移動,並透過(多個)淨化氣體P通道離開製程區域。從氣體分配組件的一端向另一端移動之基板將依序暴露於各製程氣體,而在基板表面上形成層。
在某些實施例中,氣體分配組件220為由單一注入器單元所製成的剛性固定體。在一或多個實施例中,如第3圖所示,氣體分配組件220可由複數個獨立區段(如,注入器單元222)製成。無論單件本體或多區段本體都可與本揭示內容所描述之各種實施例一起使用。
可將基座組件240安置在氣體分配組件220下方。基座組件240包括頂表面241及頂表面241中之至少一個凹部242。基座組件240也具有底表面243及邊緣244。根據待處理之基板60的形狀與尺寸,凹部242可為任何合適的形狀與尺寸。在第2圖所示之實施例中,凹部242具有平坦底部,以支撐晶圓的底部;然而,凹部的底部可變化。在某些實施例中,凹部在凹部的周圍邊緣具有階梯狀區域,階梯狀區域的尺寸經訂定以支撐晶圓的外部周圍邊緣。根據,例如,晶圓的厚度及已於晶圓的背側上存在之特徵,可改變由階梯部支撐之晶圓的外部周圍邊緣量。
在某些實施例中,如第2圖所示,基座組件240的頂表面241中之凹部242的尺寸經訂定,使得支撐於凹部242中之基板60的頂表面61與基座240的頂表面241實質上共平面。如本說明書與隨附申請專利範圍所使用,術語「實質上共平面(substantially coplanar)」指的是晶圓的頂表面與基座組件的頂表面在± 0.2 mm內共平面。在某些實施例中,所述頂表面在0.5 mm、± 0.4 mm、± 0.35 mm、± 0.30 mm、± 0.25 mm、± 0.20 mm、± 0.15 mm、± 0.10 mm或± 0.05 mm內共平面。
第2圖的基座組件240包括支撐柱260,支撐柱260能夠升舉、降低並旋轉基座組件240。基座組件可於支撐柱260的中心內包括加熱器、或氣體線、或電性部件。支撐柱260可為增加或減少基座組件240與氣體分配組件220間之間隙,從而將基座組件240移入適當的位置的主要構件。基座組件240亦可包含微調致動器262,微調致動器262可對基座組件240進行微小的調整,以在基座組件240與氣體分配組件220之間產生預定間隙270。
在某些實施例中,間隙270距離可在以下範圍內:約0.1 mm至約5.0 mm、或為約0.1 mm至約3.0 mm、或為約0.1 mm至約2.0 mm、或為約0.2 mm至約1.8 mm、或為約0.3 mm至約1.7 mm、或為約0.4 mm至約1.6 mm、或為約0.5 mm至約1.5 mm、或為約0.6 mm至約1.4 mm、或為約0.7 mm至約1.3 mm、或為約0.8 mm至約1.2 mm、或為約0.9 mm至約1.1 mm、或約1 mm。
圖式所示之處理腔室200為旋轉料架型腔室(carousel-type chamber),其中基座組件240可固持複數個基板60。如第3圖所示,氣體分配組件220可包括複數個分隔的注入器單元222,在晶圓於注入器單元下方移動時,各注入器單元222能夠將膜沉積在晶圓上。兩個派形注入器單元222被繪示為安置在基座組件240的大略相對側,並於基座組件240上方。僅為了解說目的而繪示此數量的注入器單元222。將可理解,可包括更多或更少的注入器單元222。在某些實施例中,有足夠數量的派形注入器單元222,以形成與基座組件240的形狀相符之形狀。在某些實施例中,個別派形注入器單元222的每一者可獨立地移動、移除及/或替換,而不會影響任何其他注入器單元222。舉例而言,可升高一個區段,以允許機器人接近介於基座組件240與氣體分配組件220之間的區域,以裝載/卸載基板60。
可使用具有多個氣體注入器的處理腔室來同時處理多個晶圓,使得所述晶圓經歷相同的製程流。舉例而言,如第4圖所示,處理腔室200具有四個氣體注入器組件及四個基板60。在處理開始時,可將基板60安置於氣體分配組件220之間。以45゜旋轉17基座組件240,將使得介於氣體分配組件220之間的各基板60移動至氣體分配組件220,以進行膜沉積,如氣體分配組件220下方的虛線圓圈所圖示說明。額外的45゜旋轉可移動基板60離開氣體分配組件220。基板60和氣體分配組件220的數量可為相同或相異。在某些實施例中,氣體分配組件的數量與待處理的晶圓數量相同。在一或多個實施例中,待處理之晶圓的數量為氣體分配組件的數量之分數或整數倍。舉例而言,若有四個氣體分配組件,則有4x個待處理晶圓,其中x為大於或等於1的整數值。在示範實施例中,氣體分配組件220包括由氣簾分隔的八個製程區域,且基座組件240可固持六個晶圓。
第4圖所示之處理腔室200僅代表一種可能的配置,且不應被當作對本揭示內容的範圍之限制。在此,處理腔室200包括複數個氣體分配組件220。在所示實施例中,有四個氣體分配組件220 (亦稱作注入器組件)繞著處理腔室200平均地隔開。所示之處理腔室200為八角形;然而,本發明所屬技術領域中具有通常知識者將瞭解到這僅是一種可能的形狀,而不應被當作對本揭示內容的範圍之限制。所示之氣體分配組件220為梯型,但可為單一圓形部件或可由複數個派形區段製成(如第3圖所示)。
第4圖所示之實施例包括裝載鎖定腔室(load lock chamber) 280,或輔助腔室(如緩衝站)。此腔室280連接至處理腔室200的一側,以允許(例如)基板(亦稱為基板60)被裝載至/卸載自腔室200。可將晶圓機器人安置在腔室280中,以將基板移動至基座上。
旋轉料架(如,基座組件240)的旋轉可為連續的或間歇的(不連續的)。在連續處理中,晶圓持續旋轉,使得晶圓依次暴露於各注入器。在不連續的處理中,可將晶圓移動至注入器區域並停止,且接著被移動至注入器之間的區域84並停止。舉例而言,旋轉料架可旋轉而使晶圓從注入器間區域跨過注入器移動(或在注入器附近停止),並繼續到旋轉料架可再次暫停之下一個注入器間區域。注入器之間的暫停可在各層沉積之間提供用於進行額外處理步驟(如,對電漿暴露)的時間。
第5圖繪示氣體分配組件222的區段或部分,所述區段或部分可稱為注入器單元。可單獨或結合其他注入器單元使用注入器單元222。舉例而言,如第6圖所示,可結合四個第5圖之注入器單元222來形成單個氣體分配組件220。(為了清楚說明,未繪示分隔這四個注入器單元的線。) 儘管除了淨化氣體埠255和真空埠245之外,第5圖之注入器單元222具有第一反應性氣體埠225和第二氣體埠235二者,但注入器單元222不需要所有這些部件。
請一併參見第5及6圖,根據一或多個實施例之氣體分配組件220可包含複數個區段(或注入器單元222),而各區段可相同或相異。氣體分配組件220可安置在處理腔室內,並在氣體分配組件220的前表面221中包含複數個延長氣體埠225、235、245。複數個延長氣體埠225、235、245、255從內部周圍邊緣223附近之區塊朝向氣體分配組件220的外部周圍邊緣224附近之區塊延伸。所繪示之複數個氣體埠包括第一反應性氣體埠225、第二氣體埠235、真空埠245以及淨化氣體埠255,其中真空埠245圍繞第一反應性氣體埠及第二反應性氣體埠中之各者。
參照第5或6圖所示之實施例,雖然指出埠從至少約內周圍區域延伸到至少約外周圍區域,但,埠可不止僅從內區域徑向延伸至外區域。當真空埠245圍繞反應性氣體埠225和反應性氣體埠235時,埠可正切地延伸。在第5及6圖所示之實施例中,真空埠245圍繞在楔形反應性氣體埠225、235的所有邊緣上,包括與內周圍區域和外周圍區域相鄰的邊緣。
請參見第5圖,隨著基板沿路徑227移動,基板表面的各部分暴露於各種反應性氣體。為了跟隨路徑227,基板將被暴露至(或「看到(see)」)淨化氣體埠255、真空埠245、第一反應性氣體埠225、真空埠245、淨化氣體埠255、真空埠245、第二氣體埠235及真空埠245。因此,在第5圖所示之路徑227的末端,基板已被暴露於第一反應性氣體225及第二反應性氣體235,以形成層。所示之注入器單元222形成四分之一圓,但可以更大或更小。第6圖所示之氣體分配組件220可被視為四個第3圖之注入器單元222串聯連接的組合。
第5圖的注入器單元222顯示了分隔反應性氣體之氣簾250。術語「氣簾(gas curtain)」用於描述任何氣體流或真空的結合,所述氣體流或真空可分隔反應性氣體使其不混合。第5圖所示之氣簾250包含:真空埠245在第一反應性氣體埠225旁的部分、中間的淨化氣體埠255,及真空埠245在第二氣體埠235旁的部分。可使用此氣體流與真空的結合,以防止或最小化第一反應性氣體與第二反應性氣體的氣相反應。
請參見第6圖,來自氣體分配組件220的氣體流與真空的結合,分隔了複數個製程區域350。可以介於350之間的氣簾250粗略地界定圍繞個別氣體埠225、235之製程區域。第6圖所示之實施例由八個分隔的製程區域350構成,而其間有八個分隔氣簾250。處理腔室可具有至少兩個製程區域。在某些實施例中,存在至少三個、四個、五個、六個、七個、八個、九個、十個、十一個或十二個製程區域。
在處理期間,基板可在任何給定的時間下暴露於超過一個製程區域350。然而,暴露至不同製程區域的部分,將具有分隔所述兩個製程區域的氣簾。舉例而言,若基板的前緣進入了包括第二氣體埠235之製程區域,則基板的中間部分將處於氣簾250下方,且基板的尾緣將處於包括第一反應性氣體埠225之製程區域中。
將設施介面280 (如第4圖所示)繪示為連接至處理腔室200,設施介面280可為,例如,裝載鎖定腔室。將基板60繪示為疊接於氣體分配組件220上方,以提供參考框(frame)。基板60通常可位在基座組件上,以被固持在氣體分配板220的前表面221附近。可透過設施介面280將基板60裝載進入處理腔室200至基板支撐件或基座組件上(請見第4圖)。基板60可被繪示為安置在製程區域內,因為基板被定位在鄰近第一反應性氣體埠225處並介於兩個氣簾250a、250b之間。沿著路徑227旋轉基板60將繞著處理腔室200逆時針移動基板。因此,基板60將被暴露於第一製程區域350a至第八製程區域350h,包括其間的所有製程區域。
本揭示內容的某些實施例涉及處理腔室200,處理腔室200具有複數個製程區域350a至350h,各製程區域藉由氣簾250與相鄰區域隔開。舉例而言,第6圖所示之處理腔室。取決於氣體流的設置,處理腔室內之氣簾和製程區域的數量可為任何合適的數量。第6圖所示之實施例具有八個氣簾250和八個製程區域350a至350h。
請回頭參見第1圖,處理平台100包括處理腔室140,處理腔室140連接至中央移送站110的第二側112。某些實施例之處理腔室140經配置而將晶圓暴露於製程,以在第一批次處理腔室120中的處理之前及/或之後處理晶圓。某些實施例之處理腔室140包含退火腔室。退火腔室可為爐退火腔室或快速熱退火腔室,或經配置以將晶圓保持在預定溫度和壓力下並提供氣體流至腔室之不同腔室。
在某些實施例中,處理平台進一步包含第二批次處理腔室130,第二批次處理腔室130連接至中央移送站110的第三側113。可以類似於批次處理腔室120的方式配置第二批次處理腔室130,或可配置第二批次處理腔室130來進行不同製程或處理不同數量的基板。
第二批次處理腔室130可與第一批次處理腔室120相同或相異。在某些實施例中,第一批次處理腔室120及第二批次處理腔室130經配置以在相同的批次時間(batch time)內對相同數量的晶圓進行相同的製程,致使x (第一批次處理腔室120中之晶圓的數量)與y (第二批次處理腔室130中之晶圓的數量)相同,且第一批次時間與(第二批次處理腔室130的)第二批次時間相同。在某些實施例中,第一批次處理腔室120和第二批次處理腔室130經配置而具有相異的晶圓數量(x不等於y)、相異的批次時間中之一或多者,或二者均相異。
在第1圖所示之實施例中,處理平台100包括第二處理腔室150,第二處理腔室150連接至中央移送站110的第四側114。第二處理腔室150可與處理腔室140相同或相異。
處理平台100可包括控制器195,控制器195連接至機器人117 (未繪示所述連接)。控制器195可經配置而以機器人117的第一機械臂118在預清潔腔室140與第一批次處理腔室120之間移動晶圓。在某些實施例中,控制器195也可經配置而以機器人117的第二機械臂119在第二單晶圓處理腔室150與第二批次處理腔室130之間移動晶圓。
在某些實施例中,控制器195連接至處理腔室200的基座組件240和氣體分配組件220。控制器195可經配置以繞著中央軸旋轉17所述基座組件240。控制器也可經配置來控制氣體埠225、235、245、255中之氣體流動。在某些實施例中,第一反應性氣體埠225提供釔前驅物之流。在某些實施例中,第二反應性氣體埠235提供矽前驅物之流。在某些實施例中,其他氣體埠(未標記)可提供氮反應劑之流或提供處理電漿。可以任何處理順序佈置第一反應性氣體埠225、第二反應性氣體埠235及其他反應性氣體埠(未標記)。
處理平台100還可包括連接至中央移送站110的第五側115之第一緩衝站151,及/或連接至中央移送站110的第六側116之第二緩衝站152。第一緩衝站151和第二緩衝站152可執行相同或相異的功能。舉例而言,緩衝站可固持經處理後之晶圓的卡匣並返回原始卡匣,或第一緩衝站151可固持未經處理之晶圓,並在處理後將所述晶圓移動至第二緩衝站152。在某些實施例中,一或多個緩衝站經配置以在處理之前及/或之後預處理、預熱或清潔晶圓。
在某些實施例中,控制器195經配置以使用機器人117的第一機械臂118,在第一緩衝站151與處理腔室140和第一批次處理腔室120中之一或多者之間移動晶圓。在某些實施例中,控制器195經配置以使用機器人117的第二機械臂119,在第二緩衝站152與第二處理腔室150或第二批次處理腔室130中之一或多者之間移動晶圓。
處理平台100還可在中央移送站110與任何處理腔室之間包括一或多個狹縫閥160。在所示實施例中,在各個處理腔室120、130、140、150與中央移送站110之間存在狹縫閥160。狹縫閥160可打開和關閉,以隔離處理腔室內的環境與中央移送站110內的環境。舉例而言,若處理腔室在處理期間將產生電漿,則關閉所述處理腔室之狹縫閥可有助於防止雜散電漿損壞移送站中之機器人。
在某些實施例中,處理腔室不容易從中央移送站110移去。為了在任何處理腔室上進行維護,各處理腔室可進一步在處理腔室的側面上包括複數個接取門(access door) 170。接取門170允許手動接取處理腔室,而無需從中央移送站110移去處理腔室。在所示實施例中,各處理腔室的各側(除了連接至移送站之該側以外)都具有接取門170。包括如此多的接取門170可使所採用之處理腔室的構造複雜化,因為腔室內的硬體可能需要經配置才能通過所述門進出。
某些實施例之處理平台包括連接到移送腔室110的水箱180。水箱180可經配置以將冷卻劑提供至任何或全部處理腔室。儘管被稱為「水(water)」箱,但是本案所屬技術領域中具通常知識者將可理解,可以使用任何冷卻劑。
在某些實施例中,處理平台100的尺寸允許經由單一電力連接器190連接至廠用電力(house power)。單一電力連接器190附接至處理平台100,以為各處理腔室和中央移送站110供電。
處理平台100可連接至設施介面102,以容許晶圓或晶圓的卡匣裝載進入平台100。設施介面102內的機器人103可將晶圓或卡匣移動進入或離開緩衝站151、152。可藉由中央移送站110中之機器人117在平台100內移動晶圓或卡匣。在某些實施例中,設施介面102為另一個叢集工具的移送站。
在某些實施例中,處理平台100或批次處理腔室120連接至控制器。控制器可為與控制器195相同或不同的控制器。控制器可耦接至批次處理腔室120之基座組件及氣體分配組件,並具有一或多種配置。所述配置可包括,但不限於:第一配置,用以使基座組件繞著中央軸旋轉;第二配置,用以將釔前驅物之流提供至製程區域,該釔前驅物包含釔物種,該釔物種具有通式YR 1R 2R 3,其中R 1、R 2及R 3獨立地選自:鹵化物、羰基、環戊二烯、胺、acac、hfac、脒鹽(amidinate)或二氮雜二烯(diazadiene);第三配置,用以將矽前驅物之流提供至製程區域,該矽前驅物包含一物種,該物種具有通式SinXaR2n+2-a、Si(NRR’)aR’’4-a或矽氧烷,其中n為1至4,a為0至2n+2,X為鹵化物,且R、R’及R’’獨立地選自H、烷基或芳基;第四配置,用以將氮反應劑之流提供至製程區域中之一或多者,該氮反應劑包含以下一或多者:氨、氮、聯氨、氬、氫、氦或前述者之電漿;或第五配置,用以於製程區域中提供處理電漿。
在本揭示內容的部分實施例中,以氮化釔層和氮化矽層之層疊的方式將矽-氮化釔膜沉積或形成在基板表面上。可改變氮化釔層和氮化矽層的數目,以控制矽-氮化釔膜中之釔或矽的量。在某些實施例中,藉由二反應物製程或三反應物製程來沉積第一次數的循環之氮化釔。藉由二反應物製程或三反應物製程來沉積第二次數的循環之氮化矽。可重複第一次數的循環或第二次數的循環中之一或多者來形成矽-氮化釔膜。可先沉積氮化釔或氮化矽膜中之任一者。
在某些實施例中,沉積PEALD SiYN膜。可將Y前驅物脈衝輸送至腔室,然後淨化去除過量的反應物/副產物。接著將表面暴露於Si-前驅物,Si-前驅物與化學吸附之Y-前驅物反應。接著將表面暴露於經電漿活化的NH 3,或暴露於NH 3後以電漿活化(Ar、N 2、H 2、He電漿),以形成SiYN膜。重複此順序可產生預定厚度之SiYN膜。可先使矽前驅物或釔前驅物對基板暴露。
在一或多個實施例中,可藉由熱ALD形成SiYN膜。可將基板暴露於Y前驅物接著暴露於Si前驅物,期間進行淨化,或藉由移動至不同製程區域來進行。矽前驅物可與化學吸附的Y-前驅物反應,或反之亦可(若先暴露於Si前驅物的話)。接著可將表面暴露於NH 3(沒有電漿活化)。可重複此順序而形成預定厚度之SiYN膜。
在某些實施例中,可藉由PEALD和熱ALD製程之混合,來形成SiYN膜。YN PEALD或熱製程可與SiN PEALD或熱製程混合。可改變各該YN及SiN製程之循環的次數,以控制SiYN膜的組成。
實例
藉由依序暴露於釔前驅物、淨化氣體、反應物電漿及淨化氣體,來沉積YN膜。所使用的釔前驅物為Y(Et-Cp)2(N,N-二異丙基脒鹽)。各步驟由10 s的Ar淨化來分隔。反應物電漿為1:1的NH 3:Ar混合物。用於釔前驅物和電漿暴露之脈衝長度分別為6 s和5 s。將釔前驅物安瓿維持在95 ºC,且將基板保持在350 ºC。YN膜的每循環生長(growth per cycle;GPC)為0.42 Å/min,及在稀釋HF (1:100)中之濕式蝕刻速率(wet etch rate;WER)為0.97 Å/min。YN膜不在水中蝕刻。降低溫度會增加GPC,也會增加膜中之C含量。
以交替的YN及SiN循環(二反應物YN/二反應物SiN)進行ALD循環。兩種循環均利用1:1 NH 3:Ar之反應物電漿。所述序列的各步驟由10 s的Ar淨化來分隔。用於釔前驅物(Y(Et-Cp) 2(N,N-二異丙基脒鹽))、電漿、三氯矽烷(TCS)及電漿之脈衝長度分別為6 s、5 s、1 s及10 s。將釔前驅物安瓿保持在95ºC,並將TCS安瓿維持在室溫。在350ºC之基板溫度下進行沉積。混合的膜之GPC高於個別的膜之GPC。混合膜之WER介於個別的SiN膜與YN膜之間。混合的膜所具有的原子組成介於個別的SiN膜與YN膜的組成之間。
藉由依序暴露於釔前驅物、淨化氣體、反應物電漿及淨化氣體,來沉積YO膜。釔前驅物為Y(Et-Cp)2(N,N-二異丙基脒鹽)。反應物電漿為1:1 O 2:Ar混合物。各步驟由10 s的Ar淨化來分隔。將釔前驅物安瓿維持在95ºC,並將基板保持在350ºC。
藉由依序暴露於釔前驅物、淨化氣體、氧反應劑及淨化氣體,來沉積YO膜。使用的釔前驅物為Y(Et-Cp)2(N,N-二異丙基脒鹽)。就不同的膜而言,氧反應劑為O 2/Ar、O 3/Ar及H 2O/Ar之1:1混合物。各步驟由10 s的Ar淨化來分隔。將釔前驅物安瓿維持在95ºC,並將基板保持在350ºC。
YO膜具有約1.5 Å/min的每循環生長(GPC),及在稀釋HF (1:100)和水中之小於1 Å/min的濕式蝕刻速率(WER)。使用鹵化物系蝕刻來測量乾式蝕刻速率,並除以ALD-TiN蝕刻速率,以獲得蝕刻選擇性。在這些條件下,某些膜不會顯示出任何蝕刻。YN/SiYN膜之乾式蝕刻選擇性的範圍自12至無限大。YO/SiYO膜之乾式蝕刻選擇性的範圍自23至無限大。就YN/SiYN膜和YO/SiYO膜而言,當Si/Y比例降低時,蝕刻選擇性會增加。
本說明書中對於「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」的參照,表示在本揭示內容的至少一個實施例中包含連同實施例所說明的特定特徵、結構、材料或特性。因此,在本說明書中各處出現諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的詞語,不一定指本揭示內容的相同實施例。再者,可以任何適合的方式結合一或多個實施例中之特定特徵、結構、材料或特性。
雖然已參照特定實施例說明了本文的揭示內容,應瞭解到,這些實施例僅說明本揭示內容的原理與應用。在本揭示內容所屬技術領域中具有通常知識者將明瞭到,可對本揭示內容的方法與設備進行各種修改與變化,而不脫離本揭示內容的精神與範圍。因此,本揭示內容欲包括在隨附申請專利範圍及其均等範圍之範疇內的修改與變化。
100:處理平台 102:設施介面 103:機器人 110:中央移送站 111:第一側 112:第二側 113:第三側 114:第四側 115:第五側 116:第六側 117:機器人 118:機械臂 119:機械臂 120:批次處理腔室 130:批次處理腔室 140:處理腔室 150:第二處理腔室 151:第一緩衝站 152:第二緩衝站 160:狹縫閥 17:旋轉 170:接取門 180:水箱 195:控制器 200:處理腔室 220:氣體分配組件 221:前表面 222:注入器單元 223:邊緣 224:邊緣 225:氣體埠 227:路徑 235:氣體埠 240:基座組件 241:頂表面 242:凹部 243:底表面 244:邊緣 245:氣體埠 250:氣簾 255:氣體埠 260:支撐柱 262:微調致動器 270:間隙 350:製程區域 350a~350h:製程區域 60:基板 84:區域
可參考多個實施例以更特定地說明以上簡要總結的本揭示內容,以更詳細瞭解本揭示內容的上述特徵,附加圖式圖示說明了其中一些實施例。然而應注意到,附加圖式僅圖示說明此揭示內容的典型實施例,且因此不應被視為限制本揭示內容的範圍,因為本揭示內容可允許其他等效實施例。
第1圖繪示根據本揭示內容的一或多個實施例之處理平台的示意圖;
第2圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的剖面視圖;
第3圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的部份透視圖;
第4圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的示意圖;
第5圖繪示根據本揭示內容的一或多個實施例之供在批次處理腔室中使用之楔形氣體分配組件的一部分的示意圖;以及
第6圖繪示根據本揭示內容的一或多個實施例之批次處理腔室的示意圖。
在附圖中,類似的部件及/或特徵可以具有相同的元件符號。進一步,可藉由在元件符號後以破折號和區別類似部件之第二符號來區別相同類型的各種部件。若在說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號之任何一個相似部件,無論第二符號為何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
221:前表面
222:注入器單元
223:邊緣
224:邊緣
225:氣體埠
227:路徑
235:氣體埠
245:氣體埠
250:氣簾
255:氣體埠
350:製程區域

Claims (20)

  1. 一種處理腔室,包含:一基座組件,具有一頂表面,該頂表面具有至少一凹部,該凹部的尺寸經訂定以支撐至少一基板;一氣體分配組件,具有一前表面,該前表面與該基座組件的該頂表面分隔而形成一間隙,該氣體分配組件經配置以提供氣流進入該間隙;及一控制器,耦接該基座組件和該氣體分配組件,該控制器具有一第一配置、一第二配置與一第三配置,該第一配置用以將一釔前驅物之一流提供至該間隙,該釔前驅物包含一釔物種,該釔物種具有一通式YR1R2R3,其中R1、R2及R3獨立地選自:鹵化物、羰基、環戊二烯、胺、乙醯丙酮酸鹽(acetylacetonate;acac)、六氟乙醯丙酮酸鹽(hexafluoroacetylacetonate;hfac)、脒鹽(amidinate)或二氮雜二烯(diazadiene),該第二配置用以將一矽前驅物之一流提供至該間隙,及該第三配置用以將一氮反應劑之一流提供至該間隙,其中該控制器經配置以提供該釔前驅物與該矽前驅物以在該至少一基板上形成一矽-釔物種及提供該氮反應劑以與該矽-釔物種反應以形成一矽-氮化釔(silicon-yttrium nitride)膜。
  2. 如請求項1所述之處理腔室,其中該矽前驅物包含一物種,該物種具有一通式SinXaR2n+2-a、 Si(NRR’)aR”4-a或一矽氧烷,其中n為1至4,a為0至2n+2,X為一鹵化物,且R、R’及R”獨立地選自H、烷基或芳基。
  3. 如請求項1所述之處理腔室,其中該氮反應劑包含氮、氨或聯氨中之一或多者。
  4. 如請求項1所述之處理腔室,其中該氮反應劑包含一反應物電漿。
  5. 如請求項1所述之處理腔室,其中該釔前驅物和該矽前驅物同時地被提供至該基板。
  6. 如請求項1所述之處理腔室,其中該釔前驅物和該矽前驅物依序地被提供至該基板。
  7. 如請求項1所述之處理腔室,其中該控制器進一步包含一第四配置,該第四配置用以提供一處理電漿以改變該矽-氮化釔膜的一性質。
  8. 如請求項7所述之處理腔室,其中該處理電漿包含氮氣、氬、氫氣、或氦中之一或多者。
  9. 一種處理腔室,包含:一基座組件,具有一頂表面,該頂表面具有至少一凹部,該凹部的尺寸經訂定以支撐至少一基板;一氣體分配組件,具有一前表面,該前表面與該基座組件的該頂表面分隔而形成一間隙,該氣體分配組件經配置以提供氣流進入該間隙;及一控制器,耦接該基座組件和該氣體分配組件,該控制器具有一第一配置、一第二配置、一第三配置與一第 四配置,該第一配置用以將一釔前驅物之一流提供至該間隙,該釔前驅物包含一釔物種,該釔物種具有一通式YR1R2R3,其中R1、R2及R3獨立地選自:鹵化物、羰基、環戊二烯、胺、乙醯丙酮酸鹽(acetylacetonate;acac)、六氟乙醯丙酮酸鹽(hexafluoroacetylacetonate;hfac)、脒鹽(amidinate)或二氮雜二烯(diazadiene),該第二配置用以將一矽前驅物之一流提供至該間隙,該第三配置用以將一第一氮反應劑之一流提供至該間隙,該第一氮反應劑包含氨、氮、聯氨、或前述者之電漿中之一或多者,及該第四配置用以將一第二氮反應劑之一流提供至該間隙,其中該控制器經配置以依序地提供該釔前驅物與該第一氮反應劑以在該至少一基板上形成一氮化釔膜及依序地提供該矽前驅物與該第二氮反應劑以形成一氮化矽膜。
  10. 如請求項9所述之處理腔室,其中該矽前驅物包含一物種,該物種具有一通式SinXaR2n+2-a、Si(NRR’)aR”4-a或一矽氧烷,其中n為1至4,a為0至2n+2,X為一鹵化物,且R、R’及R”獨立地選自H、烷基或芳基。
  11. 如請求項9所述之處理腔室,其中該第二氮反應劑包含氨、氮或聯氨或前述者之電漿中之一或多者。
  12. 如請求項9所述之處理腔室,其中該控制器進一步包含一第五配置,該第五配置用以提供一第一處理電漿以改變該氮化釔膜的一性質。
  13. 如請求項9所述之處理腔室,其中該控制器進一步包含一第六配置,該第六配置用以提供一第二處理電漿以改變該氮化矽膜的一性質。
  14. 如請求項9所述之處理腔室,其中該控制器經配置以重複形成該氮化釔膜與該氮化矽膜以形成一預定厚度的一矽-氮化釔膜。
  15. 一種處理腔室,包含:一基座組件,具有一頂表面,該頂表面具有至少一凹部,該凹部的尺寸經訂定以支撐至少一基板;一氣體分配組件,具有一前表面,該前表面與該基座組件的該頂表面分隔而形成一間隙,該氣體分配組件經配置以提供氣流進入該間隙;及一控制器,耦接該基座組件和該氣體分配組件,該控制器具有一第一配置、一第二配置與一第三配置,該第一配置用以將一釔前驅物之一流提供至該間隙,該釔前驅物包含一釔物種,該釔物種具有一通式YR1R2R3,其中R1、R2及R3獨立地選自:鹵化物、羰基、環戊二烯、胺、乙醯丙酮酸鹽(acetylacetonate;acac)、六氟乙醯丙酮酸鹽(hexafluoroacetylacetonate;hfac)、脒鹽(amidinate)或二氮雜二烯(diazadiene),該第二配置用以將一矽前驅物之一流 提供至該間隙,及該第三配置用以將一氮反應劑之一流提供至該間隙,其中該控制器經配置以提供該釔前驅物以在該至少一基板上形成一釔物種,提供一氮反應劑以與該釔物種反應而形成一氮化釔膜,及提供該矽前驅物以形成一矽-氮化釔膜。
  16. 如請求項15所述之處理腔室,其中該氮反應劑包含氮、氨或聯氨中之一或多者。
  17. 如請求項15所述之處理腔室,其中該氮反應劑包含一反應物電漿。
  18. 如請求項15所述之處理腔室,其中該矽前驅物包含一物種,該物種具有一通式SinXaR2n+2-a、Si(NRR’)aR”4-a或一矽氧烷,其中n為1至4,a為0至2n+2,X為一鹵化物,且R、R’及R”獨立地選自H、烷基或芳基。
  19. 如請求項15所述之處理腔室,其中該控制器進一步包含一第四配置,該第四配置用以提供一處理電漿以改變該矽-氮化釔膜的一性質。
  20. 如請求項19所述之處理腔室,其中該處理電漿包含氮氣、氬、氫氣、或氦中之一或多者。
TW111121939A 2017-07-13 2018-06-27 處理腔室 TWI817555B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/649,584 US10760159B2 (en) 2017-07-13 2017-07-13 Methods and apparatus for depositing yttrium-containing films
US15/649,584 2017-07-13

Publications (2)

Publication Number Publication Date
TW202242178A TW202242178A (zh) 2022-11-01
TWI817555B true TWI817555B (zh) 2023-10-01

Family

ID=65000079

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107121994A TWI770206B (zh) 2017-07-13 2018-06-27 沉積含釔膜的方法及設備
TW111121939A TWI817555B (zh) 2017-07-13 2018-06-27 處理腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107121994A TWI770206B (zh) 2017-07-13 2018-06-27 沉積含釔膜的方法及設備

Country Status (5)

Country Link
US (2) US10760159B2 (zh)
KR (2) KR20240017984A (zh)
CN (2) CN110892507B (zh)
TW (2) TWI770206B (zh)
WO (1) WO2019014449A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2024500630A (ja) * 2020-11-20 2024-01-10 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング ランタニド及びランタニド様遷移金属錯体

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201110230A (en) * 2009-04-09 2011-03-16 Tokyo Electron Ltd Substrate processing apparatus, substrate processing method, and computer-readable storage medium
CN102912302A (zh) * 2012-10-11 2013-02-06 厦门大学 一种镁合金表面制备钇/氮化硅复合涂层材料的方法
TW201634743A (zh) * 2015-01-06 2016-10-01 Hitachi Int Electric Inc 半導體裝置之製造方法、基板處理裝置、基板處理系統及記錄媒體

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04357187A (ja) * 1991-06-03 1992-12-10 Mitsubishi Heavy Ind Ltd 窒化珪素質焼結体及びその製造方法
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
KR101228592B1 (ko) * 2011-03-02 2013-02-01 순천대학교 산학협력단 투명 전도성 산화막의 표면처리 방법
US8846443B2 (en) * 2011-08-05 2014-09-30 Intermolecular, Inc. Atomic layer deposition of metal oxides for memory applications
US9177826B2 (en) 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US20150325447A1 (en) * 2013-01-18 2015-11-12 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US9620150B2 (en) * 2014-11-11 2017-04-11 Seagate Technology Llc Devices including an amorphous gas barrier layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201110230A (en) * 2009-04-09 2011-03-16 Tokyo Electron Ltd Substrate processing apparatus, substrate processing method, and computer-readable storage medium
CN102912302A (zh) * 2012-10-11 2013-02-06 厦门大学 一种镁合金表面制备钇/氮化硅复合涂层材料的方法
TW201634743A (zh) * 2015-01-06 2016-10-01 Hitachi Int Electric Inc 半導體裝置之製造方法、基板處理裝置、基板處理系統及記錄媒體

Also Published As

Publication number Publication date
US20190017171A1 (en) 2019-01-17
US10760159B2 (en) 2020-09-01
CN110892507A (zh) 2020-03-17
US20200392624A1 (en) 2020-12-17
WO2019014449A1 (en) 2019-01-17
TW201908512A (zh) 2019-03-01
KR20240017984A (ko) 2024-02-08
KR102633017B1 (ko) 2024-02-01
CN110892507B (zh) 2023-07-18
KR20200019769A (ko) 2020-02-24
TW202242178A (zh) 2022-11-01
CN116949421A (zh) 2023-10-27
TWI770206B (zh) 2022-07-11

Similar Documents

Publication Publication Date Title
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
JP7125343B2 (ja) 表面毒化処理によるボトムアップ式間隙充填
CN111492467B (zh) 钌的选择性原子层沉积
TWI753523B (zh) 高溫熱原子層沉積氮化矽膜
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
TWI794175B (zh) 處理基板的方法
CN112335021A (zh) 金属膜的催化沉积
US10480066B2 (en) Metal deposition methods
TWI817555B (zh) 處理腔室
JP2022544038A (ja) 原子層堆積による多層カプセル化スタック
US11866824B2 (en) Homoleptic lanthanide deposition precursors
US11359281B2 (en) Selective deposition of SiCON by plasma ALD
TWI833804B (zh) 含鋁膜的間隙填充