KR102633017B1 - 이트륨-함유 막들을 증착하기 위한 방법들 및 장치 - Google Patents

이트륨-함유 막들을 증착하기 위한 방법들 및 장치 Download PDF

Info

Publication number
KR102633017B1
KR102633017B1 KR1020207003880A KR20207003880A KR102633017B1 KR 102633017 B1 KR102633017 B1 KR 102633017B1 KR 1020207003880 A KR1020207003880 A KR 1020207003880A KR 20207003880 A KR20207003880 A KR 20207003880A KR 102633017 B1 KR102633017 B1 KR 102633017B1
Authority
KR
South Korea
Prior art keywords
yttrium
substrate
gas
film
precursor
Prior art date
Application number
KR1020207003880A
Other languages
English (en)
Other versions
KR20200019769A (ko
Inventor
라크말 씨. 칼루타지
마크 샐리
토마스 나이슬리
벤자민 슈미게
데이비드 톰슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020247003407A priority Critical patent/KR20240017984A/ko
Publication of KR20200019769A publication Critical patent/KR20200019769A/ko
Application granted granted Critical
Publication of KR102633017B1 publication Critical patent/KR102633017B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

원자 층 증착 프로세스를 통해 이트륨-함유 막을 증착하기 위한 방법들이 설명된다. 본 개시내용의 일부 실시예들은 플라즈마-강화 원자 층 증착 프로세스를 활용한다. 또한, 이트륨 함유 막들의 원자 층 증착을 수행하기 위한 장치가 설명된다.

Description

이트륨-함유 막들을 증착하기 위한 방법들 및 장치
[0001] 본 개시내용의 실시예들은 일반적으로, 에칭 콘트라스트 재료(etch contrast material)들을 생성하기 위한 방법들에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 원자 층 증착을 통해 이트륨 함유 막들을 생성하기 위한 방법들에 관한 것이다. 본 개시내용의 부가적인 실시예들은 기판 상에서 이트륨 함유 막들의 원자 층 증착을 수행하기 위한 장치에 관한 것이다.
[0002] 에칭 선택적 막들은 반도체 제조 프로세스들에서 패터닝 애플리케이션들에 사용된다. 상이한 에칭 선택비를 갖는 막들은 패터닝을 위해 일반적으로 사용되는 고가의 리소그래피 방법들에 대한 필요성을 감소시킨다. 하나의 막을 에칭하지만 다른 막을 에칭하지 않는(또는 다른 막에 비하여 하나의 막을 선택적으로 에칭하는) 능력은 리소그래피 기법들보다 더 적은 프로세스 단계들을 사용한다.
[0003] 티타늄 질화물(TiN)은 마이크로전자 디바이스들에서 사용되는 일반적인 막이다. 디바이스 제조 동안, 디바이스에서 TiN을 에칭하면서 다른 재료들을 에칭하지 않을 필요가 있다. 이를 달성하기 위해, TiN 에칭으로부터 보호될 필요가 있는 재료들은 TiN에 대해 높은 에칭 콘트라스트를 나타내는 재료로 덮일 수 있다. 할로겐화물-계 반응성 이온(RI) 에칭의 사용 시 TiN에 대해 높은 건식 에칭 선택비를 나타내는 알려져 있는 재료들은 많지 않다. 일반적인 재료들, 이를테면 SiN, TiO2, HfO2, 및 ZrO2는 디바이스 제조에 요구되는 높은 에칭 선택비들을 달성하기에 충분한, 할로겐화물-계 에칭들에 대한 내성을 갖고 있지 않다.
[0004] 따라서, TiN에 대해 높은 에칭 콘트라스트를 갖는 재료들을 증착하기 위한 새로운 방법들이 본 기술분야에 필요하다.
[0005] 본 개시내용의 하나 이상의 실시예들은 막을 증착하는 방법들에 관한 것이다. 방법들은, 기판 상에 이트륨 종을 형성하기 위해, 이트륨 전구체에 기판을 노출시키는 단계를 포함한다. 이트륨 질화물 또는 이트륨 산화물 막 중 하나 이상을 형성하기 위해, 질소 반응물 또는 산소 반응물 중 하나 이상이 기판 상의 이트륨 종과 반응하도록 기판에 노출된다. 이트륨 전구체는 일반식 YR1R2R3를 갖는 착물을 포함하며, 여기서, R1, R2, 및 R3는, 할로겐화물들, 카르보닐, 시클로펜타디엔들, 아민들, acac, hfac, 아미디네이트들, 또는 디아자디엔들로부터 독립적으로 선택된다.
[0006] 본 개시내용의 부가적인 실시예는 방법들에 관한 것이며, 그 방법들은, 이트륨 질화물 막을 형성하기 위해, 이트륨 전구체 및 제1 질소 반응물에 기판을 순차적으로 노출시키는 단계를 포함한다. 기판은, 실리콘 질화물 막을 형성하기 위해, 실리콘 전구체 및 제2 질소 반응물에 순차적으로 노출된다. 이트륨 전구체는 일반식 YR1R2R3를 갖는 이트륨 종을 포함하며, 여기서, R1, R2, 및 R3는, 할로겐화물들, 카르보닐들, 시클로펜타디엔들, 아민들, acac, hfac, 아미디네이트들, 또는 디아자디엔들로부터 독립적으로 선택된다. 제1 질소 반응물은 암모니아, 질소, 히드라진, 아르곤, 수소, 헬륨, 또는 이들의 플라즈마들 중 하나 이상을 포함한다.
[0007] 본 개시내용의 추가적인 실시예들은 프로세싱 챔버에 관한 것이다. 프로세싱 챔버는 서셉터 조립체를 포함하며, 그 서셉터 조립체는 복수의 기판들을 지지하고, 중심 축을 중심으로 복수의 기판을 회전시키기 위한 것이다. 서셉터 조립체는 기판들을 홀딩하도록 사이즈가 설정된 복수의 오목부들을 갖는 상단 표면을 갖는다. 프로세싱 챔버는, 갭을 형성하도록 서셉터 조립체의 상단 표면으로부터 이격된 전방 표면을 갖는 가스 분배 조립체를 포함한다. 가스 분배 조립체는, 갭 내로의 복수의 가스 유동들, 및 갭으로부터 가스들을 제거하기 위한 복수의 진공 유동들을 제공하기 위해, 복수의 가스 포트들 및 진공 포트들을 포함한다. 복수의 가스 포트들 및 진공 포트들은 복수의 프로세스 구역들을 형성하도록 배열된다. 각각의 프로세스 구역은 가스 커튼에 의해 인접 프로세스 구역들로부터 분리된다. 제어기가 서셉터 조립체 및 가스 분배 조립체에 커플링된다. 제어기는 하나 이상의 구성들을 갖는다. 이들 구성들은, 중심 축을 중심으로 서셉터 조립체를 회전시키기 위한 제1 구성; 프로세스 구역에 이트륨 전구체의 유동을 제공하기 위한 제2 구성; 프로세스 구역에 실리콘 전구체의 유동을 제공하기 위한 제3 구성; 프로세스 구역들 중 하나 이상에 질소 반응물의 유동을 제공하기 위한 제4 구성; 또는 프로세스 구역에 처리 플라즈마를 제공하기 위한 제5 구성을 포함할 수 있다. 이트륨 전구체는 일반식 YR1R2R3를 갖는 이트륨 종을 포함하며, 여기서, R1, R2, 및 R3는, 할로겐화물들, 카르보닐들, 시클로펜타디엔들, 아민들, acac, hfac, 아미디네이트들, 또는 디아자디엔들로부터 독립적으로 선택된다. 실리콘 전구체는 일반식 SiXaR4-a, Si(NRR')aR''4-a, 또는 실록산을 갖는 종을 포함하며, 여기서, a는 0 내지 4이고, X는 할로겐화물이며, R, R', 및 R''는 H, 알킬, 또는 아릴로부터 독립적으로 선택된다. 질소 반응물은 암모니아, 질소, 히드라진, 아르곤, 수소, 헬륨, 또는 이들의 플라즈마들 중 하나 이상을 포함한다.
[0008] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼의 개략도를 도시한다.
[0010] 도 2는 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 단면도를 도시한다.
[0011] 도 3은 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 부분 사시도를 도시한다.
[0012] 도 4는 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0013] 도 5는 본 개시내용의 하나 이상의 실시예에 따른, 배치 프로세싱 챔버에서 사용하기 위한 웨지 형상 가스 분배 조립체의 일부의 개략도를 도시한다.
[0014] 도 6은 본 개시내용의 하나 이상의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0015] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피처들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 타입의 다양한 컴포넌트들은 참조 라벨 뒤에 대시 및 제2 라벨이 후속되게 함으로써 구별될 수 있으며, 그 제2 라벨은 유사한 컴포넌트들을 구별한다. 본 명세서에서 제1 참조 라벨만이 사용된 경우, 설명은, 제2 참조 라벨과 무관하게, 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 하나에 적용가능하다.
[0016] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들로 이루어질 수 있고, 그리고 다양한 방식들로 실시 또는 수행될 수 있다.
[0017] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판"이라는 용어는 프로세스가 작용하는, 표면, 또는 표면의 부분을 지칭한다. 문맥상 명확하게 달리 표시되지 않는 한, 기판에 대한 언급이 기판의 일부만을 지칭할 수 있다는 것이 당업자에 의해 또한 이해될 것이다. 부가적으로, 기판 상의 증착에 대한 언급은 베어(bare) 기판, 및 하나 이상의 막들 또는 피처들이 상부에 증착 또는 형성된 기판 둘 모두를 의미할 수 있다.
[0018] 본원에서 사용되는 바와 같은 "기판"은 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면, 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑 실리콘 산화물들, 실리콘 질화물, 도핑 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면, 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다(그러나 이에 제한되지는 않음). 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지는 않음). 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화(또는 그렇지 않으면, 화학 기능성을 부여하기 위해 표적 화학 모이어티(target chemical moiety)들을 생성 또는 그래프팅(graft)하는 것), 어닐링, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면 상에서 직접적으로 프로세싱하는 것에 부가하여, 본 개시내용에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하층 상에서 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다. 주어진 기판 표면이 포함하는 것은 어떤 재료들이 증착될지 뿐만 아니라 사용되는 특정 케미스트리(chemistry)에 따라 좌우될 것이다.
[0019] 본원에서 사용되는 바와 같은 "원자 층 증착" 또는 "순환 증착"은, 기판 표면 상에 재료의 층을 증착하기 위한, 2개 이상의 반응성 화합물들의 순차적인 노출을 포함하는 프로세스를 지칭한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 화합물", "반응성 가스", "반응성 종", "전구체", "프로세스 가스" 등과 같은 용어들은, 표면 반응(예컨대, 화학흡착, 산화, 환원, 첨가 환화(cycloaddition)) 시에, 기판 표면, 또는 기판 표면 상의 재료와 반응할 수 있는 종을 갖는 물질을 의미하기 위해 상호 교환가능하게 사용된다. 기판, 또는 기판의 일부는 프로세싱 챔버의 반응 구역 내로 도입되는 2개 이상의 반응성 화합물들에 순차적으로 노출된다.
[0020] 시간-도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은, 각각의 화합물이 기판 표면 상에 접착하고 그리고/또는 반응한 후에 프로세싱 챔버로부터 퍼징될 수 있게 하기 위해, 일정 시간 지연만큼 분리된다. 반응성 가스들은, 후속 노출들 사이의 프로세싱 챔버의 퍼징에 의해, 혼합되는 것이 방지된다.
[0021] 공간적 ALD 프로세스에서, 반응성 가스들은 프로세싱 챔버 내의 상이한 프로세싱 구역들 내로 유동된다. 반응성 가스들이 혼합되지 않도록, 상이한 프로세싱 구역들은 인접 프로세싱 구역들로부터 분리된다. 기판은 프로세싱 가스들에 기판을 개별적으로 노출시키기 위해 프로세싱 구역들 사이에서 이동될 수 있다. 기판 이동 동안, 기판 표면 또는 기판 표면 상의 재료의 상이한 부분들은, 기판 상의 임의의 주어진 포인트가 동시에 하나 초과의 반응성 화합물에 실질적으로 노출되지 않도록, 2개 이상의 반응성 화합물들에 노출된다. 당업자에 의해 이해될 바와 같이, 프로세싱 챔버 내의 가스들의 확산으로 인해 기판의 작은 부분이 다수의 반응성 가스들에 동시에 노출될 수 있는 가능성이 있으며, 동시 노출은, 달리 특정되지 않는 한, 의도된 것이 아니다.
[0022] 시간-도메인 ALD 프로세스의 일 양상에서, 제1 반응성 가스(즉, 제1 전구체 또는 또는 화합물 A)가 반응 구역 내로 펄싱된 후에 제1 시간 지연이 후속된다. 제2 전구체 또는 화합물 B가 반응 구역 내로 펄싱된 후에 제2 지연이 후속된다. 각각의 시간 지연 동안, 퍼지 가스, 이를테면 아르곤이, 반응 구역을 퍼징하거나, 또는 다른 방식으로, 반응 구역으로부터 임의의 잔여 반응성 화합물, 또는 반응 생성물들 또는 부산물들을 제거하기 위해, 프로세싱 챔버 내로 도입된다. 대안적으로, 퍼지 가스는, 반응성 화합물들의 펄스들 사이의 시간 지연 동안 퍼지 가스만이 유동하도록, 증착 프로세스 전체에 걸쳐 연속적으로 유동할 수 있다. 대안적으로, 반응성 화합물들은 기판 표면 상에 미리 결정된 막 또는 막 두께가 형성될 때까지 펄싱된다. 어느 시나리오에서나, 화합물 A, 퍼지 가스, 화합물 B, 및 퍼지 가스를 펄싱하는 ALD 프로세스가 하나의 사이클이다. 사이클은 화합물 A 또는 화합물 B 중 어느 하나로 시작할 수 있고, 미리 결정된 두께를 갖는 막을 달성할 때까지, 사이클의 각각의 순서를 계속할 수 있다.
[0023] 공간적 ALD 프로세스의 일 양상에서, 제1 반응성 가스 및 제2 반응성 가스(예컨대, 수소 라디칼들)는 반응 구역에 동시에 전달되지만, 불활성 가스 커튼 및/또는 진공 커튼에 의해 분리된다. 가스 커튼은 프로세싱 챔버 내로의 불활성 가스 유동들과 프로세싱 챔버 밖으로의 진공 스트림 유동들의 조합일 수 있다. 기판은, 기판 상의 임의의 주어진 포인트가 제1 반응성 가스 및 제2 반응성 가스에 노출되도록, 가스 전달 장치에 대하여 이동된다.
[0024] 본원에서 사용되는 바와 같은 "펄스" 또는 "도즈"는 프로세스 챔버 내로 간헐적으로 또는 불-연속적으로 도입되는 소스 가스의 양을 지칭한다. 각각의 펄스 내의 특정 화합물의 양은 펄스의 지속기간에 따라 시간에 걸쳐 변화될 수 있다. 특정 프로세스 가스는 단일 화합물, 또는 2개 이상의 화합물들의 혼합물/조합을 포함할 수 있다.
[0025] 각각의 펄스/도즈에 대한 지속기간들은 가변적이고, 그리고, 예컨대, 프로세싱 챔버의 부피 용량 뿐만 아니라 프로세싱 챔버에 커플링된 진공 시스템의 능력들에 순응하도록 조정될 수 있다. 부가적으로, 프로세스 가스의 도즈 시간은, 프로세스 가스의 유량, 프로세스 가스의 온도, 제어 밸브의 타입, 이용되는 프로세스 챔버의 타입 뿐만 아니라, 프로세스 가스의 성분들이 기판 표면 상에 흡착하는 능력에 따라 변화될 수 있다. 도즈 시간들은 또한, 형성되는 층의 타입, 및 형성되는 디바이스의 기하형상에 기초하여 변화될 수 있다. 도즈 시간은, 실질적으로 기판의 전체 표면 상에 흡착/화학흡착하여 그 위에 프로세스 가스 성분의 층을 형성하기에 충분한 화합물의 부피를 제공할 정도로 충분히 길어야 한다.
[0026] 본 개시내용의 하나 이상의 실시예들은 유리하게, TiN에 대해 높은 에칭 콘트라스트를 갖는 막들을 증착하는 방법들을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 높은 에칭 선택비를 갖는 이트륨-함유 막들을 형성하기 위한 방법들을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 에칭 선택비를 증가시키기 위해, 질화물 막들 내에 이트륨을 도핑하는 방법들을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 에칭 선택비를 증가시키기 위해, 산화물 막들 내에 이트륨을 도핑하는 방법들을 제공한다. 일부 실시예들은 산질화물 막들 내에 이트륨을 도핑하는 방법을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 이트륨-함유 막들의 원자 층 증착을 위한 새로운 전구체들을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 구리 확산을 방지하기 위해 배리어 층들을 제공한다.
[0027] SiN 막에 이트륨을 도핑하는 것은, 화학-기계적 평탄화(CMP)에 의해 막을 제거하는 능력을 유지하면서 더 높은 에칭 선택비를 제공할 수 있다. 본 개시내용의 일부 실시예들은, ALD 및 PEALD에 의해, (튜닝가능한 Y 농도를 갖는) YN 및 이트륨 도핑 SiN을 포함하는(그러나 이에 제한되지는 않음) 막들을 증착하기 위한 방법들을 제공한다. 일부 실시예들은 YN 및 SiYN 막들의 ALD에 적합한 이트륨 전구체들을 제공한다.
[0028] 본 개시내용의 하나 이상의 실시예들은 질화물 막을 증착하는 방법에 관한 것이다. 방법은, 기판 상에 이트륨 종을 형성하기 위해 이트륨 전구체에 기판을 노출시키는 단계, 및 이트륨 질화물 막을 형성하기 위해 기판 상의 이트륨 종과 반응하도록 질소 반응물에 기판을 노출시키는 단계를 포함한다.
[0029] 본 개시내용의 일부 실시예들은 YN 또는 SiYN 중 하나 이상을 포함하는 에칭 정지 재료들을 증착하기 위한 방법들을 제공한다. 일부 실시예들에서, SiYN 막들을 획득하기 위해, PEALD-YN 및 PEALD-SiN 막들이 증착된다. 증착 프로세스는 2 반응물(AB) 또는 3 반응물(ABC) 프로세스일 수 있다.
[0030] 일부 실시예들에서, 2 반응물(AB) 프로세스는, YN 막을 증착하기 위해, 이트륨 전구체 노출, 퍼지, 질소 반응물 노출, 퍼지를 포함하는 펄스 시퀀스를 갖는다. 시간-도메인 ALD 프로세스에서, 이트륨 전구체가 프로세싱 챔버로 펄싱된 후에, 과도한 반응물/부산물들이 퍼지 아웃(purge out)될 수 있다. 이트륨 전구체가 기판 상에 흡착하여(또는 기판 표면과 반응하여) 이트륨 종을 남긴다. 표면은 질소 반응물(예컨대, NH3 또는 N2)에 노출되고, 그 질소 반응물은 표면 화학흡착 Y-전구체와 반응한다. 질소 반응은 열 프로세스(즉, 플라즈마를 이용하지 않음) 또는 플라즈마-강화 프로세스일 수 있다. 과도한 질소 반응물, 반응 생성물들, 및/또는 부산물들이 프로세싱 챔버로부터 퍼징된다. 공간적 ALD 프로세스에서, 이트륨 전구체 및 질소 반응물이 프로세싱 챔버의 상이한 부분들에 제공된다. 프로세스 구역들은, 퍼지 가스들 및 진공 스트림들을 포함할 수 있는 가스 커튼들에 의해 분리된다. 펄스 시퀀스는 미리 결정된 두께의 막을 성장시키기 위해 반복될 수 있다.
[0031] 일부 실시예들은 이트륨 함유 막을 형성하기 위해 3 반응물(ABC) 프로세스를 제공한다. YN의 PEALD는, 이트륨 전구체, 퍼지, 질소 반응물, 퍼지, 처리 플라즈마, 퍼지의 펄스 시퀀스를 사용함으로써 달성될 수 있다. 당업자는 펄스 시퀀스가 시간-도메인 프로세스 또는 공간적 프로세스에서 사용될 수 있다는 것을 이해할 것이다. 이트륨 전구체가 챔버 내로 펄싱된 후에, 과도한 반응물/부산물들이 퍼지 아웃될 수 있거나, 또는 공간적 ALD 챔버의 이트륨 프로세스 구역 밖으로 기판이 이동될 수 있다. 기판은, 열 프로세스에서, 이트륨 종과 반응하도록 질소 반응물(예컨대, NH3)에 노출될 수 있다. 과도한 질소 반응물이 프로세스 챔버로부터 퍼징될 수 있거나, 또는 기판이 프로세스 챔버의 질소 반응물 프로세스 구역으로부터 이동될 수 있다. 기판 상의 반응 부위들은 처리 플라즈마 노출을 사용함으로써 재생성될 수 있다. 펄스 시퀀스는 미리 결정된 두께의 막을 성장시키기 위해 반복될 수 있다.
[0032] 2 반응물 또는 3 반응물 프로세스들은 또한, 이트륨 질화물에 부가하여 실리콘 질화물의 증착을 포함할 수 있다. 실리콘 전구체는 이트륨 전구체와 함께 공동-유동될 수 있거나, 또는 별개의 전구체 노출일 수 있다. SiYN은 균질 막, 또는 SiN 및 YN 층들의 라미네이트(laminate)로서 형성될 수 있다. 실리콘 질화물 증착은 열 프로세스 또는 플라즈마-강화 프로세스일 수 있다.
[0033] 일부 실시예들에서, 이트륨 산화물 막이 형성된다. 2 및 3 반응물 프로세스들은 질소 반응물 대신에 산소 반응물을 사용하도록 변경될 수 있다. 일부 실시예들에서, 산질화물 막을 형성하기 위해, 산소 반응물이 질소 반응물에 첨가된다.
[0034] 일부 실시예들에서, 이트륨 전구체는 일반식 YR1R2R3를 갖는 착물을 포함하며, 여기서, R1, R2, 및 R3는, 할로겐화물들, 카르보닐, 시클로펜타디엔들, 아민들, 아세틸아세토네이트(acac), 헥사플루오로아세틸아세토네이트(hfac), 아미디네이트들, 또는 디아자디엔들로부터 독립적으로 선택된다. 일부 실시예들에서, R 기들 중 적어도 하나는 할로겐화물이다. 일부 실시예들에서, R 기들 중 적어도 하나는 카르보닐이다. 일부 실시예들에서, R 기들 중 적어도 하나는 시클로펜타디엔이다. 일부 실시예들에서, R 기들 중 하나는 시클로펜타디엔이다. 일부 실시예들에서, R 기들 중 2개는 시클로펜타디엔들이다. 일부 실시예들에서, R 기들 각각은 시클로펜타디엔이다.
[0035] 본 명세서 및 첨부 청구항들에서 사용되는 바와 같이, "시클로펜타디엔들"이라는 용어는 치환 및 비치환 고리들을 포함한다. 시클로펜타디엔 리간드들은 알킬 치환형 또는 아미노 치환형일 수 있다. 시클로펜타디엔 리간드에 대한 알킬 치환기들은 C1-C4 알킬 기들을 포함한다. 시클로펜타디엔 리간드에 대한 아미노 치환기들은 일반식 -NR2를 갖는 기들을 포함하며, 여기서, R은 C1-C4 알킬 기이다. 이러한 방식으로 사용되는 바와 같이, 숫자가 후속되는 문자 "C"(예컨대, "C4")는 치환기가 특정 수의 탄소 원자들을 포함하는 것(예컨대, C4는 4개의 탄소 원자들을 포함함)을 의미한다. 알킬 기들은 직쇄형 기들(예컨대, n-부틸) 또는 분지형 기들(예컨대, t-부틸)일 수 있다. 일부 실시예들에서, 시클로펜타디엔 리간드는 비치환형(즉, C5H5)이다. 일부 실시예들에서, 시클로펜타디엔 리간드는 화학식 C5H4R', C5H3R'2, C5H2R'3, C5HR'4, 또는 CR'5를 가지며, 여기서, 각각의 R'는 독립적으로 C1-C4 알킬 기 또는 아미노 기이다. 하나 초과의 R' 기를 갖는 일부 실시예들에서, R' 기들 각각은 동일한 치환기이다. 일부 실시예들에서, 하나 초과의 R' 기를 갖는 경우, 적어도 2개의 상이한 치환기들이 있다.
[0036] 이트륨 전구체에서, 아민 리간드들은 C1-C4 알킬 치환형일 수 있다. 유사하게, 아미디네이트 리간드들은 질소 원자들 중 하나 또는 둘 모두 상에 C1-C4 알킬 기들을 가질 수 있다.
[0037] 디아자디엔 리간드들은, 스킴 (I)에 나타낸 바와 같이, 이트륨 원자에 결합될 때, 여러 공명 형태들을 취할 수 있다.
[0038] 이들 공명 형태들 각각은, 금속 착물로 함께 결합될 때, 이트륨 금속 중심 상에 상이한 전자 전하를 부여한다. 2개의 이중 결합들(디엔)을 함유하는 좌측의 형태는 중성 비이온성 리간드(DAD0)이다. 스킴 (I)의 중앙의 공명 형태는 라디칼 공명 구조를 함유하고, 단일 음이온성 리간드(DAD1)이다. 단일 이중 결합을 함유하는, 스킴 (I)의 우측의 공명 형태는 이음이온성 리간드(DAD2)이다. 이들 공명 형태들 각각에 대해, R1 및 R4는, C1-C4 알킬 또는 아미노 기들로 구성된 그룹으로부터 독립적으로 선택되며; R2 및 R3 각각은, H 또는 C1-C4 알킬 또는 아미노 기들로부터 독립적으로 선택된다. 이러한 방식으로 사용되는 바와 같이, C1-C4 아미노 기들은 디아자디엔 리간드에 직접 결합되고, 그리고 직쇄형 기들(예컨대, n-부틸) 또는 분지형 기들(예컨대, t-부틸)일 수 있는 C1-C4 알킬 치환기들을 함유할 수 있다.
[0039] 일부 실시예들에서, 이트륨 전구체는 일반식 Y(DAD0)aQdZe를 갖고, 여기서, DAD0는 중성 디아자디엔-계 리간드
이며;
R1 및 R4는 C1-C4 알킬 및 아미노 기들로 구성된 그룹으로부터 독립적으로 선택되고; R2 및 R3 각각은 H, C1-C3 알킬, 또는 아미노 기들로 구성된 그룹으로부터 독립적으로 선택되고; Q는 음이온성 리간드이고; Z는 중성 리간드이며; a는 1 내지 3이고, d는 0 내지 3이고, e는 0 내지 8이다. 일부 실시예들에서, Z는 CO이고, a는 1이 아니다. 일부 실시예들에서, Z는 DAD에 기초하지 않는다.
[0040] 일부 실시예들에서, 이트륨 전구체는 일반식 Y(DAD1)bQdZe의 배위 착물을 포함하고, 여기서, DAD1은 음이온성 디아자디엔 라디칼-계 리간드
이며;
R1 및 R4는 C1-C4 알킬 및 아미노 기들로 구성된 그룹으로부터 독립적으로 선택되고; R2 및 R3 각각은 H, C1-C3 알킬, 또는 아미노 기들로 구성된 그룹으로부터 독립적으로 선택되고; Q는 음이온성 리간드이고; Z는 중성 리간드이며; b는 1 내지 3이고, d는 0 내지 3이고, e는 0 내지 8이다. 일부 실시예들에서, d 및 e는 둘 모두 0이 아니다. 일부 실시예들에서, Q는 DAD 또는 이가 DAD-계 리간드에 기초하지 않는다. 일부 실시예들에서, Z는 DAD 또는 중성 DAD-계 리간드에 기초하지 않는다.
[0041] 일부 실시예들에서, 이트륨 전구체는 일반식 Y(DAD2)cQdZe의 금속 배위 착물을 포함하고, 여기서, DAD2는 이음이온성 디아자디엔-계 리간드
이며;
R1 및 R4는 C1-C4 알킬 및 아미노 기들로 구성된 그룹으로부터 독립적으로 선택되고; R2 및 R3 각각은 H, C1-C3 알킬, 또는 아미노 기들로 구성된 그룹으로부터 독립적으로 선택되고; Q는 음이온성 리간드이고; Z는 중성 리간드이며; c는 1 내지 3이고, d는 0 내지 3이고, e는 0 내지 8이다. 일부 실시예들에서, Q는 DAD 또는 일가 DAD-계 리간드에 기초하지 않는다. 일부 실시예들에서, Z는 DAD 또는 중성 DAD-계 리간드에 기초하지 않는다.
[0042] 질소 반응물은 기판 상의 이트륨 종 또는 실리콘 종과 반응할 수 있는 임의의 적합한 질소 종일 수 있다. 일부 실시예들에서, 질소 반응물은, 질소, NO, NO2, N2O, 암모니아, 히드라진, 또는 히드라진 유도체들 중 하나 이상을 포함한다. 일부 실시예들에서, 질소 반응물은 암모니아를 필수적으로 포함한다. 이와 관련하여 사용되는 바와 같이, "암모니아를 필수적으로 포함하는"이라는 용어는 질소 반응물 내의 반응성 종이 약 95%, 98%, 또는 99% 이상의 명시된 종인 것을 의미한다. 일부 실시예들에서, 질소 반응물은 불활성, 희석, 또는 캐리어 가스와 함께 공동-유동된다. 적합한 불활성, 희석, 또는 캐리어 가스들은 아르곤, 수소, 헬륨, 및 질소를 포함한다(그러나 이에 제한되지는 않음). 일부 실시예들에서, 질소 반응물은 암모니아를 포함하거나 또는 필수적으로 포함하며, 질소 반응물은 N2, Ar, H2, 또는 He 중 하나 이상과 혼합된다.
[0043] 일부 실시예들에서, 질소 반응물은 반응물 플라즈마를 포함한다. 일부 실시예들의 반응물 플라즈마는, 질소, 암모니아, 히드라진, 또는 히드라진 유도체들 중 하나 이상의 플라즈마를 포함한다. 반응물 플라즈마는 또한, 질소, 아르곤, 수소, 또는 헬륨을 포함하는(그러나 이에 제한되지는 않음) 희석 또는 캐리어 가스들, 및 이들의 플라즈마들을 포함할 수 있다. 반응물 플라즈마는 다이렉트(direct) 플라즈마 또는 원격 플라즈마일 수 있다. 반응물 플라즈마는 전도성 커플링 플라즈마(CCP) 또는 유도성 커플링 플라즈마(ICP)일 수 있다.
[0044] 본원에서 사용되는 바와 같이, 처리 플라즈마는 질소 반응물과 별개인 플라즈마 노출이다. 증착 프로세스는 열적 또는 플라즈마 강화형일 수 있고, 처리 플라즈마의 부가는 이들 모두와 함께 사용될 수 있다. 일부 실시예들에서, 처리 플라즈마는 플라즈마 활성화된 Ar, N2, H2, He, 또는 이들의 조합 중 하나 이상을 포함한다. 처리 플라즈마는 다이렉트 플라즈마 또는 원격 플라즈마일 수 있다. 처리 플라즈마는 전도성 커플링 플라즈마(CCP) 또는 유도성 커플링 플라즈마(ICP)일 수 있다.
[0045] 일부 실시예들에서, 방법은 기판 상의 이트륨-질화물 막, 실리콘-이트륨 질화물 막, 및/또는 실리콘 질화물 막을 처리 플라즈마에 노출시켜서, 막의 특성을 변화시키는 단계를 포함한다. 일부 실시예들에서, 처리 플라즈마는 질소, 아르곤, 수소, 또는 헬륨 중 하나 이상을 포함한다. 처리 플라즈마에 의해 개질될 수 있는 막 특성들은 밀도, 습식 에칭 레이트, 및 굴절률을 포함한다(그러나 이에 제한되지는 않음).
[0046] 일부 실시예들에서, 막 내에 실리콘이 혼입되어, 실리콘 질화물 또는 실리콘 이트륨 질화물 막이 생성된다. 기판은 기판 상에 이트륨 종을 형성하기 위해 이트륨 전구체에 노출된다. 이어서, 기판은 실리콘 전구체에 노출될 수 있고, 그에 따라, 기판 상의 이트륨 종이 실리콘-이트륨 종으로 변화된다. 질소 반응물에 대한 노출 후에, 실리콘-이트륨 질화물 막이 형성된다. 실리콘 전구체는 이트륨 전구체 전에 또는 후에 기판에 노출될 수 있고, 그에 따라, 실리콘 종이 표면 상에 형성되고, 실리콘-이트륨 종으로 변화된다. 일부 실시예들에서, 실리콘 및 이트륨 전구체들은 표면 상에 실리콘-이트륨 종을 형성하기 위해 공동-유동된다. 일부 실시예들에서, 이트륨 질화물 막이 기판 상에 형성되고, 이어서, 실리콘 전구체에 노출되어, 기판 상에 실리콘 종이 형성된다. 질소 반응물에 대한 노출 후에, 기판 상에 이미 존재하는 이트륨 질화물 막 상에 실리콘 질화물 막이 형성된다.
[0047] 적합한 실리콘 전구체들은 실록산들, 일반식 SiXaR(4-a)(여기서, X는 F, Cl, Br, I이고 R은 H, 알킬, 또는 아릴임)를 갖는 실릴 할로겐화물들, 또는 일반식 Si(NRR')aR''(4-a)(여기서, R, R', 및 R''는 H, 알킬, 또는 아릴임)를 갖는 실릴 아미드들을 포함한다(그러나 이에 제한되지는 않음). 실릴 할로겐화물들의 예들은 디클로로실란(DCS), 헥사클로로디실란(HCDS), 트리클로로실란(TCS), 및 SiCl4를 포함한다(그러나 이에 제한되지는 않음). 실릴 아미드들의 예들은 비스(디에틸아미노)실란(BDEAS), 비스(삼차-부틸아미노)실란(BTBAS), 테트라키스(디메틸아미노)실란(TDMAS)을 포함한다(그러나 이에 제한되지는 않음). 일부 실시예들에서, 실리콘 전구체는 일반식 SinXaR2n+2-a를 갖는 종을 포함하며, 여기서, n은 1 내지 4이고, a는 0 내지 2n+2이고, X는 할로겐화물이며, R, R', 및 R''는 H, C1-C6 알킬, 또는 아릴로부터 독립적으로 선택된다. 적합한 실록산들은 헥사클로로디실록산(HCDSO) 및 옥타클로로트리실록산(OCTSO)을 포함한다(그러나 이에 제한되지는 않음).
[0048] 본 개시내용의 하나 이상의 실시예들은 방법에 관한 것이며, 그 방법은, 이트륨 질화물 막을 형성하기 위해, 이트륨 전구체 및 제1 질소 반응물에 기판을 순차적으로 노출시키는 단계, 및 실리콘 질화물 막을 형성하기 위해, 실리콘 전구체 및 제2 질소 반응물에 기판을 순차적으로 노출시키는 단계를 포함한다. 제1 및 제2 질소 반응물들은 동일할 수 있거나 또는 상이할 수 있다. 제1 질소 반응물의 농도들, 플라즈마 상태들(즉, 플라즈마 부재 또는 플라즈마), 또는 화학 조성은 제2 질소 반응물과 독립적이다. 일부 실시예들에서, 제1 질소 반응물과 제2 질소 반응물은 동일한 종이다. 일부 실시예들에서, 제1 질소 반응물과 제2 질소 반응물은 동일하다.
[0049] 일부 실시예들에서, 방법은 또한, 미리 결정된 두께의 실리콘-이트륨 질화물 막 및/또는 이트륨 질화물 막을 형성하기 위해, 실리콘 질화물 막 및/또는 이트륨 질화물 막의 형성을 반복하는 단계를 포함한다. 이트륨 질화물 또는 실리콘-이트륨 질화물 막의 미리 결정된 두께는 막의 사용에 따라 변화될 수 있다. 예컨대, 이트륨 함유 에칭 콘트라스트 막은 이트륨 함유 구리 배리어 층과 상이한 두께를 가질 수 있다. 일부 실시예들에서, 이트륨 함유 막은 확산 배리어로서 사용되고, 그리고 약 1 Å 내지 약 100 Å의 범위, 또는 약 5 Å 내지 약 50 Å의 범위 내의 두께를 갖는다. 일부 실시예들에서, 이트륨 함유 막은 에칭 콘트라스트 층으로서 사용되고, 그리고 약 10 Å 내지 약 1,000 Å의 범위, 또는 약 100 Å 내지 약 800 Å의 범위, 또는 약 200 Å 내지 약 600 Å의 범위, 또는 약 300 Å 내지 약 500 Å의 범위 내의 두께를 갖는다.
[0050] 본 개시내용의 일부 실시예들은 기판 상에 등각적 막들을 형성하는 방법들을 제공한다. 등각적 막은 기판의 표면들 중 일부 또는 전부 상에 증착될 수 있다. 예컨대, 등각적 막은 적어도 하나의 표면 피처(예컨대, 트렌치 또는 비아)를 갖는 기판 상에 증착될 수 있다. 등각적 막은 피처의 상단에서 피처의 하단과 동일한 두께를 갖는다. 일부 실시예들에서, 막의 등각성은 피처의 하단에서의 두께에 대한 피처의 상단에서의 두께로서 측정되고, 그리고 약 90%, 91%, 92%, 93%, 94%, 95%, 96%, 97%, 98%, 99% 이상, 또는 약 100%이다.
[0051] 일부 실시예들에서, 이트륨 전구체, 실리콘 전구체, 및 질소 반응물(들)이 프로세스 챔버에 제공된다. 전구체들 및 반응물들은 순수 화합물들로서 제공될 수 있거나, 또는 희석 또는 캐리어 가스에 의해 희석될 수 있다. 챔버에 공급되는 (임의의 희석 또는 캐리어 가스를 포함하는) 반응성 화합물은 프로세스 가스로서 지칭된다.
[0052] 원자 층 증착(ALD) 프로세스를 사용하여 기판의 표면 상에 증착된 막이 형성되는, 본 개시내용의 방법의 실시예가 아래에서 설명된다. 아래에서 설명되는 방법은 예시적이며, 제한으로 해석되지 않아야 한다. 본 개시내용의 방법들은 아래에서 설명되는 프로세스 단계들에 부가적인 프로세스 단계들을 포함할 수 있다.
[0053] 각각의 프로세스 가스는 다른 프로세스 가스들과 상이한 파라미터들 하에서 공급될 수 있다. 프로세스 가스는 하나 이상의 펄스들로 또는 연속적으로 제공될 수 있다. 프로세스 가스들의 유량은, 약 1 내지 약 5000 sccm의 범위, 또는 약 2 내지 약 4000 sccm의 범위, 또는 약 3 내지 약 3000 sccm의 범위, 또는 약 5 내지 약 2000 sccm의 범위의 유량들을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 유량일 수 있다. 프로세스 가스는, 약 5 mTorr 내지 약 25 Torr의 범위, 또는 약 100 mTorr 내지 약 20 Torr의 범위, 또는 약 5 Torr 내지 약 20 Torr의 범위, 또는 약 50 mTorr 내지 약 2000 mTorr의 범위, 또는 약 100 mTorr 내지 약 1000 mTorr의 범위, 또는 약 200 mTorr 내지 약 500 mTorr의 범위의 압력을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 압력으로 제공될 수 있다.
[0054] 기판이 프로세스 가스에 노출되는 시간 기간은 기판 표면의 상단의 적절한 핵형성 층 또는 반응의 형성을 가능하게 하는 데 필요한 임의의 적합한 시간의 양일 수 있다. 예컨대, 프로세스 가스는 약 0.1초 내지 약 90초의 기간 동안 프로세스 챔버 내로 유동될 수 있다. 일부 시간-도메인 ALD 프로세스들에서, 프로세스 가스는, 약 0.1초 내지 약 90초의 범위, 또는 약 0.5초 내지 약 60초의 범위, 또는 약 1초 내지 약 30초의 범위, 또는 약 2초 내지 약 25초의 범위, 또는 약 3초 내지 약 20초의 범위, 또는 약 4초 내지 약 15초의 범위, 또는 약 5초 내지 약 10초의 범위의 시간 동안, 기판 표면에 노출된다.
[0055] 일부 실시예들에서, 부가적으로, 불활성 가스가 프로세스 가스와 동시에 프로세스 챔버에 제공될 수 있다. 불활성 가스는 프로세스 가스와 (예컨대, 희석 가스로서) 혼합될 수 있거나 또는 별개일 수 있고, 펄싱될 수 있거나 또는 일정한 유동일 수 있다. 일부 실시예들에서, 불활성 가스는 약 1 내지 약 10000 sccm의 범위의 일정한 유동으로 프로세싱 챔버 내로 유동된다. 불활성 가스는 임의의 불활성 가스, 예컨대 이를테면, 아르곤, 헬륨, 네온, 이들의 조합 등일 수 있다.
[0056] 증착 동안의 기판의 온도는, 예컨대, 기판 지지부 또는 서셉터의 온도를 세팅함으로써 제어될 수 있다. 일부 실시예들에서, 기판은, 약 100 ℃ 내지 약 600 ℃의 범위, 또는 약 200 ℃ 내지 약 525 ℃의 범위, 또는 약 300 ℃ 내지 약 475 ℃의 범위, 또는 약 350 ℃ 내지 약 450 ℃의 범위의 온도로 유지된다. 하나 이상의 실시예들에서, 기판은 약 475 ℃ 미만, 또는 약 450 ℃ 미만, 또는 약 425 ℃ 미만, 또는 약 400 ℃ 미만, 또는 약 375 ℃ 미만의 온도로 유지된다.
[0057] 전술한 바에 부가하여, 프로세스 가스에 기판을 노출시키는 동안, 부가적인 프로세스 파라미터들이 조절될 수 있다. 예컨대, 일부 실시예들에서, 프로세스 챔버는, 약 0.2 내지 약 100 Torr, 또는 약 0.3 내지 약 90 Torr의 범위, 또는 약 0.5 내지 약 80 Torr의 범위, 또는 약 1 내지 약 50 Torr의 범위의 압력으로 유지될 수 있다.
[0058] 하나의 프로세스 가스에 기판을 노출시킨 후에, (특히 시간-도메인 ALD에서) 불활성 가스를 사용하여 프로세스 챔버가 퍼징될 수 있다(이는 공간적 ALD 프로세스들에서는 필요하지 않을 수 있는데, 이는 공간적 ALD 프로세스들에는 반응성 가스들을 분리하는 가스 커튼이 있기 때문임). 불활성 가스는 임의의 불활성 가스, 예컨대 이를테면, 아르곤, 헬륨, 네온 등일 수 있다. 일부 실시예들에서, 불활성 가스는 제1 프로세스 가스에 대한 기판의 노출 동안 프로세스 챔버에 제공된 불활성 가스와 동일할 수 있거나, 또는 대안적으로는 상이할 수 있다. 불활성 가스가 동일한 실시예들에서, 프로세스 챔버로부터 제1 프로세스 가스를 우회시켜서, 불활성 가스가 프로세스 챔버를 통해 유동할 수 있게 하여, 프로세스 챔버로부터 임의의 과도한 제1 프로세스 가스 성분들 또는 반응 부산물들을 퍼징함으로써, 퍼지가 수행될 수 있다. 일부 실시예들에서, 불활성 가스는 위에서 설명된, 제1 프로세스 가스에 관하여 사용된 유량과 동일한 유량으로 제공될 수 있거나, 또는 일부 실시예들에서, 유량은 증가 또는 감소될 수 있다. 예컨대, 일부 실시예들에서, 불활성 가스는, 프로세스 챔버를 퍼징하기 위해, 0 초과 내지 약 10000 sccm의 유량으로 프로세스 챔버에 제공될 수 있다. 공간적 ALD에서, 퍼지 가스 커튼들이 반응성 가스들의 유동들 사이에 유지되고, 프로세스 챔버의 퍼징이 필요하지 않을 수 있다. 공간적 ALD 프로세스의 일부 실시예들에서, 프로세스 챔버, 또는 프로세스 챔버의 구역은 불활성 가스로 퍼징될 수 있다.
[0059] 불활성 가스의 유동은, 원하지 않는 가스 상 반응들을 방지하기 위해, 프로세스 챔버로부터 임의의 과도한 프로세스 가스들 및/또는 과도한 반응 부산물들을 제거하는 것을 가능하게 할 수 있다. 예컨대, 불활성 가스의 유동은 프로세스 챔버로부터 과도한 프로세스 가스를 제거하여, 이트륨 전구체와 후속 프로세스 가스 사이의 반응을 방지할 수 있다.
[0060] 이어서, 기판은 제2 시간 기간 동안 제2 프로세스 가스에 노출된다. 제2 프로세스 가스는 증착된 막을 생성하기 위해 기판 표면 상의 종과 반응할 수 있다. 제2 프로세스 가스는 제1 프로세스 가스보다 더 높은 유량으로 기판 표면에 공급될 수 있다. 하나 이상의 실시예들에서, 유량은 제1 프로세스 가스의 유량의 약 1배 초과, 또는 제1 프로세스 가스의 유량의 약 100배, 또는 제1 프로세스 가스의 유량의 약 3000 내지 5000배의 범위이다. 시간-도메인 ALD에서, 제2 프로세스 가스는 약 1초 내지 약 30초의 범위, 또는 약 5초 내지 약 20초의 범위, 또는 약 10초 내지 약 15초의 범위의 시간 동안 공급될 수 있다. 제2 프로세스 가스는 약 1 Torr 내지 약 30 Torr의 범위, 또는 약 5 Torr 내지 약 25 Torr의 범위, 또는 약 10 Torr 내지 약 20 Torr의 범위, 또는 최대 약 50 Torr의 압력으로 공급될 수 있다. 기판 온도는 임의의 적합한 온도로 유지될 수 있다. 하나 이상의 실시예들에서, 기판은 약 475 ℃ 미만의 온도, 또는 제1 프로세스 가스에 대한 노출 동안의 기판의 온도와 대략 동일한 온도로 유지된다.
[0061] 다시, 불활성 가스를 사용하여 프로세스 챔버가 퍼징될 수 있다. 불활성 가스는 임의의 불활성 가스, 예컨대 이를테면, 아르곤, 헬륨, 네온 등일 수 있다. 일부 실시예들에서, 불활성 가스는 이전의 프로세스 단계들 동안 프로세스 챔버에 제공된 불활성 가스와 동일할 수 있거나, 또는 대안적으로는 상이할 수 있다. 불활성 가스가 동일한 실시예들에서, 프로세스 챔버로부터 제2 프로세스 가스를 우회시켜서, 불활성 가스가 프로세스 챔버를 통해 유동할 수 있게 하여, 프로세스 챔버로부터 임의의 과도한 제2 프로세스 가스 성분들 또는 반응 부산물들을 퍼징함으로써, 퍼지가 수행될 수 있다. 일부 실시예들에서, 불활성 가스는 위에서 설명된, 제2 프로세스 가스에 관하여 사용된 유량과 동일한 유량으로 제공될 수 있거나, 또는 일부 실시예들에서, 유량은 증가 또는 감소될 수 있다. 예컨대, 일부 실시예들에서, 불활성 가스는, 프로세스 챔버를 퍼징하기 위해, 0 초과 내지 약 10,000 sccm의 유량으로 프로세스 챔버에 제공될 수 있다.
[0062] 위에서 설명된 프로세싱 방법의 실시예가 반응성 가스들의 2개의 펄스들만을 포함하지만, 이는 단지 예시적인 것일 뿐이고, 프로세스 가스들의 부가적인 펄스들이 사용될 수 있다는 것이 이해될 것이다. 펄스들은 이들의 전체가 반복될 수 있거나 또는 일부가 반복될 수 있다. 사이클은 미리 결정된 두께의 막을 형성하기 위해 반복될 수 있다.
[0063] 도면들을 참조하면, 도 1은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼(100)을 도시한다. 도 1에서 도시된 실시예는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 이해되지 않아야 한다. 예컨대, 일부 실시예들에서, 프로세싱 플랫폼(100)은 상이한 수의 프로세스 챔버들, 버퍼 챔버들, 및 로봇 구성들을 갖는다.
[0064] 프로세싱 플랫폼(100)은 복수의 측면들(111, 112, 113, 114, 115, 116)을 갖는 중앙 이송 스테이션(110)을 포함한다. 이송 스테이션(110)은 제1 측면(111), 제2 측면(112), 제3 측면(113), 제4 측면(114), 제5 측면(115), 및 제6 측면(116)을 갖는 것으로 도시된다. 6개의 측면들이 도시되어 있지만, 예컨대, 프로세싱 플랫폼(100)의 전체 구성에 따라, 이송 스테이션(110)에 임의의 적합한 수의 측면들이 있을 수 있다는 것을 당업자는 이해할 것이다.
[0065] 이송 스테이션(110)은 이송 스테이션(110)에 포지셔닝된 로봇(117)을 갖는다. 로봇(117)은 프로세싱 동안 웨이퍼를 이동시킬 수 있는 임의의 적합한 로봇일 수 있다. 일부 실시예들에서, 로봇(117)은 제1 암(118) 및 제2 암(119)을 갖는다. 제1 암(118) 및 제2 암(119)은 다른 암과 독립적으로 이동될 수 있다. 제1 암(118) 및 제2 암(119)은 x-y 평면에서 그리고/또는 z-축을 따라 이동할 수 있다. 일부 실시예들에서, 로봇(117)은 제3 암 또는 제4 암(미도시)을 포함한다. 암들 각각은 다른 암들과 독립적으로 이동할 수 있다.
[0066] 중앙 이송 스테이션(110)의 제1 측면(111)에 배치 프로세싱 챔버(120)가 연결될 수 있다. 배치 프로세싱 챔버(120)는 배치 시간(batch time) 동안 한 번에 x개의 웨이퍼들을 프로세싱하도록 구성될 수 있다. 일부 실시예들에서, 배치 프로세싱 챔버(120)는 동시에 약 4개(x = 4) 내지 약 12개(x = 12)의 범위의 웨이퍼들을 프로세싱하도록 구성될 수 있다. 일부 실시예들에서, 배치 프로세싱 챔버(120)는 동시에 6개(x = 6)의 웨이퍼들을 프로세싱하도록 구성된다. 당업자에 의해 이해될 바와 같이, 배치 프로세싱 챔버(120)가 개별 웨이퍼의 로딩/언로딩 사이에 다수의 웨이퍼들을 프로세싱할 수 있지만, 각각의 웨이퍼는 임의의 주어진 시간에 상이한 프로세스 조건들을 거칠 수 있다. 예컨대, 도 2 내지 도 6에 도시된 바와 같은 공간적 원자 층 증착 챔버는 상이한 프로세싱 구역들에서 상이한 프로세스 조건들에 웨이퍼를 노출시키고, 그에 따라, 웨이퍼가 구역들 각각을 통해 이동될 때, 프로세스가 완료된다.
[0067] 도 2는, 인젝터들 또는 인젝터 조립체라고 또한 지칭되는 가스 분배 조립체(220) 및 서셉터 조립체(240)를 포함하는 프로세싱 챔버(200)의 단면을 도시한다. 가스 분배 조립체(220)는 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 조립체(220)는 서셉터 조립체(240)를 향하는 전방 표면(221)을 포함한다. 전방 표면(221)은 서셉터 조립체(240) 쪽으로 가스들의 유동을 전달하기 위한 임의의 수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(220)는 또한, 외측 에지(224)를 포함하며, 도시된 실시예들에서, 외측 에지(224)는 실질적으로 둥글다.
[0068] 사용되는 가스 분배 조립체(220)의 특정 타입은 사용되고 있는 특정 프로세스에 따라 변화될 수 있다. 본 개시내용의 실시예들은 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 타입의 프로세싱 시스템에 사용될 수 있다. 다양한 타입들의 가스 분배 조립체들(예컨대, 샤워헤드들)이 채용될 수 있지만, 본 개시내용의 실시예들은 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 가스 분배 조립체들에 대해 특히 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 평행한"이라는 용어는 가스 채널들의 연장 축이 동일한 일반적인 방향으로 연장되는 것을 의미한다. 가스 채널들의 평행성에 약간의 불완전성들이 존재할 수 있다. 이원 반응에서, 복수의 실질적으로 평행한 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널, 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들), 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 상단 표면 쪽으로 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 이동하고, 퍼지 가스 P 채널(들)을 통해 프로세스 구역 밖으로 이동한다. 가스 분배 조립체의 하나의 단부로부터 다른 단부로 이동하는 기판은 프로세스 가스들 각각에 차례로 노출될 것이고, 그에 따라, 기판 표면 상에 층이 형성될 것이다.
[0069] 일부 실시예들에서, 가스 분배 조립체(220)는 단일 인젝터 유닛으로 이루어진 강성의 고정된 바디이다. 하나 이상의 실시예들에서, 도 3에 도시된 바와 같이, 가스 분배 조립체(220)는 복수의 개별 섹터들(예컨대, 인젝터 유닛들(222))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들에 대해 단일 피스 바디 또는 멀티-섹터 바디가 사용될 수 있다.
[0070] 서셉터 조립체(240)는 가스 분배 조립체(220) 아래에 포지셔닝된다. 서셉터 조립체(240)는 상단 표면(241), 및 상단 표면(241) 내의 적어도 하나의 오목부(242)를 포함한다. 서셉터 조립체(240)는 또한, 바닥 표면(243) 및 에지(244)를 갖는다. 오목부(242)는 프로세싱되고 있는 기판들(60)의 형상 및 사이즈에 따라 임의의 적합한 형상 및 사이즈로 이루어질 수 있다. 도 2에 도시된 실시예에서, 오목부(242)는 웨이퍼의 바닥을 지지하기 위해 평탄한 바닥을 갖지만, 오목부의 바닥은 다양할 수 있다. 일부 실시예들에서, 오목부는 오목부의 외측 주변 에지 주위에 스텝 구역들을 가지며, 그 스텝 구역들은 웨이퍼의 외측 주변 에지를 지지하도록 사이즈가 설정된다. 스텝들에 의해 지지되는 웨이퍼의 외측 주변 에지의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 배면 상에 이미 존재하는 피처들의 존재에 따라 변화될 수 있다.
[0071] 일부 실시예들에서, 도 2에 도시된 바와 같이, 서셉터 조립체(240)의 상단 표면(241) 내의 오목부(242)는 오목부(242)에서 지지되는 기판(60)이 서셉터(240)의 상단 표면(241)과 실질적으로 동일 평면에 있는 상단 표면(61)을 갖도록 사이즈가 설정된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면에 있음"이라는 용어는 웨이퍼의 상단 표면과 서셉터 조립체의 상단 표면이 ±0.2 mm 내에서 동일 평면에 있는 것을 의미한다. 일부 실시예들에서, 상단 표면들은 0.5 mm, ±0.4 mm, ±0.35 mm, ±0.30 mm, ±0.25 mm, ±0.20 mm, ±0.15 mm, ±0.10 mm, 또는 ±0.05 mm 내에서 동일 평면에 있다.
[0072] 도 2의 서셉터 조립체(240)는 서셉터 조립체(240)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 기둥(260)을 포함한다. 서셉터 조립체는 지지 기둥(260)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 기둥(260)은 서셉터 조립체(240)와 가스 분배 조립체(220) 사이의 갭을 증가시키거나 또는 감소시켜서 서셉터 조립체(240)를 적절한 포지션으로 이동시키는 주된 수단일 수 있다. 서셉터 조립체(240)는 또한, 서셉터 조립체(240)와 가스 분배 조립체(220) 사이에 미리 결정된 갭(270)을 생성하기 위해 서셉터 조립체(240)에 대해 미세-조정들을 행할 수 있는 미세 튜닝 액추에이터들(262)을 포함할 수 있다.
[0073] 일부 실시예들에서, 갭(270) 거리는 약 0.1 mm 내지 약 5.0 mm의 범위, 또는 약 0.1 mm 내지 약 3.0 mm의 범위, 또는 약 0.1 mm 내지 약 2.0 mm의 범위, 또는 약 0.2 mm 내지 약 1.8 mm의 범위, 또는 약 0.3 mm 내지 약 1.7 mm의 범위, 또는 약 0.4 mm 내지 약 1.6 mm의 범위, 또는 약 0.5 mm 내지 약 1.5 mm의 범위, 또는 약 0.6 mm 내지 약 1.4 mm의 범위, 또는 약 0.7 mm 내지 약 1.3 mm의 범위, 또는 약 0.8 mm 내지 약 1.2 mm의 범위, 또는 약 0.9 mm 내지 약 1.1 mm의 범위, 또는 약 1 mm이다.
[0074] 도면들에 도시된 프로세싱 챔버(200)는 서셉터 조립체(240)가 복수의 기판들(60)을 홀딩할 수 있는 캐러셀-타입 챔버이다. 도 3에 도시된 바와 같이, 가스 분배 조립체(220)는 복수의 별개의 인젝터 유닛들(222)을 포함할 수 있으며, 각각의 인젝터 유닛(222)은, 웨이퍼가 인젝터 유닛 아래로 이동될 때, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 인젝터 유닛들(222)이 서셉터 조립체(240)의 대략적으로 대향하는 측들 상에 그리고 서셉터 조립체(240) 위에 포지셔닝된 것으로 도시된다. 이러한 수의 인젝터 유닛들(222)은 예시적인 목적들만을 위해 도시된다. 더 많은 또는 더 적은 인젝터 유닛들(222)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(240)의 형상과 일치하는 형상을 형성하도록 충분한 수의 파이-형상 인젝터 유닛들(222)이 존재한다. 일부 실시예들에서, 개별 파이-형상 인젝터 유닛들(222) 각각은 다른 인젝터 유닛들(222) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 로봇이 서셉터 조립체(240)와 가스 분배 조립체(220) 사이의 구역에 접근하여, 기판들(60)을 로딩/언로딩할 수 있게 하도록, 하나의 세그먼트가 상승될 수 있다.
[0075] 다수의 가스 인젝터들을 갖는 프로세싱 챔버들은 웨이퍼들이 동일한 프로세스 유동을 받도록 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예컨대, 도 4에 도시된 바와 같이, 프로세싱 챔버(200)는 4개의 가스 인젝터 조립체들 및 4개의 기판들(60)을 갖는다. 프로세싱의 착수 시에, 기판들(60)은 가스 분배 조립체들(220) 사이에 포지셔닝될 수 있다. 45°만큼 서셉터 조립체(240)를 회전시키는 것(17)은, 가스 분배 조립체들(220) 사이에 있는 각각의 기판(60)으로 하여금, 가스 분배 조립체들(220) 아래에 점선 원으로 예시된 바와 같이, 막 증착을 위해 가스 분배 조립체(220)로 이동되게 할 것이다. 부가적인 45° 회전은 기판들(60)을 가스 분배 조립체들(220)로부터 벗어나도록 이동시킬 것이다. 기판들(60) 및 가스 분배 조립체들(220)의 수는 동일할 수 있거나 또는 상이할 수 있다. 일부 실시예들에서, 가스 분배 조립체들의 수와 동일한 수의 웨이퍼들이 프로세싱된다. 하나 이상의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 수는 가스 분배 조립체들의 수의 몇분의 몇(fraction) 또는 정수배이다. 예컨대, 4개의 가스 분배 조립체들이 존재하는 경우, 4x개의 웨이퍼들이 프로세싱되며, 여기서, x는 1 이상의 정수 값이다. 예시적인 실시예에서, 가스 분배 조립체(220)는 가스 커튼들에 의해 분리된 8개의 프로세스 구역들을 포함하고, 서셉터 조립체(240)는 6개의 웨이퍼들을 홀딩할 수 있다.
[0076] 도 4에 도시된 프로세싱 챔버(200)는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 여겨지지 않아야 한다. 여기서, 프로세싱 챔버(200)는 복수의 가스 분배 조립체들(220)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(200) 주위에 균등하게 이격된 4개의 가스 분배 조립체들(220)(또한, 인젝터 조립체들이라고 지칭됨)이 존재한다. 도시된 프로세싱 챔버(200)가 팔각형이지만, 이는 하나의 가능한 형상일 뿐이며, 본 개시내용의 범위를 제한하는 것으로 여겨지지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 조립체들(220)이 사다리꼴이지만, 단일 원형 컴포넌트일 수 있거나, 또는 도 3에 도시된 바와 같이, 복수의 파이-형상 세그먼트들로 구성될 수 있다.
[0077] 도 4에 도시된 실시예는 로드 락 챔버(280) 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(280)는, 예컨대, 기판들(또한, 기판들(60)이라고 지칭됨)이 챔버(200)에서 로딩/언로딩될 수 있게 하도록 프로세싱 챔버(200)의 일 측에 연결된다. 웨이퍼 로봇이 서셉터 상으로 기판을 이동시키기 위해 챔버(280)에 포지셔닝될 수 있다.
[0078] 캐러셀(예컨대, 서셉터 조립체(240))의 회전은 연속적일 수 있거나 또는 단속적(불연속적)일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 지속적으로 회전하고, 그에 따라, 웨이퍼들이 차례로 인젝터들 각각에 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 인젝터 구역으로 이동되어 정지될 수 있고, 그 후, 인젝터들 사이의 구역(84)으로 이동되어 정지될 수 있다. 예컨대, 캐러셀은, 웨이퍼들이 인젝터-간 구역으로부터 인젝터를 가로질러 이동하고(또는, 그 인젝터 근방에서 정지함), 그리고 캐러셀이 다시 멈출 수 있는 그 다음의 인젝터-간 구역으로 이동하도록, 회전할 수 있다. 인젝터들 사이에서 멈추는 것은 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0079] 도 5는, 인젝터 유닛(222)이라고 지칭될 수 있는, 가스 분배 조립체의 섹터 또는 부분을 도시한다. 인젝터 유닛들(222)은 개별적으로 사용될 수 있거나 또는 다른 인젝터 유닛들과 조합하여 사용될 수 있다. 예컨대, 도 6에서 도시된 바와 같이, 도 5의 인젝터 유닛(222) 4개가 단일 가스 분배 조립체(220)를 형성하도록 조합된다(4개의 인젝터 유닛들을 분리하는 라인들은 명료성을 위해 도시되지 않는다). 도 5의 인젝터 유닛(222)이 퍼지 가스 포트들(255) 및 진공 포트들(245)에 부가하여 제1 반응성 가스 포트(225) 및 제2 가스 포트(235) 둘 모두를 갖지만, 인젝터 유닛(222)이 이들 컴포넌트들 전부를 필요로 하는 것은 아니다.
[0080] 도 5 및 도 6 둘 모두를 참조하면, 하나 이상의 실시예에 따른 가스 분배 조립체(220)는 복수의 섹터들(또는 인젝터 유닛들(222))을 포함할 수 있으며, 각각의 섹터는 동일하거나 또는 상이하다. 가스 분배 조립체(220)는 프로세싱 챔버 내에 포지셔닝되고, 가스 분배 조립체(220)의 전방 표면(221)에 복수의 세장형 가스 포트들(225, 235, 245)을 포함한다. 복수의 세장형 가스 포트들(225, 235, 245, 255)은 가스 분배 조립체(220)의 내측 주변 에지(223)에 인접한 영역으로부터 외측 주변 에지(224)에 인접한 영역을 향하여 연장된다. 도시된 복수의 가스 포트들은 제1 반응성 가스 포트(225), 제2 가스 포트(235), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(245), 및 퍼지 가스 포트(255)를 포함한다.
[0081] 그러나, 도 5 또는 도 6에 도시된 실시예들을 참조로, 포트들이 적어도 대략 내측 주변 구역으로부터 적어도 대략 외측 주변 구역으로 연장되는 것을 언급하는 경우, 포트들은 내측 구역으로부터 외측 구역으로 단지 반경방향으로만 연장되는 것이 아니라 그 이상의 다른 방향으로 연장될 수 있다. 포트들은, 진공 포트(245)가 반응성 가스 포트(225) 및 반응성 가스 포트(235)를 둘러싸는 것처럼 접선방향으로 연장될 수 있다. 도 5 및 도 6에 도시된 실시예에서, 웨지 형상 반응성 가스 포트들(225, 235)은 내측 주변 구역 및 외측 주변 구역에 인접한 에지들을 포함하는 모든 에지들이 진공 포트(245)에 의해 둘러싸인다.
[0082] 도 5를 참조하면, 기판이 경로(227)를 따라 이동함에 따라, 기판 표면의 각각의 부분이 다양한 반응성 가스들에 노출된다. 경로(227)를 따르면, 기판은 퍼지 가스 포트(255), 진공 포트(245), 제1 반응성 가스 포트(225), 진공 포트(245), 퍼지 가스 포트(255), 진공 포트(245), 제2 반응성 가스 포트(235), 및 진공 포트(245)에 노출될 것이거나, 또는 이들과 "마주치게(see)'될 것이다. 따라서, 도 5에 도시된 경로(227)의 끝에서, 기판이 제1 반응성 가스(225) 및 제2 반응성 가스(235)에 노출되어 층이 형성되었다. 도시된 인젝터 유닛(222)은 사분원을 이루지만, 더 클 수 있거나 또는 더 작을 수 있다. 도 6에 도시된 가스 분배 조립체(220)는 도 3의 인젝터 유닛(222) 4개가 연속하여 연결된 조합인 것으로 고려될 수 있다.
[0083] 도 5의 인젝터 유닛(222)은 반응성 가스들을 분리하는 가스 커튼(250)을 도시한다. "가스 커튼"이라는 용어는 반응성 가스들이 혼합되지 않도록 분리하는 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 5에 도시된 가스 커튼(250)은 제1 반응성 가스 포트(225) 옆의 진공 포트(245)의 부분, 중간의 퍼지 가스 포트(255), 및 제2 가스 포트(235) 옆의 진공 포트(245)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 또는 최소화하기 위해 사용될 수 있다.
[0084] 도 6를 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들과 진공의 조합은 복수의 프로세스 구역들(350)로의 분리를 형성한다. 프로세스 구역들은, 가스 커튼(250)이 프로세스 구역들(350) 사이에 있는 개별 반응성 가스 포트들(225, 235) 주위로 대략적으로 정의된다. 도 6에 도시된 실시예는 8개의 별개의 가스 커튼들(250)이 사이에 있는 8개의 별개의 프로세스 구역들(350)을 구성한다. 프로세싱 챔버는 적어도 2개의 프로세스 구역을 가질 수 있다. 일부 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개, 또는 12개의 프로세스 구역들이 존재한다.
[0085] 프로세싱 동안, 기판은 임의의 주어진 시간에서 하나 초과의 프로세스 구역(350)에 노출될 수 있다. 그러나, 상이한 프로세스 구역들에 노출되는 부분들은 2개의 구역들을 분리하는 가스 커튼을 가질 것이다. 예컨대, 제2 가스 포트(235)를 포함하는 프로세스 구역에 기판의 선행 에지가 진입하는 경우, 기판의 중간 부분은 가스 커튼(250) 아래에 있을 것이고, 기판의 후행 에지는 제1 반응성 가스 포트(225)를 포함하는 프로세스 구역에 있을 것이다.
[0086] 예컨대 로드 락 챔버일 수 있는 (도 4에서 도시된 바와 같은) 팩토리 인터페이스(280)가 프로세싱 챔버(200)에 연결된 것으로 도시된다. 기판(60)은 참조용 프레임(frame of reference)을 제공하기 위해 가스 분배 조립체(220) 위에 중첩된(superimposed) 것으로 도시된다. 기판(60)은 종종, 가스 분배 플레이트(220)의 전방 표면(221) 근처에 홀딩되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 프로세싱 챔버(200) 내로 기판 지지부 또는 서셉터 조립체 상으로 로딩된다(도 4 참조). 기판(60)은, 기판이 제1 반응성 가스 포트(225) 근방에 그리고 2개의 가스 커튼들(250a, 250b) 사이에 위치되기 때문에, 프로세스 구역 내에 포지셔닝된 것으로 도시될 수 있다. 경로(227)를 따라 기판(60)을 회전시키는 것은 프로세싱 챔버(200) 주위로 반시계 방향으로 기판을 이동시킬 것이다. 따라서, 기판(60)은, 제1 프로세스 구역(350a)과 제8 프로세스 구역(350h) 사이에 있는 모든 프로세스 구역들을 포함하여 제1 프로세스 구역(350a) 내지 제8 프로세스 구역(350h)에 노출될 것이다.
[0087] 본 개시내용의 일부 실시예들은 복수의 프로세스 구역들(350a 내지 350h)을 갖는 프로세싱 챔버(200)에 관한 것이며, 각각의 프로세스 구역은 가스 커튼(250)에 의해 인접한 구역으로부터 분리된다. 예컨대, 프로세싱 챔버는 도 6에 도시된다. 프로세싱 챔버 내의 가스 커튼들 및 프로세스 구역들의 수는, 가스 유동들의 어레인지먼트에 따라, 임의의 적합한 수일 수 있다. 도 6에 도시된 실시예는 8개의 가스 커튼들(250) 및 8개의 프로세스 구역들(350a 내지 350h)을 갖는다.
[0088] 다시 도 1을 참조하면, 프로세싱 플랫폼(100)은 중앙 이송 스테이션(110)의 제2 측면(112)에 연결된 처리 챔버(140)를 포함한다. 일부 실시예들의 처리 챔버(140)는 제1 배치 프로세싱 챔버(120)에서 프로세싱하기 전에 그리고/또는 프로세싱한 후에 웨이퍼들을 처리하기 위해, 프로세스에 웨이퍼들을 노출시키도록 구성된다. 일부 실시예들의 처리 챔버(140)는 어닐링 챔버를 포함한다. 어닐링 챔버는 퍼니스 어닐링 챔버 또는 급속 열 어닐링 챔버, 또는 미리 결정된 온도 및 압력에서 웨이퍼를 홀딩하고 챔버에 가스의 유동을 제공하도록 구성된 상이한 챔버일 수 있다.
[0089] 일부 실시예들에서, 프로세싱 플랫폼은 중앙 이송 스테이션(110)의 제3 측면(113)에 연결된 제2 배치 프로세싱 챔버(130)를 더 포함한다. 제2 배치 프로세싱 챔버(130)는 배치 프로세싱 챔버(120)와 유사하게 구성될 수 있거나, 또는 상이한 프로세스를 수행하도록 또는 상이한 수의 기판들을 프로세싱하도록 구성될 수 있다.
[0090] 제2 배치 프로세싱 챔버(130)는 제1 배치 프로세싱 챔버(120)와 동일할 수 있거나 또는 상이할 수 있다. 일부 실시예들에서, 제1 배치 프로세싱 챔버(120) 및 제2 배치 프로세싱 챔버(130)는 동일한 배치 시간 내에 동일한 개수의 웨이퍼들에 대해 동일한 프로세스를 수행하도록 구성되고, 그에 따라, x(제1 배치 프로세싱 챔버(120) 내의 웨이퍼들의 개수)와 y(제2 배치 프로세싱 챔버(130) 내의 웨이퍼들의 개수)가 동일하게 되고, 제1 배치 시간과 (제2 배치 프로세싱 챔버(130)의) 제2 배치 시간이 동일하게 된다. 일부 실시예들에서, 제1 배치 프로세싱 챔버(120) 및 제2 배치 프로세싱 챔버(130)는 상이한 수의 웨이퍼들(x와 y가 동일하지 않음), 상이한 배치 시간들, 또는 둘 모두 중 하나 이상을 갖도록 구성된다.
[0091] 도 1에서 도시된 실시예에서, 프로세싱 플랫폼(100)은 중앙 이송 스테이션(110)의 제4 측면(114)에 연결된 제2 처리 챔버(150)를 포함한다. 제2 처리 챔버(150)는 처리 챔버(140)와 동일할 수 있거나 또는 상이할 수 있다.
[0092] 프로세싱 플랫폼(100)은 로봇(117)에 연결된 제어기(195)를 포함할 수 있다(연결은 도시되지 않음). 제어기(195)는 로봇(117)의 제1 암(118)을 이용하여 사전-세정 챔버(140)와 제1 배치 프로세싱 챔버(120) 사이에서 웨이퍼들을 이동시키도록 구성될 수 있다. 일부 실시예들에서, 제어기(195)는 또한, 로봇(117)의 제2 암(119)을 이용하여 제2 단일 웨이퍼 프로세싱 챔버(150)와 제2 배치 프로세싱 챔버(130) 사이에서 웨이퍼들을 이동시키도록 구성된다.
[0093] 일부 실시예들에서, 제어기(195)는 프로세싱 챔버(200)의 가스 분배 조립체(220) 및 서셉터 조립체(240)에 연결된다. 제어기(195)는 중심 축을 중심으로 서셉터 조립체(240)를 회전(17)시키도록 구성될 수 있다. 제어기는 또한, 가스 포트들(225, 235, 245, 255)에서의 가스 유동들을 제어하도록 구성될 수 있다. 일부 실시예들에서, 제1 반응성 가스 포트(225)는 이트륨 전구체의 유동을 제공한다. 일부 실시예들에서, 제2 반응성 가스 포트(235)는 실리콘 전구체의 유동을 제공한다. 일부 실시예들에서, 다른 가스 포트들(라벨 없음)이 처리 플라즈마 또는 질소 반응물의 유동을 제공할 수 있다. 제1 반응성 가스 포트(225), 제2 반응성 가스 포트(235), 및 다른 반응성 가스 포트들(라벨 없음)은 임의의 프로세싱 순서로 배열될 수 있다.
[0094] 프로세싱 플랫폼(100)은 또한, 중앙 이송 스테이션(110)의 제5 측면(115)에 연결된 제1 버퍼 스테이션(151), 및/또는 중앙 이송 스테이션(110)의 제6 측면(116)에 연결된 제2 버퍼 스테이션(152)을 포함할 수 있다. 제1 버퍼 스테이션(151) 및 제2 버퍼 스테이션(152)은 동일한 또는 상이한 기능들을 수행할 수 있다. 예컨대, 버퍼 스테이션들은, 프로세싱되고 원래의 카세트로 리턴되는 웨이퍼들의 카세트를 홀딩할 수 있거나, 또는 제1 버퍼 스테이션(151)은, 프로세싱 후에 제2 버퍼 스테이션(152)으로 이동되는 프로세싱되지 않은 웨이퍼들을 홀딩할 수 있다. 일부 실시예들에서, 버퍼 스테이션들 중 하나 이상은 프로세싱하기 전에 그리고/또는 프로세싱한 후에 웨이퍼들을 사전-처리, 예열 또는 세정하도록 구성된다.
[0095] 일부 실시예들에서, 제어기(195)는 로봇(117)의 제1 암(118)을 사용하여 처리 챔버(140)와 제1 배치 프로세싱 챔버(120) 중 하나 이상과 제1 버퍼 스테이션(151) 사이에서 웨이퍼들을 이동시키도록 구성된다. 일부 실시예들에서, 제어기(195)는 로봇(117)의 제2 암(119)을 사용하여 제2 처리 챔버(150) 또는 제2 배치 프로세싱 챔버(130) 중 하나 이상과 제2 버퍼 스테이션(152) 사이에서 웨이퍼들을 이동시키도록 구성된다.
[0096] 프로세싱 플랫폼(100)은 또한, 프로세싱 챔버들 중 임의의 프로세싱 챔버와 중앙 이송 스테이션(110) 사이에 하나 이상의 슬릿 밸브들(160)을 포함할 수 있다. 도시된 실시예에서, 중앙 이송 스테이션(110)과 프로세싱 챔버들(120, 130, 140, 150) 각각 사이에 슬릿 밸브(160)가 있다. 슬릿 밸브들(160)은 중앙 이송 스테이션(110) 내의 환경으로부터 프로세싱 챔버 내의 환경을 격리시키기 위해 개방 및 폐쇄될 수 있다. 예컨대, 프로세싱 챔버가 프로세싱 동안 플라즈마를 생성할 경우, 스트레이 플라즈마(stray plasma)가 이송 스테이션 내의 로봇을 손상시키는 것을 방지하기 위해, 그 프로세싱 챔버에 대해 슬릿 밸브를 폐쇄하는 것이 유익할 수 있다.
[0097] 일부 실시예들에서, 프로세싱 챔버들은 중앙 이송 스테이션(110)으로부터 쉽게 제거가능하지 않다. 프로세싱 챔버들 중 임의의 프로세싱 챔버에 대해 유지보수가 수행될 수 있게 하기 위해, 프로세싱 챔버들 각각은 프로세싱 챔버들의 측면들에 복수의 접근 도어들(170)을 더 포함할 수 있다. 접근 도어들(170)은, 중앙 이송 스테이션(110)으로부터 프로세싱 챔버를 제거하지 않지 않으면서, 프로세싱 챔버로의 수동적인 접근을 가능하게 한다. 도시된 실시예에서, 각각의 프로세싱 챔버의 각각의 측면은, 이송 스테이션에 연결된 측면을 제외하고, 접근 도어(170)를 갖는다. 너무 많은 접근 도어들(170)의 포함은 채용되는 프로세싱 챔버들의 구성을 복잡하게 할 수 있는데, 이는 챔버들 내의 하드웨어가 도어들을 통해 접근가능하도록 구성될 필요가 있을 것이기 때문이다.
[0098] 일부 실시예들의 프로세싱 플랫폼은 이송 챔버(110)에 연결된 워터 박스(water box)(180)를 포함한다. 워터 박스(180)는 프로세싱 챔버들 중 임의의 프로세싱 챔버 또는 모든 프로세싱 챔버에 냉각제를 제공하도록 구성될 수 있다. "워터" 박스라고 지칭되지만, 당업자는 임의의 냉각제가 사용될 수 있다는 것을 이해할 것이다.
[0099] 일부 실시예들에서, 프로세싱 플랫폼(100)의 사이즈는 단일 전력 커넥터(190)를 통한 하우스 전력(house power)으로의 연결을 가능하게 한다. 단일 전력 커넥터(190)는 중앙 이송 스테이션(110) 및 프로세싱 챔버들 각각에 전력을 제공하기 위해 프로세싱 플랫폼(100)에 부착된다.
[00100] 프로세싱 플랫폼(100)은 웨이퍼들 또는 웨이퍼들의 카세트들이 플랫폼(100) 내에 로딩될 수 있게 하기 위한 팩토리 인터페이스(102)에 연결될 수 있다. 팩토리 인터페이스(102) 내의 로봇(103)은 버퍼 스테이션들(151, 152) 내로 그리고 밖으로 웨이퍼들 또는 카세트들을 이동시킬 수 있다. 웨이퍼들 또는 카세트들은 중앙 이송 스테이션(110) 내의 로봇(117)에 의해 플랫폼(100) 내에서 이동될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(102)는 다른 클러스터 툴의 이송 스테이션이다.
[00101] 일부 실시예들에서, 프로세싱 플랫폼(100) 또는 배치 프로세싱 챔버(120)는 제어기에 연결된다. 제어기는 동일한 제어기(195)일 수 있거나 또는 상이한 제어기일 수 있다. 제어기는 배치 프로세싱 챔버(120)의 가스 분배 조립체 및 서셉터 조립체에 커플링될 수 있고, 하나 이상의 구성들을 갖는다. 구성들은, 중심 축을 중심으로 서셉터 조립체를 회전시키기 위한 제1 구성, 프로세스 구역에 이트륨 전구체의 유동을 제공하기 위한 제2 구성(이트륨 전구체는 일반식 YR1R2R3를 갖는 이트륨 종을 포함하고, 여기서, R1, R2, 및 R3는 할로겐화물들, 카르보닐들, 시클로펜타디엔들, 아민들, acac, hfac, 아미디네이트들, 또는 디아자디엔들로부터 독립적으로 선택됨), 프로세스 구역에 실리콘 전구체의 유동을 제공하기 위한 제3 구성(실리콘 전구체는 일반식 SinXaR2n+2-a, Si(NRR')aR''4-a, 또는 실록산을 갖는 종을 포함하고, 여기서, n은 1 내지 4이고, a는 0 내지 2n+2이고, X는 할로겐화물이며, R, R', 및 R''는 H, 알킬, 또는 아릴로부터 독립적으로 선택됨), 프로세스 구역들 중 하나 이상에 질소 반응물의 유동을 제공하기 위한 제4 구성(질소 반응물은 암모니아, 질소, 히드라진, 아르곤, 수소, 헬륨, 또는 이들의 플라즈마들 중 하나 이상을 포함함), 또는 프로세스 구역에 처리 플라즈마를 제공하기 위한 제5 구성을 포함할 수 있다(그러나 이에 제한되지는 않음).
[00102] 본 개시내용의 일부 실시예들에서, 실리콘-이트륨 질화물 막이, 이트륨 질화물 및 실리콘 질화물 층들의 라미네이트로서, 기판 표면 상에 증착 또는 형성된다. 이트륨 질화물 및 실리콘 질화물의 층들의 수는 실리콘-이트륨 질화물 막 내의 이트륨 또는 실리콘의 양을 제어하기 위해 변화될 수 있다. 일부 실시예들에서, 2 반응물 프로세스 또는 3 반응물 프로세스에 의해, 제1 사이클 수의 이트륨 질화물이 증착된다. 2 반응물 프로세스 또는 3 반응물 프로세스에 의해, 제2 사이클 수의 실리콘 질화물이 증착된다. 실리콘-이트륨 질화물 막을 형성하기 위해, 제1 사이클 수 또는 제2 사이클 수 중 하나 이상이 반복될 수 있다. 이트륨 질화물 또는 실리콘 질화물 막들 중 어느 하나가 먼저 증착될 수 있다.
[00103] 일부 실시예들에서, PEALD SiYN 막이 증착된다. Y 전구체가 챔버로 펄싱된 후에, 과도한 반응물/부산물들이 퍼지 아웃될 수 있다. 이어서, Si-전구체에 표면이 노출되며, Si-전구체는 화학흡착된 Y-전구체와 반응한다. 이어서, 플라즈마 활성화된 NH3, 또는 NH3에 표면이 노출된 후에, 플라즈마 활성화(Ar, N2, H2, He 플라즈마)가 후속되어, SiYN 막이 형성된다. 이러한 시퀀스를 반복하는 것은 미리 결정된 두께의 SiYN 막을 생성할 수 있다. 실리콘 전구체 또는 이트륨 전구체가 먼저 기판에 노출될 수 있다.
[00104] 하나 이상의 실시예들에서, 열 ALD에 의해 SiYN 막이 형성될 수 있다. 기판은 Y 전구체에 노출된 후에 Si 전구체에 노출되는데, 그 사이에 퍼징이 수행되거나 또는 상이한 프로세스 구역들로의 이동이 수행된다. 실리콘 전구체가 화학흡착된 Y-전구체와 반응할 수 있거나, 또는 Si 전구체가 먼저인 경우 그 반대로 이루어질 수 있다. 이어서, 표면은 (플라즈마 활성화 없이) NH3에 노출될 수 있다. 시퀀스는 미리 결정된 두께의 SiYN 막을 형성하기 위해 반복될 수 있다.
[00105] 일부 실시예들에서, SiYN 막은 PEALD 및 열 ALD 프로세스들의 혼합에 의해 형성될 수 있다. YN PEALD 또는 열 프로세스는 SiN PEALD 또는 열 프로세스와 혼합될 수 있다. YN 및 SiN 프로세스들 각각의 사이클 수는 SiYN 막의 조성을 제어하기 위해 변화될 수 있다.
[00106] 예들
[00107] 이트륨 전구체, 퍼지 가스, 반응물 플라즈마, 및 퍼지 가스에 대한 순차적인 노출에 의해 YN 막이 증착되었다. 사용된 이트륨 전구체는 Y(Et-Cp)2(N,N-디이소프로필 아미디네이트)이었다. 각각의 단계는 10초 Ar 퍼지에 의해 분리되었다. 반응물 플라즈마는 1:1 NH3:Ar 혼합물이었다. 이트륨 전구체 및 플라즈마 노출들에 대한 펄스 길이들은 각각 6초 및 5초였다. 이트륨 전구체 앰풀은 95 ℃로 유지되었고, 기판은 350 ℃로 유지되었다. YN 막은 0.42 Å/min의 사이클당 성장(GPC; growth per cycle), 및 희석 HF(1:100) 내의 0.97 Å/min 습식 에칭 레이트(WER)를 가졌다. YN 막은 물에서 에칭되지 않았다. 온도를 낮추는 것은 GPC 뿐만 아니라 막 내의 C 함유량을 증가시켰다.
[00108] ALD 사이클들은 YN 및 SiN 사이클들을 교번시키는 것(2 반응물 YN/2 반응물 SiN)으로 수행되었다. 양 사이클들은 1:1 NH3:Ar의 반응물 플라즈마를 활용하였다. 시퀀스의 각각의 단계는 10초 Ar 퍼지에 의해 분리되었다. 이트륨 전구체(Y(Et-Cp)2(N,N-디이소프로필 아미디네이트)), 플라즈마, 트리클로로실란(TCS), 및 플라즈마에 대한 펄스 길이들은 각각 6초, 5초, 1초, 및 10초였다. 이트륨 전구체 앰풀은 95 ℃로 유지되었고, TCS 앰풀은 실온으로 유지되었다. 증착들은 350 ℃ 기판 온도로 수행되었다. GPC는 개별 막들의 GPC보다 혼합 막들에 대해 더 높았다. 혼합 막들의 WER은 개별 SiN 및 YN 막들의 WER들 사이였다. 혼합 막들은 개별 SiN 및 YN 막들의 조성들 사이의 원자 조성들을 가졌다.
[00109] 이트륨 전구체, 퍼지 가스, 반응물 플라즈마, 및 퍼지 가스에 대한 순차적인 노출에 의해 YO 막이 증착되었다. 이트륨 전구체는 Y(Et-Cp)2(N,N-디이소프로필 아미디네이트)이었다. 반응물 플라즈마는 1:1 O2:Ar 혼합물이었다. 각각의 단계는 10초 Ar 퍼지에 의해 분리되었다. 이트륨 전구체 앰풀은 95 ℃로 유지되었고, 기판은 350 ℃로 유지되었다.
[00110] 이트륨 전구체, 퍼지 가스, 산소 반응물, 및 퍼지 가스에 대한 순차적인 노출에 의해 YO 막들이 증착되었다. 사용된 이트륨 전구체는 Y(Et-Cp)2(N,N-디이소프로필 아미디네이트)이었다. 산소 반응물들은, 상이한 막들에 대해, O2/Ar, O3/Ar, 및 H2O/Ar의 1:1 혼합물들이었다. 각각의 단계는 10초 Ar 퍼지에 의해 분리되었다. 이트륨 전구체 앰풀은 95 ℃로 유지되었고, 기판은 350 ℃로 유지되었다.
[00111] YO 막들은 약 1.5 Å/min의 사이클당 성장(GPC)들, 및 희석 HF(1:100) 및 물 내의 1 Å/min 미만의 습식 에칭 레이트(WER)를 가졌다. 건식 에칭 레이트는 할로겐화물-계 에칭을 사용하여 측정되었고, ALD-TiN 에칭 레이트로 나누어져, 에칭 선택비가 획득되었다. 막들 중 일부는 이들 조건들 하에서 어떠한 에칭도 나타내지 않았다. YN/SiYN 막들의 건식 에칭 선택비는 12 내지 무한대의 범위였다. YO/SiYO 막들의 건식 에칭 선택비는 23 내지 무한대의 범위였다. YN/SiYN 막들 및 YO/SiYO 막들의 경우, Si/Y 비율이 감소될 때, 에칭 선택비가 증가되었다.
[00112] 본 명세서의 전체에 걸친 "일 실시예", "특정 실시예들", "하나 이상의 실시예들", 또는 "실시예"에 대한 언급은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 위치들에서의 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정 피처들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[00113] 본원의 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 개시내용의 애플리케이션들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 개시내용이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (14)

  1. 기판 상에 실리콘-이트륨 종(species)을 형성하기 위해, 이트륨 전구체 및 실리콘 전구체에 상기 기판을 노출시키는 단계 ― 상기 이트륨 전구체는 일반식 YR1R2R3를 갖는 착물을 포함하며, 상기 R1, 상기 R2, 및 상기 R3는, 할로겐화물들, 카르보닐, 시클로펜타디엔들, 아민들, acac, hfac, 아미디네이트들, 또는 디아자디엔들로부터 독립적으로 선택됨 ―; 및
    실리콘-이트륨 질화물 막을 형성하기 위해, 상기 기판 상의 상기 실리콘-이트륨 종과 반응하도록, 질소 반응물에 상기 기판을 노출시키는 단계
    를 포함하는,
    막을 증착하는 방법.
  2. 제1 항에 있어서,
    상기 질소 반응물은 질소, 암모니아, 또는 히드라진 중 하나 이상을 포함하는,
    막을 증착하는 방법.
  3. 제1 항에 있어서,
    상기 질소 반응물은 반응물 플라즈마를 포함하는,
    막을 증착하는 방법.
  4. 삭제
  5. 삭제
  6. 제1 항에 있어서,
    상기 실리콘 전구체는 일반식 SinXaR2n+2-a, Si(NRR')aR''4-a, 또는 실록산을 갖는 종을 포함하고,
    상기 n은 1 내지 4이고, 상기 a는 0 내지 2n+2이고, 상기 X는 할로겐화물이고, 상기 R, 상기 R', 및 상기 R''는 H, 알킬, 또는 아릴로부터 독립적으로 선택되는,
    막을 증착하는 방법.
  7. 제1 항에 있어서,
    상기 실리콘 전구체 및 상기 이트륨 전구체는 동시에 상기 기판에 노출되는,
    막을 증착하는 방법.
  8. 제1 항에 있어서,
    상기 실리콘 전구체 및 상기 이트륨 전구체는 순차적으로 상기 기판에 노출되는,
    막을 증착하는 방법.
  9. 제6 항에 있어서,
    상기 기판 상의 실리콘-이트륨 질화물 막을 처리 플라즈마에 노출시켜서, 상기 막의 특성을 변화시키는 단계를 더 포함하는,
    막을 증착하는 방법.
  10. 제9 항에 있어서,
    상기 처리 플라즈마는 질소, 아르곤, 수소, 또는 헬륨 중 하나 이상을 포함하는,
    막을 증착하는 방법.
  11. 기판 상에 이트륨 종(species)을 형성하기 위해, 이트륨 전구체에 상기 기판을 노출시키는 단계 ― 상기 이트륨 전구체는 일반식 YR1R2R3를 갖는 착물을 포함하며, 상기 R1, 상기 R2, 및 상기 R3는, 할로겐화물들, 카르보닐, 시클로펜타디엔들, 아민들, acac, hfac, 아미디네이트들, 또는 디아자디엔들로부터 독립적으로 선택됨 ―;
    이트륨 질화물 막을 형성하기 위해, 상기 기판 상의 상기 이트륨 종과 반응하도록, 질소 반응물에 상기 기판을 노출시키는 단계; 및
    상기 기판 상에 실리콘-이트륨 질화물 막을 형성하기 위해, 실리콘 전구체에 상기 이트륨 질화물 막을 갖는 상기 기판을 노출시키는 단계를 포함하며,
    상기 실리콘 전구체는 일반식 SinXaR2n+2-a, Si(NRR')aR''4-a, 또는 실록산을 갖는 종을 포함하고,
    상기 n은 1 내지 4이고, 상기 a는 0 내지 2n+2이고, 상기 X는 할로겐화물이고, 상기 R, 상기 R', 및 상기 R''는 H, 알킬, 또는 아릴로부터 독립적으로 선택되는,
    막을 증착하는 방법.
  12. 제11 항에 있어서,
    상기 기판 상의 실리콘-이트륨 질화물 막을 처리 플라즈마에 노출시켜서, 상기 막의 특성을 변화시키는 단계를 더 포함하는,
    막을 증착하는 방법.
  13. 제12 항에 있어서,
    상기 처리 플라즈마는 질소, 아르곤, 수소, 또는 헬륨 중 하나 이상을 포함하는,
    막을 증착하는 방법.
  14. 복수의 기판들을 지지하고, 중심 축을 중심으로 상기 복수의 기판을 회전시키기 위한 서셉터 조립체 ― 상기 서셉터 조립체는 상기 기판들을 홀딩하도록 사이즈가 설정된 복수의 오목부들을 갖는 상단 표면을 가짐 ―;
    갭을 형성하도록 상기 서셉터 조립체의 상단 표면으로부터 이격된 전방 표면을 갖는 가스 분배 조립체 ― 상기 가스 분배 조립체는, 상기 갭 내로의 복수의 가스 유동들, 및 상기 갭으로부터 가스들을 제거하기 위한 복수의 진공 유동들을 제공하기 위해, 복수의 가스 포트들 및 진공 포트들을 포함하고, 상기 복수의 가스 포트들 및 진공 포트들은 복수의 프로세스 구역들을 형성하도록 배열되고, 각각의 프로세스 구역은 가스 커튼에 의해 인접 프로세스 구역들로부터 분리됨 ―; 및
    상기 서셉터 조립체 및 상기 가스 분배 조립체에 커플링된 제어기
    를 포함하며,
    상기 제어기는 하나 이상의 구성들을 갖고,
    상기 하나 이상의 구성들은,
    상기 중심 축을 중심으로 상기 서셉터 조립체를 회전시키기 위한 제1 구성,
    프로세스 구역에 이트륨 전구체의 유동을 제공하기 위한 제2 구성 ― 상기 이트륨 전구체는 일반식 YR1R2R3를 갖는 이트륨 종을 포함하고, 상기 R1, 상기 R2, 및 상기 R3는 할로겐화물들, 카르보닐들, 시클로펜타디엔들, 아민들, acac, hfac, 아미디네이트들, 또는 디아자디엔들로부터 독립적으로 선택됨 ―,
    프로세스 구역에 실리콘 전구체의 유동을 제공하기 위한 제3 구성 ― 상기 실리콘 전구체는 일반식 SinXaR2n+2-a, Si(NRR')aR''4-a, 또는 실록산을 갖는 종을 포함하고, 상기 n은 1 내지 4이고, 상기 a는 0 내지 2n+2이고, 상기 X는 할로겐화물이며, 상기 R, 상기 R', 및 상기 R''는 H, 알킬, 또는 아릴로부터 독립적으로 선택됨 ―,
    프로세스 구역들 중 하나 이상에 질소 반응물의 유동을 제공하기 위한 제4 구성 ― 상기 질소 반응물은 암모니아, 질소, 히드라진, 아르곤, 수소, 헬륨, 또는 이들의 플라즈마들 중 하나 이상을 포함함 ―, 또는
    프로세스 구역에 처리 플라즈마를 제공하기 위한 제5 구성
    으로부터 선택되는,
    프로세싱 챔버.
KR1020207003880A 2017-07-13 2018-07-12 이트륨-함유 막들을 증착하기 위한 방법들 및 장치 KR102633017B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247003407A KR20240017984A (ko) 2017-07-13 2018-07-12 이트륨-함유 막들을 증착하기 위한 방법들 및 장치

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/649,584 US10760159B2 (en) 2017-07-13 2017-07-13 Methods and apparatus for depositing yttrium-containing films
US15/649,584 2017-07-13
PCT/US2018/041827 WO2019014449A1 (en) 2017-07-13 2018-07-12 METHODS AND APPARATUS FOR DEPOSITING FILMS CONTAINING YTTRIUM

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247003407A Division KR20240017984A (ko) 2017-07-13 2018-07-12 이트륨-함유 막들을 증착하기 위한 방법들 및 장치

Publications (2)

Publication Number Publication Date
KR20200019769A KR20200019769A (ko) 2020-02-24
KR102633017B1 true KR102633017B1 (ko) 2024-02-01

Family

ID=65000079

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207003880A KR102633017B1 (ko) 2017-07-13 2018-07-12 이트륨-함유 막들을 증착하기 위한 방법들 및 장치
KR1020247003407A KR20240017984A (ko) 2017-07-13 2018-07-12 이트륨-함유 막들을 증착하기 위한 방법들 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020247003407A KR20240017984A (ko) 2017-07-13 2018-07-12 이트륨-함유 막들을 증착하기 위한 방법들 및 장치

Country Status (5)

Country Link
US (2) US10760159B2 (ko)
KR (2) KR102633017B1 (ko)
CN (2) CN110892507B (ko)
TW (2) TWI817555B (ko)
WO (1) WO2019014449A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116583621A (zh) * 2020-11-20 2023-08-11 默克专利股份有限公司 镧系及类镧系过渡金属的络合物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050020092A1 (en) * 2000-04-14 2005-01-27 Matti Putkonen Process for producing yttrium oxide thin films
CN102912302A (zh) * 2012-10-11 2013-02-06 厦门大学 一种镁合金表面制备钇/氮化硅复合涂层材料的方法
US20130034947A1 (en) * 2011-08-05 2013-02-07 Intermolecular, Inc. Atomic layer deposition of metal oxides for memory applications

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04357187A (ja) * 1991-06-03 1992-12-10 Mitsubishi Heavy Ind Ltd 窒化珪素質焼結体及びその製造方法
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101228592B1 (ko) * 2011-03-02 2013-02-01 순천대학교 산학협력단 투명 전도성 산화막의 표면처리 방법
US9177826B2 (en) 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US20150325447A1 (en) * 2013-01-18 2015-11-12 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
US9620150B2 (en) * 2014-11-11 2017-04-11 Seagate Technology Llc Devices including an amorphous gas barrier layer
JP6086933B2 (ja) * 2015-01-06 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050020092A1 (en) * 2000-04-14 2005-01-27 Matti Putkonen Process for producing yttrium oxide thin films
US20130034947A1 (en) * 2011-08-05 2013-02-07 Intermolecular, Inc. Atomic layer deposition of metal oxides for memory applications
CN102912302A (zh) * 2012-10-11 2013-02-06 厦门大学 一种镁合金表面制备钇/氮化硅复合涂层材料的方法

Also Published As

Publication number Publication date
CN116949421A (zh) 2023-10-27
WO2019014449A1 (en) 2019-01-17
KR20200019769A (ko) 2020-02-24
US10760159B2 (en) 2020-09-01
US20200392624A1 (en) 2020-12-17
TW202242178A (zh) 2022-11-01
CN110892507B (zh) 2023-07-18
TW201908512A (zh) 2019-03-01
US20190017171A1 (en) 2019-01-17
KR20240017984A (ko) 2024-02-08
TWI770206B (zh) 2022-07-11
TWI817555B (zh) 2023-10-01
CN110892507A (zh) 2020-03-17

Similar Documents

Publication Publication Date Title
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
CN111492467B (zh) 钌的选择性原子层沉积
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
US20190390340A1 (en) Catalyzed deposition of metal films
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
KR102649084B1 (ko) 알루미늄 함유 막들을 이용한 갭충전
KR102633017B1 (ko) 이트륨-함유 막들을 증착하기 위한 방법들 및 장치
US11866824B2 (en) Homoleptic lanthanide deposition precursors
US11970769B2 (en) Cyclical deposition methods
US11370669B2 (en) Amorphous silicon doped yttrium oxide films and methods of formation
KR20220136149A (ko) 중간 처리 공정으로 실리콘 질화물을 증착하기 위한 방법 및 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant