JP2022544038A - 原子層堆積による多層カプセル化スタック - Google Patents

原子層堆積による多層カプセル化スタック Download PDF

Info

Publication number
JP2022544038A
JP2022544038A JP2022505442A JP2022505442A JP2022544038A JP 2022544038 A JP2022544038 A JP 2022544038A JP 2022505442 A JP2022505442 A JP 2022505442A JP 2022505442 A JP2022505442 A JP 2022505442A JP 2022544038 A JP2022544038 A JP 2022544038A
Authority
JP
Japan
Prior art keywords
substrate
gas
protective layer
plasma
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022505442A
Other languages
English (en)
Other versions
JP7354408B2 (ja
Inventor
ツォン トリン,
ミハエラ エー. バルセヌ,
マリベル マルドナード-ガルシア,
ニン リー,
マーク サリー,
バスカー ジョティ ブイヤン,
キーナン エヌ. ウッズ,
リサ ジェー. エンマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022544038A publication Critical patent/JP2022544038A/ja
Application granted granted Critical
Publication of JP7354408B2 publication Critical patent/JP7354408B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

下にある層に損傷を与えることなくカプセル化スタックを堆積する方法を説明する。カプセル化スタックは高度に共形であり、低いエッチング速度、低い原子状酸素濃度、良好な気密性、及び良好な接着性を有する。これらの膜は、PCRAMデバイスのカルコゲン材料を保護するために使用できる。いくつかの実施形態は、保護層を形成するための第1のALDプロセスと、カプセル化層を形成するための第2のプラズマALDプロセスとを含む2段階プロセスを利用する。【選択図】図10

Description

[0001]本開示の実施形態は、概して、多層カプセル化スタックを形成するためのプロセスを含む、半導体の製造に関する。より具体的には、本開示の特定の実施形態は、PCRAMデバイス用のカプセル化スタックを堆積するための方法に向けられている。
[0002]相変化ランダムアクセスメモリ(PCRAM)は、増加する用途を伴い、市場が急速に成長している、新しい不揮発性メモリの一種である。PCRAMは、カルコゲニド材料からなる相変化層に依存している。カルコゲニド材料は空気及び湿気に対して敏感である。窒化ケイ素(SiN)薄膜は、カルコゲニド材料を保護するためのカプセル化層として使用できる。
[0003]SiN膜を堆積するために使用される多くの従来の方法には欠点がある。化学気相堆積(CVD)などの一部の方法は、デバイスに損傷を与える可能性のある高温に依存している。プラズマ化学気相堆積(PECVD)などの一部の方法では、低温で堆積できるが、膜の品質を向上させるために、その後のプラズマ後処理が必要となる。
[0004]PECVDプロセスはまた、不十分なステップカバレッジによっても制限され、膜の品質は後処理効率に大きく依存する可能性がある。デバイス密度が増加し続けるにつれて、これらのプロセスは、より大きなアスペクト比を有する次世代のデバイスに拡張できなくなる。
[0005]PCRAMスタックには、他の処理条件にも敏感な材料が含まれている。カプセル化層を形成するための理想的なプロセスは、下にある材料に損傷を与えることなく低温で実行でき、下にある材料への良好な接着を示し、空気、水、及び水分に対する適切な保護を提供し、高密度及び遅い湿式エッチング速度を有する。
[0006]したがって、当技術分野では、下にある層への損傷を制限し、製造要件を満たす、高アスペクト比のフィーチャ上にカプセル化層を形成する方法が必要とされている。
[0007]本開示の1つ又は複数の実施形態は、カプセル化スタックを形成する方法に関する。該方法は、表面を備えた少なくとも1つのフィーチャを備えた基板を提供することを含む。共形の誘電体保護層は、基板を第1の反応物及び第2の反応物に順次曝すことによって、フィーチャの表面上に形成される。共形の気密なカプセル化層は、基板をシリコン前駆体及び窒素前駆体及びに第2のプラズマに順次曝すことによって保護層上に形成される。カプセル化層は窒化ケイ素を含む。基板は約400℃以下の温度に維持され、フィーチャの表面はこの方法によって実質的に損傷を受けていない。
[0008]本開示の追加の実施形態は、カプセル化スタックを形成する方法に関する。該方法は、表面を備えた少なくとも1つのフィーチャを備えた基板を提供することを含む。フィーチャのアスペクト比は約5:1以上である。共形の誘電体保護層は、基板を第1の反応物及び第2の反応物に順次曝すことによって、フィーチャの表面上に形成される。基板は、約5Torr以上の圧力に維持され、保護層は、約10Å/分以上の速度で形成される。共形の気密なカプセル化層は、基板をシリコン前駆体及び窒素前駆体及びに第2のプラズマに順次曝すことによって保護層上に形成される。カプセル化層は窒化ケイ素を含む。第2のプラズマは、約50W以上の電力を有する。基板は、約20Torr以下の圧力に維持される。基板は約400℃以下の温度に維持され、フィーチャの表面はこの方法によって実質的に損傷を受けていない。
[0009]本開示のさらなる実施形態は、カプセル化スタックを形成する方法に関する。該方法は、表面を備えた少なくとも1つのフィーチャを備えた基板を提供することを含む。共形の誘電体保護層は、基板を第1の反応物及び第2の反応物に順次曝すことによって、フィーチャの表面上に形成される。保護層は、窒化ケイ素、アモルファスシリコン、窒化アルミニウム又は酸化アルミニウムのうちの1つ又は複数を含む誘電体を含む。共形の気密なカプセル化層は、基板をシリコン前駆体及び窒素前駆体及びに第2のプラズマに順次曝すことによって保護層上に形成される。カプセル化層は窒化ケイ素を含み、100:1のDHFにおいて約8.5Å/分以下の湿式エッチング速度を有する。カプセル化層の厚さは約10Åである。基板は約400℃以下の温度に維持され、フィーチャの表面はこの方法によって実質的に損傷を受けていない。
[0010]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって得ることができる。そのうちのいくつかの実施形態は添付の図面で例示されている。しかしながら、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、その範囲を限定すると見なされるべきではないことに留意されたい。
[0011]本開示の1つ又は複数の実施形態による処理プラットフォームの概略図を示す。 [0012]本開示の1つ又は複数の実施形態によるバッチ処理チャンの断面図を示す。 [0013]本開示の1つ又は複数の実施形態によるバッチ処理チャンバの部分透視図を示す。 [0014]本開示の1つ又は複数の実施形態によるバッチ処理チャンバの概略図を示す。 [0015]本開示の1つ又は複数の実施形態による、バッチ処理チャンバで使用するためのくさび形のガス分配アセンブリの一部の概略図を示す。 [0016]本開示の1つ又は複数の一実施形態によるバッチ処理チャンバの概略図を示す。 [0017]本開示の1つ又は複数の実施形態による保護層の形成のための例示的なプロセスの手順を示す。 [0018]本開示の1つ又は複数の実施形態によるカプセル化層を形成するための例示的なプロセス手順を示す。 [0019]本開示の1つ又は複数の実施形態による、複数のフィーチャを備えた基板の概略図を示す。 [0020]本開示の1つ又は複数の実施形態による、複数の材料からなるフィーチャを備えた基板の概略図を示す。 [0021]本開示の1つ又は複数の実施形態による、カプセル化層によって覆われた図9Aによる基板の概略図を示す。 [0022]複数の材料を含むPCRAMスタックを備えた例示的な基板を示す。
[0023]添付の図面では、類似の構成要素及び/又は特徴は、同じ参照符号を有しうる。更に、同じ種類の様々な構成要素は、参照符号の後にダッシュを付けること、及び、類似の構成要素同士を区別する第2符号によって、区別されうる。本明細書において第1参照符号のみが使用される場合、その説明は、第2参照符号に関わりなく、同じ第1参照符号を有する類似の構成要素のうちの任意の1つに適用可能である。
[0024]本開示のいくつかの例示的な実施形態を説明する前に、本開示が以下の説明で提示される構成又は処理ステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。
[0025]ここで使用される「基板」、「基板表面」などは、処理が行われる基板上に形成された任意の基板又は材料表面を指す。例えば、その上に処理を行うことができる基板表面には、用途に応じて、シリコン、酸化シリコン、歪みシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、及び金属、窒化ケイ素、金属合金、その他の導電性材料などのその他の材料が含まれるが、これらに限定されない。基板は、半導体ウエハを含むが、これに限定されない。基板表面を研磨し、エッチングし、還元し、酸化させ、ヒドロキシル化し(又は、化学的機能に影響を与えるためにターゲットの化学的部分を生成又はグラフトし)、アニールし及び/又はベイクするために、基板は前処理プロセスに晒されうる。基板自体の表面上で直接処理することに加えて、本開示では、開示される膜処理ステップのいずれも、以下により詳細に開示されるように、基板上に形成された下層上で実行され得、また、「基板表面」という用語は、文脈が示すような下層を含むことを意図している。したがって、例えば、膜/層又は部分的な膜/層が基板表面に堆積している場合には、新たに堆積した膜/層の露出面が基板表面となる。与えられた基板表面が何を構成するかは、どの材料が堆積されるか、及び使用される特定の化学的性質に依存する。
[0026]本明細書で使用される「原子層堆積(atomic layer deposition)」又は「周期的堆積」とは、2つ以上の反応性化合物への連続的曝露により、基板表面に材料層を堆積させることを指す。本明細書及び添付の特許請求の範囲で使用される「反応性化合物」、「反応性ガス」、「反応種」、「前駆体」、「処理ガス」などの用語は、交換可能に使用され、表面反応(例えば、化学吸着、酸化、還元)において基板表面又は基板表面上の材料と反応可能な種を有する物質を意味する。基板又は基板の一部は、処理チャンバの反応区域内に導入される2つ以上の反応性化合物に別々に曝露される。時間領域ALD処理では、各反応性化合物への曝露は、時間遅延によって分けられ、それにより、各化合物は、基板表面に付着する且つ/又は基板表面上で反応し、次いで、処理チャンバからパージされることが可能になる。これらの反応性化合物は、基板に連続的に曝されると言われている。空間ALD処理では、基板上の任意の所与の点が1つより多くの反応性化合物に同時に実質的に曝露されないように、基板表面又は基板表面上の材料の種々の部分が、2つ以上の反応性化合物に同時に曝露される。本明細書及び添付の特許請求の範囲で使用されるように、このように使用される「実質的に(substantially)」という表現は、当業者によって理解されるように、基板の小さな部分が、拡散に起因して複数の反応性ガスに同時に曝露される可能性があり、その同時曝露は意図されていないことを意味する。
[0027]時間領域ALD処理の一態様では、第1の反応性ガス(すなわち、第1の前駆体又は化合物A)が反応区域内にパルス供給されてから、第1の時間遅延が続く。次に、第2の前駆体又は化合物Bが反応区域内にパルス供給され、第2の遅延が続く。各時間遅延の間、アルゴンのようなパージガスが処理チャンバ内に導入され、反応区域がパージされるか、又はさもなければ、反応区域から任意の残留反応性化合物又は反応性副生成物が除去される。代替的に、反応性化合物のパルス間の時間遅延の間、パージガスのみが流動するように、堆積処理全体にわたってパージガスが連続的に流動し得る。反応性化合物は、基板表面上に所望の膜又は膜厚が形成されるまで、交互にパルス供給される。いずれの場合でも、化合物A、パージガス、化合物B、及びパージガスをパルス供給するALD処理は、周期的に行われる。サイクルは、化合物A又は化合物Bのいずれかで開始されてもよく、所定の厚さを有する膜が達成されるまで、サイクルの対応する順序が継続される。
[0028」空間処理の一実施形態では、第1の反応性ガス及び第2の反応性ガスは、同時に反応区域に供給されるが、不活性ガスカーテン及び/又は真空カーテンによって分離される。基板上の任意の所与の点が、第1の反応性ガス及び第2の反応性ガスに曝露されるように、基板は、ガス供給装置に対して移動させられる。
[0029」空間処理の一実施形態では、第1の反応性ガス及び第2の反応性ガスは、同時に反応区域に供給されるが、不活性ガスカーテン及び/又は真空カーテンによって分離される。基板上の任意の所与の点が、第1の反応性ガス及び第2の反応性ガスに曝露されるように、基板は、ガス供給装置に対して移動させられる。
[0030]「前駆体」、「反応物質」、「反応性ガス」などの用語は、本明細書及び添付の特許請求の範囲で使用される場合、基板表面と反応することができる任意のガス種を指すために、交換可能に使用される。
[0031]ここで使用される「パルス(pulse)」又は「投与(dose)」は、処理チャンバ内に断続的に又は非連続的に導入される処理ガスの量を指すことが意図される。各パルス内の特定の化合物の量は、パルスの持続時間に応じて、経時的に変動し得る。特定の処理ガスは、単一の化合物、又は2つ以上の化合物の混合物/組み合わせ(例えば、以下に記載される処理ガス)を含み得る。
[0032]各パルス/投与の持続時間は、可変であり、例えば、処理チャンバの空間容量、並びに処理チャンバに連結された真空システムの能力に適合するように調整され得る。さらに、処理ガスの投与時間は、処理ガスの流量、処理ガスの温度、制御弁の種類、使用される処理チャンバの種類、及び基板表面に吸着する処理ガスの成分の能力に応じて変動し得る。投与時間は、形成される層の種類、及び形成されるデバイスの形状に基づいても変動し得る。投与時間は、基板の表面全体に実質的に吸着/化学吸着し、その上に処理ガス成分の層を形成するのに十分な量の化合物を供給するのに十分な長さでなければならない。
[0033]本開示のいくつかの実施形態は、異なる化学物質又はプラズマガスの導入に使用することができる複数のガスポートを備えた反応チャンバを使用するプロセスを対象としている。空間的に、これらのガスポート(チャネルとも呼ばれる)は、不活性パージガス及び/又は真空ポンプ穴によって分離され、不要な気相反応を回避するために異なるガスポートからのガスの混合を最小化又は排除するガスカーテンを作る。これらの異なる空間的に分離されたポートを通って移動するウエハは、異なる化学的又はプラズマ環境への連続的且つ複数の表面曝露を取得し、その結果、空間ALDモード又は表面エッチングプロセスでの層ごとに膜成長が発生する。いくつかの実施形態では、処理チャンバは、ガス分配構成要素上にモジュラーアーキテクチャを有し、各モジュラー構成要素は、例えば、ガス流及び/又はRF曝露を制御する柔軟性を提供するために、独立したパラメータ制御(例えば、RF又はガス流)を有する。
[0034]本開示の実施形態は、カプセル化スタックをより低い温度で、下にある基板への損傷を最小限に抑えて堆積させる方法を有利に提供する。これに関して使用されるように、「より低い温度」は、熱CVD及びALDプロセスで通常使用される温度と比較して評価される。
[0035]本開示のいくつかの実施形態は、下にある基板材料に損傷を与えることなく、より低い温度で実行される方法を有利に提供する。下にある基板への損傷は、膜の特性(エッチング速度、密度など)の変化、元素組成の変化(酸化、窒化など)、及び/又は厚さの変化(エッチング、膨張、収縮など)によって証明され得る。
[0036]いくつかの実施形態は、下にある基板材料への良好な接着を有利に提供する。接着力はテープテストで試験できる。約50nmの試験膜が基板上に堆積され、その領域がテストアレイ(例えば、10×10)にスクライブされ、テープがスクライブされた領域に適用されてから除去される。除去されたテープと基板は、堆積された膜の痕跡がないか検査される。膜が除去されていないダイは合格である。接着力は通常、合格したダイの割合として表される。本開示のいくつかの実施形態は、約100%の合格率を有する膜を提供する。
[0037]いくつかの実施形態は、有利に、良好な気密性を提供し、空気、水、及び水分から下にある材料を効果的に保護する。いくつかの実施形態は、より高密度及び/又はより低い湿式エッチング速度を有するカプセル化スタックを有利に提供する。
[0038]本開示のいくつかの実施形態は、時間領域ALDプロセスを利用する。前記プロセスは、当技術分野で一般に知られている処理プラットフォーム上で実行することができる。
[0039]時間領域ALDの実施形態では、各処理ガスへの曝露は、時間遅延/休止によって分けられ、それにより、処理ガスの成分が、基板表面に付着し且つ/又は基板表面で反応することが可能になる。代替的に、又は組み合わせにより、いくつかの実施形態では、基板を処理ガスに曝露する前及び/又は後にパージを行ってもよい。パージを行うためには、不活性ガスが使用される。例えば、第1の処理ガスを処理チャンバに供給し、その後、不活性ガスによるパージを行うことができる。次に、第2の処理ガスを処理チャンバに供給し、その後、不活性ガスによるパージを行うことができる。いくつかの実施形態では、不活性ガスは、処理チャンバに継続的に供給されてもよく、第1の処理ガスが、処理チャンバ内に投与又はパルス供給され、その後、第2の処理ガスが、処理チャンバ内に投与又はパルス供給され得る。このような実施形態では、第1の処理ガスの投与と第2の処理ガスの投与との間に遅延又は休止が生じる場合があり、これにより、処理ガスの投与間に不活性ガスの連続流が処理チャンバをパージすることが可能になる。
[0040]本開示のいくつかの実施形態は、本明細書で開示されるような処理プラットフォームで実行される空間ALD処理を利用する。図1~図6を参照すると、図1は、本開示の1つ又は複数の実施形態による処理プラットフォーム100を示している。図1に示す実施形態は、1つの可能な構成を単に表すものであり、本開示の範囲を限定するものとして解釈するべきではない。例えば、いくつかの実施形態では、処理プラットフォーム100は、異なる数の処理チャンバ、バッファチャンバ、及びロボット構成体を有する。
[0041]処理プラットフォーム100は、複数の側面111、112、113、114、115、116を有する中央移送ステーション110を含む。図示の中央移送ステーション110は、第1の側面111、第2の側面112、第3の側面113、第4の側面114、第5の側面115、及び第6の側面116を有する。ここでは6つの側面が示されているが、当業者であれば、例えば、処理プラットフォーム100の全体的な構成に応じて、中央移送ステーション110に任意の適切な数の側面があってもよいことを理解するであろう。
[0042]移送ステーション110は、その中に位置決めされたロボット117を有する。ロボット117は、処理中にウエハを移動させることが可能な任意の適切なロボットであり得る。いくつかの実施形態では、ロボット117は、第1のアーム118及び第2のアーム119を有する。第1のアーム118及び第2のアーム119は、他方のアームから独立して動くことができる。第1のアーム118及び第2のアーム119は、x-y面において及び/又はz軸に沿って動くことができる。いくつかの実施形態では、ロボット117は、第3のアーム又は第4のアーム(図示せず)を含む。各アームは、他方のアームから独立して動くことができる。
[0043]第1のバッチ処理チャンバ120は、中央移送ステーション110の第1の側面111に接続され得る。第1のバッチ処理チャンバ120は、バッチ時間の間、一度にx個のウエハを処理するように構成され得る。いくつかの実施形態では、第1のバッチ処理チャンバ120は、約4個(x=4)から約12個(x=12)の範囲のウエハを同時に処理するように構成され得る。いくつかの実施形態では、第1のバッチ処理チャンバ120は、6個(x=6)のウエハを同時に処理するように構成され得る。当業者によって理解されるように、第1のバッチ処理チャンバ120は、個々のウエハのロードとアンロードとの間に複数のウエハを処理することができるが、各ウエハは、任意の所与の時点で異なる処理条件に曝され得る。例えば、空間原子層堆積チャンバは、図2から図6に示されているように、ウエハが各領域を移動するにつれて、プロセスが完了するように、ウエハを種々の処理領域の種々の処理条件に曝露する。
[0044]図2は、ガス分配アセンブリ220(インジェクタ又はインジェクタアセンブリとも呼ばれる)、及びサセプタアセンブリ240を含む、処理チャンバ200の断面を示す。ガス分配アセンブリ220は、処理チャンバ内で用いられる任意の種類のガス供給装置である。ガス分配アセンブリ220は、サセプタアセンブリ240に対向する前面221を含む。前面221は、サセプタアセンブリ240に向けてガスの流れを伝達するための、任意の数の開口又は様々な開口を有しうる。ガス分配アセンブリ220は、図示の実施形態では実質的に円形である外縁224をさらに含む。
[0045]使用されるガス分配アセンブリ220の特定の種類は、使用される特定の処理に応じて変わり得る。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される、任意のタイプの処理システムと共に使用することが可能である。様々な種類のガス分配アセンブリ(例えば、シャワーヘッド)を利用することができるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ガス分配アセンブリにおいて特に有用であり得る。本明細書及び添付の特許請求の範囲において使用される「実質的に平行(substantially parallel)」という表現は、ガスチャネルの長手方向軸が同じ概略方向に延在することを意味する。ガスチャネルの平行度には、若干の不完全さがあり得る。2成分反応では、複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAチャネル、少なくとも1つの第2の反応性ガスBチャネル、少なくとも1つのパージガスPチャネル、及び/又は少なくとも1つの真空Vチャネルを含み得る。1つ又は複数の第1の反応性ガスAチャネル、1つ又は複数の第2の反応性ガスBチャネル、及び1つ又は複数のパージガスPチャネルから流れるガスは、ウエハの上面に向けて導かれる。一部のガス流は、ウエハ表面にわたって水平に移動し、1つ又は複数のパージガスPチャネルを通して処理領域から出る。基板がガス分配アセンブリの一端から他端まで移動することで、基板は、各処理ガスに順に曝露され、基板表面上に層が形成されることになる。
[0046]いくつかの実施形態では、ガス分配アセンブリ220は、単一のインジェクタユニットで作られた剛性の静止体である。1つ又は複数の実施形態では、ガス分配アセンブリ220は、図3に示すように、複数の個々のセクタ(例えば、インジェクタユニット222)で構成されている。単片体又は複数のセクタ体のいずれであっても、記載された本開示の様々な実施形態と共に使用することができる。
[0047]サセプタアセンブリ240は、ガス分配アセンブリ220の下方に位置付けされる。サセプタアセンブリ240は、上面241と、上面241における少なくとも1つの凹部242とを含む。サセプタアセンブリ240は、底面243及び縁244をさらに有する。凹部242は、処理される基板60の形状とサイズに応じて、任意の適切な形状とサイズであってもよい。図2に示す実施形態では、凹部242は、ウエハの底を支持するために平らな底部を有しているが、凹部の底部は変動し得る。いくつかの実施形態では、凹部の外周縁の周りには、ウエハの外周縁を支持するよう寸法形成された段差領域がある。この段差によって支持されるウエハの外周縁の面積は、例えば、ウエハの厚さや、ウエハの背面に既にあるフィーチャの存在に応じて変動し得る。
[0048]いくつかの実施形態では、図2に示すように、サセプタアセンブリ240の上面241の凹部242は、凹部242内で支持される基板60が、サセプタ240の上面241と実質的に同一平面の上面61を有するように、寸法形成される。本明細書及び添付の特許請求の範囲で使用される場合、「実質的に同一平面上」という用語は、ウエハの上面及びサセプタアセンブリの上面が±0.2mm以内で同一平面上にあることを意味する。いくつかの実施形態では、上面は、0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm、又は±0.05mm以内で同一平面上にある。
[0049]図2のサセプタアセンブリ240は、サセプタアセンブリ240を上昇、下降、且つ回転させることが可能な支持ポスト260を含む。サセプタアセンブリは、支持ポスト260の中央内部に、ヒータ又はガスライン又は電気的構成要素を含みうる。支持ポスト260は、サセプタアセンブリ240とガス分配アセンブリ220との間の間隙を広げたり狭めたりして、サセプタアセンブリ240を適切な位置へと移動させる主要手段であり得る。サセプタアセンブリ240は、微調整アクチュエータ262をさらに含み得る。微調整アクチュエータ262は、サセプタアセンブリ240とガス分配アセンブリ220との間に所定の間隙270が生じるように、サセプタアセンブリ240に対して微調整を行うことができる。
[0050]いくつかの実施形態では、間隙270の距離は、約0.1mmから約5.0mmの範囲内、若しくは約0.1mmから約3.0mmの範囲内、若しくは約0.1mmから約2.0mmの範囲内、若しくは約0.2mmから約1.8mmの範囲内、若しくは約0.3mmから約1.7mmの範囲内、若しくは約0.4mmから約1.6mmの範囲内、若しくは約0.5mmから約1.5mmの範囲内、若しくは約0.6mmから約1.4mmの範囲内、若しくは約0.7mmから約1.3mmの範囲内、若しくは約0.8mmから約1.2mmの範囲内、若しくは約0.9mmから約1.1mmの範囲内であるか、又は、約1mmである。
[0051]図に示されている処理チャンバ200は、サセプタアセンブリ240が複数の基板60を保持し得るカルーセル型チャンバである。図3に示すように、ガス分配アセンブリ220は、複数の個々のインジェクタユニット222を含み得る。各インジェクタユニット222は、ウエハがインジェクタユニットの下方に移動するにつれて、ウエハに膜を堆積させることが可能である。2つのパイ形状のインジェクタユニット222が、サセプタアセンブリ240のほぼ両側で、且つサセプタアセンブリ240の上に位置付けされているように示されている。インジェクタユニット222の数は、例示目的のためにのみ示されている。より多くの又はより少ないインジェクタユニット222を含み得ることを理解されたい。いくつかの実施形態では、サセプタアセンブリ240の形状に適合する形状を形成するのに十分な数のパイ形状のインジェクタユニット222が存在する。いくつかの実施形態では、個々のパイ形状のインジェクタユニット222は、それぞれ、他のインジェクタユニット222のいずれにも影響を与えることなく、個別に移動してもよく、取り外されてもよく、且つ/又は交換されてもよい。例えば、あるセグメントを上昇させることにより、ロボットがサセプタアセンブリ240とガス分配アセンブリ220との間の領域にアクセスして、基板60をローディング/アンローディングすることを可能にすることができる。
[0052]複数のウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバが使用することができ、それにより、複数のウエハが同じ処理の流れを経る。例えば、図4に示すように、処理チャンバ200は、4つのガスインジェクタアセンブリ、及び4つの基板60を有する。処理開始の際、基板60は、ガス分配アセンブリ220間に位置付けされ得る。サセプタアセンブリ240を45°回転させること17により、ガス分配アセンブリ220間にある各基板60が、膜堆積のために、ガス分配アセンブリ220の下方の点線円で示されているように、ガス分配アセンブリ220の方に移動させられる。さらに45°回転させることにより、基板60はガス分配アセンブリ220から離れる方向に移動することになる。基板60の数とガス分配アセンブリ220の数は、同じであってもよく、又は異なっていてもよい。いくつかの実施形態では、処理されるウエハの数は、ガス分配アセンブリの数と同じである。1つ又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4つのガス分配アセンブリが存在する場合、4xの処理されるウエハが存在し、ここでxは1以上の整数値である。例示的な実施形態では、ガス分配アセンブリ220は、ガスカーテンによって分離された8つの処理領域を含み、サセプタアセンブリ240は、6つのウエハを保持し得る。
[0053]図4に示す処理チャンバ200は、実行可能な一構成を表しているに過ぎず、本開示の範囲を限定していると見なすべきではない。ここでは、処理チャンバ200は複数のガス分配アセンブリ220を含んでいる。図示の実施形態では、4つのガス分配アセンブリ(インジェクタアセンブリとも呼ばれる)があり、処理チャンバ200の周囲で均等に離間している。図示の処理チャンバ200は八角形であるが、当業者であれば、これは可能な一形状であり、本開示の範囲を限定すると見なすべきではないことを理解されよう。図示のガス分配アセンブリ220は台形であるが、単一の円形構成要素であってもよく、又は、図3に示すように、複数のパイ形状のセグメントで構成されてもよい。
[0054]図4に示す実施形態は、ロードロックチャンバ280、又はバッファステーションのような補助チャンバを含む。このチャンバ280は、処理チャンバ200の側面に接続されており、それにより、例えば、基板(基板60とも呼ばれる)が処理チャンバ200にロードされる/処理チャンバ200からアンロードされることが可能となる。基板をサセプタ上に移動させるために、ウエハロボットをチャンバ280内に配置してもよい。
[0055]カルーセル(例えば、サセプタアセンブリ240)の回転は、連続的であってもよく、又は断続的(不連続)であってもよい。連続処理においては、ウエハは、常に回転しており、各インジェクタに順に曝露される。非連続処理においては、ウエハは、インジェクタ領域に移動してから停止し、次いで、インジェクタ間の領域84に移動してから停止し得る。例えば、カルーセルは、ウエハが、インジェクタ間領域からインジェクタを通って移動し(又はインジェクタの隣で停止し)、カルーセルが再び一時停止することができる次のインジェクタ間領域に向かうように、回転することができる。インジェクタ間で一時停止することにより、各層の堆積と堆積との間に、追加の処理ルーチン(例えば、プラズマへの曝露)を行う時間が確保され得る。
[0056]図5は、ガス分配アセンブリ220のセクタ又は一部を示し、これは、インジェクタユニットと呼ばれ得る。インジェクタユニット222は、個別に使用してもよく、又は他のインジェクタユニットと組み合わせて使用してもよい。例えば、図6に示すように、図5のインジェクタユニット222が4つ組み合わされて、単一のガス分配アセンブリ220が形成される。(分かりやすくするために、4個のインジェクタユニットを分ける線は示されていない。)図5のインジェクタユニット222は、パージガスポート255及び真空ポート245に加えて、第1の反応性ガスポート225と第2ガスポート235の両方を有しているが、インジェクタユニット222には、これらの構成要素の全てが必要なわけではない。
[0057]図5及び図6の両方を参照すると、1つ又は複数の実施形態に係るガス分配アセンブリ220は、複数のセクタ(又はインジェクタユニット222)を備え得る。各セクタは、同一であるか、又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に配置され、ガス分配アセンブリ220の表側面221に複数の狭長ガスポート225、235、245を備える。複数の細長いガスポート225、235、245、255は、内周縁223に隣接する領域から、ガス分配アセンブリ220の外周縁224に隣接する領域に向かって延在する。図示の複数のガスポートは、第1の反応性ガスポート225、第2のガスポート235、第1の反応性ガスポートと第2の反応性ガスポートのそれぞれを取り囲む真空ポート245、及びパージガスポート255を含む。
[0058]図5又は図6に示す実施形態を参照すると、ポートが少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると述べたとしても、ポートは、単に内側領域から外側領域まで径方向に延在するだけではないことがある。真空ポート245は、反応性ガスポート225及び反応性ガスポート235を取り囲むので、ポートは、接線方向に延在することができる。図5及び図6に示す実施形態では、くさび型の反応性ガスポート225、235は、内周領域と外周領域に隣接する縁を含むすべての縁が真空ポート245によって囲まれている。
[0059]図5を参照すると、基板が経路227に沿って移動するにつれて、基板表面の各部分が様々な反応性ガスに曝される。経路227を辿ると、基板は、パージガスポート255、真空ポート245、第1の反応性ガスポート225、真空ポート245、パージガスポート255、真空ポート245、第2のガスポート235、そして真空ポート245に曝されることになり、すなわち、これらに「遭遇」することになる。ゆえに、図5に示す経路227の終点では、基板は、第1の反応性ガス及び第2の反応性ガスに曝露されており、層が形成される。図示のインジェクタユニット222は、四分円をなしているが、より大きくてもよく、又はより小さくてもよい。図6に示すガス分配アセンブリ220は、図3のインジェクタユニット222が4つ連続的に接続されて組み合わさったものであると見なしてよい。
[0060]図5のインジェクタユニット222は、反応性ガスを分離させるガスカーテン250を示す。「ガスカーテン(gas curtain)」という用語は、混合しないように反応性ガスを分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図5に示すガスカーテン250は、真空ポート245の第1の反応性ガスポート225に隣り合った部分、中間のパージガスポート255、及び真空ポート245の第2のガスポート235に隣り合った部分を含む。ガス流と真空とのこの組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止又は最少化するために使用され得る。
[0061]図6を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせが、複数の処理領域350に分離をもたらす。処理領域は、個々のガスポート225、235の周りに大まかに画定されており、350の間にガスカーテン250が伴う。図6に示す実施形態は、8つの別個の処理領域350からなり、それらの間に8つの別個のガスカーテン250がある。処理チャンバは、少なくとも2つの処理領域を有し得る。いくつかの実施形態では、少なくとも3、4、5、6、7、8、9、10、11、又は12の処理領域が存在する。
[0062]処理中、基板は、任意の所与の時点で1つより多くの処理領域350に曝され得る。しかしながら、種々の処理領域に曝露される諸部分では、ガスカーテンが2つを分離する。例えば、基板の先端縁が第2のガスポート235を含む処理領域に入った場合、基板の中央部はガスカーテン250の下にあり、基板の後端縁は第1の反応性ガスポート225を含む処理領域内にある。
[0063]例えば、ロードロックチャンバ280であり得るファクトリインターフェース(図4に示す)が、処理チャンバ200に接続されているように示されている。基準のフレームを提示するために、基板60が、ガス分配アセンブリ220に重ねた状態で示されている。基板60は、多くの場合、ガス分配アセンブリ220の前面221の近くに保持されるようサセプタアセンブリ上に配置され得る。基板60は、ファクトリインターフェースを介して、処理チャンバ200の中へとローディングされ、基板支持体又はサセプタアセンブリ上に配置される(図4参照)。基板60は、第1の反応性ガスポート225に隣接し、2つのガスカーテン250a、250bの間に配置されているので、処理領域内に位置付けされたように示され得る。基板60を経路227に沿って回転させることにより、基板は、処理チャンバ200を反時計回りに移動することになる。したがって、基板60は、第1の処理領域350aから第8の処理領域350hまでの、その間の処理領域を含むすべての処理領域に曝露されることになる。
[0064]本開示のいくつかの実施形態は、複数の処理領域350a~350hを有する処理チャンバ200であって、各処理領域が隣接する領域からガスカーテン250によって分離されている処理チャンバ200を対象としている。例えば、図6に示す処理チャンバである。処理チャンバ内のガスカーテンと処理領域の数は、ガス流の配置に応じて、任意の適切な数であり得る。図6に示す実施形態は、8つのガスカーテン250、及び8つの処理領域350a~350hを有する。
[0065]再び図1を参照すると、処理プラットフォーム100は、中央移送ステーション110の第2の側面112に接続された処理チャンバ140を含む。いくつかの実施形態の処理チャンバ140は、第1のバッチ処理チャンバ120におけるプロセスの前及び/又は後にウエハを処理するプロセスにウエハを曝露するように構成される。いくつかの実施形態の処理チャンバ140は、アニーリングチャンバを含む。アニーリングチャンバは、炉アニーリングチャンバ若しくは急速熱アニーリングチャンバ、又は所定の温度及び圧力でウエハを保持し、チャンバにガス流を供給するように構成された別のチャンバであり得る。
[0066]いくつかの実施形態では、処理プラットフォームは、中央移送ステーション110の第3の側面113に接続された第2のバッチ処理チャンバ130をさらに備えている。第2のバッチ処理チャンバ130は、第1のバッチ処理チャンバ120と同様に構成することができ、又は異なるプロセスを実行するように若しくは異なる数の基板を処理するように構成することができる。
[0067]第2のバッチ処理チャンバ130は、第1のバッチ処理チャンバ120と同じであってもよいし、又は異なっていてもよい。いくつかの実施形態では、第1のバッチ処理チャンバ120と第2のバッチ処理チャンバ130は、x(第1バッチ処理チャンバ120のウエハの数)とy(第2のバッチ処理チャンバ130のウエハの数)が同じとなり、(第2のバッチ処理チャンバ130の)第1のバッチ時間と第2のバッチ時間とが同じになるように、同じバッチ時間で同じ数のウエハで同じ処理を行うように構成されている。いくつかの実施形態では、第1のバッチ処理チャンバ120及び第2のバッチ処理チャンバ130は、異なる数のウエハ(xはyに等しくない)、異なるバッチ時間、又はその両方のうちの1つ又は複数を有するように構成されている。
[0068]図1に示す実施形態では、処理プラットフォーム100は、中央移送ステーション110の第4の側面114に接続された第2の処理チャンバ150を含む。第2のバッチ処理チャンバ150は、処理チャンバ140と同じであってもよいし、又は異なっていてもよい。
[0069]処理プラットフォーム100は、ロボット117に接続されたコントローラ195(接続は図示せず)を含み得る。コントローラ195は、ロボット117の第1のアーム118を用いて、処理チャンバ140と第1のバッチ処理チャンバ120との間でウエハを移動させるように構成され得る。いくつかの実施形態では、コントローラ195は、ロボット117の第2のアーム119を用いて、第2の処理チャンバ150と第2のバッチ処理チャンバ130との間でウエハを移動させるようにさらに構成される。
[0070]いくつかの実施形態では、コントローラ195は、処理チャンバ200のサセプタアセンブリ240及びガス分配アセンブリ220に接続される。コントローラ195は、サセプタアセンブリ240を中心軸の周りで回転17させるように構成され得る。コントローラは、ガスポート225、235、245、255内のガス流を制御するようにさらに構成され得る。いくつかの実施形態では、第1の反応性ガスポート225は、ルテニウム前駆体の流れを供給する。いくつかの実施形態では、第2の反応性ガスポート235は、反応物質の流れを供給する。いくつかの実施形態では、他のガスポート(標示なし)は、プラズマの流れを供給し得る。第1の反応性ガスポート225、第2の反応性ガスポート235、及び他の反応性ガスポート(標示なし)は、任意の処理順序で配置することができる。
[0071]処理プラットフォーム100は、中央移送ステーション110の第5の側面115に接続された第1のバッファステーション151、及び/又は中央移送ステーション110の第6の側面116に接続された第2のバッファステーション152を含み得る。第1のバッファステーション151及び第2のバッファステーション152は、同じ又は異なる機能を実行することができる。例えば、バッファステーションは、ウエハのカセットを保持し得る。このウエハのカセットは、処理されて元のカセットに戻される。又は、第1のバッファステーション151は、未処理のウエハを保持し得る。このウエハは、処理された後に第2のバッファステーション152に移動する。いくつかの実施形態では、バッファステーションのうちの1つ又は複数は、処理の前及び/又は後にウエハを前処理、予加熱、又は洗浄するように構成されている。
[0072]いくつかの実施形態では、コントローラ195は、ロボット117の第1のアーム118を用いて、第1のバッファステーション151と、処理チャンバ140及び第1のバッチ処理チャンバ120のうちの1つ又は複数との間でウエハを移動させるように構成されている。いくつかの実施形態では、コントローラ195は、ロボット117の第2のアーム119を用いて、第2のバッファステーション152と、第2の処理チャンバ150又は第2のバッチ処理チャンバ130のうちの1つ又は複数との間でウエハを移動させるように構成されている。
[0073]処理プラットフォーム100は、中央移送ステーション110と任意の処理チャンバとの間に1つ又は複数のスリット弁160をさらに含み得る。図示の実施形態では、処理チャンバ120、130、140、150のそれぞれと中央移送ステーション110との間にスリット弁160が存在する。スリット弁160は、開閉して、中央移送ステーション110内の環境から処理チャンバ内の環境を隔離することができる。例えば、処理チャンバが処理中にプラズマを生成する場合、浮遊プラズマが移送ステーション内のロボットを損傷することを防止するために、その処理チャンバのスリット弁を閉じることが役立つ場合がある。
[0074]いくつかの実施形態では、処理チャンバは、中央移送ステーション110から容易に取り外すことができない。処理チャンバのいずれかに対してメンテナンスを行うことを可能にするために、各処理チャンバは、処理チャンバの側面に複数のアクセスドア170をさらに備え得る。アクセスドア170は、中央移送ステーション110から処理チャンバを取り外すことなく、処理チャンバへの手動アクセスを可能にする。図示の実施形態では、各処理チャンバの各側面は、移送ステーションに接続された側面を除いて、アクセスドア170を有する。このようにあまりにも多くのアクセスドア170を含むと、チャンバ内のハードウェアを、ドアを介してアクセス可能であるように構成しなければならないので、使用される処理チャンバの構成を複雑にしてしまう恐れがある。
[0075]いくつかの実施形態の処理プラットフォームは、中央移送ステーション110に接続されたウォーターボックス180を含む。ウォーターボックス180は、処理チャンバのいずれか又は全てに冷却剤を供給するように構成され得る。ここでは「ウォーター」ボックスと呼ばれるが、当業者であれば、任意の冷却剤を使用できることを理解するであろう。
[0076]いくつかの実施形態では、処理プラットフォーム100の大きさにより、単一の電力コネクタ190を介して、接続が電力を収容することが可能になる。単一の電力コネクタ190は、処理プラットフォーム100に取り付けられ、処理チャンバ及び中央移送ステーション110のそれぞれに電力を供給する。
[0077]処理プラットフォーム100をファクトリインターフェース102に接続することができ、それにより、処理プラットフォーム100にウエハ又はウエハのカセットをロードすることが可能になる。ファクトリインターフェース102内のロボット103は、ウエハ又はカセットをバッファステーション151、152に出入りするように移動させることができる。中央移送ステーション110内のロボット117によって、ウエハ又はカセットを処理プラットフォーム100内で移動させることができる。いくつかの実施形態では、ファクトリインターフェース102は、別のクラスタツールの移送ステーションである。
[0078]いくつかの実施形態では、処理プラットフォーム100又は第1のバッチ処理チャンバ120は、コントローラに接続される。コントローラは、同じコントローラ195であってもよく、又は異なるコントローラであってもよい。コントローラは、第1のバッチ処理チャンバ120のサセプタアセンブリ及びガス分配アセンブリに連結可能であり、1つ又は複数の構成を有する。この構成には、サセプタアセンブリを中心軸の周りで回転させる第1の構成、ルテニウム前駆体の流れをプロセス領域に供給する第2の構成、反応物質の流れを処理領域に供給する第3の構成、プラズマを処理領域内に供給する第4の構成が含まれ得るが、これらに限定されるわけではない。
[0079]図7A及び図7Bを参照すると、本開示の1つ又は複数の実施形態は、少なくとも1つのフィーチャを備えた基板上にカプセル化スタックを形成するための方法に関する。基板の少なくとも1つのフィーチャは、当技術分野で知られている様々なパターニング及びエッチングプロセスによって形成することができる。図7Aは、基板フィーチャの表面上に保護層を形成するための例示的なプロセスを示している。図7Bは、保護層の表面上にカプセル化層を形成するための例示的なプロセスを示している。保護層とカプセル化層であり、合わせてカプセル化スタックと呼ばれる。
[0080]図8は、その上/その中に形成された複数のフィーチャ820a、820bを備えた例示的な基板810を示している。図8に示される基板810は、正のフィーチャとも呼ばれる基板810から延びるフィーチャ820aと、負のフィーチャとも呼ばれる基板810に埋め込まれるフィーチャ820bとを備える。本開示で使用される場合、「フィーチャ」という用語及び参照符号820は、正のフィーチャ及び負のフィーチャの両方の一般的な用語として使用される。
[0081]図8に示されている基板とフィーチャとは同じ材料で構成されているように示されているが、図8から材料組成を推測することはできない。フィーチャ820は、露出面825を有する。フィーチャ820a、820bの表面825は、少なくとも1つの側壁826及び上部828a又は下部828bを含む。
[0082]当業者は、図8に示されるように、実際には、基板810が、互いに近くに位置決めされた複数の正のフィーチャ820aを含み得ることを認識するであろう。したがって、2つの正のフィーチャ820aの間の空間(間隙又はトレンチとも呼ばれる)は、負のフィーチャ820bを創出することができる。
[0083]フィーチャ820は、高さH及び幅Wを有する。いくつかの実施形態のフィーチャ820は、より短い末端壁(図示せず)によって接続された細長い側壁を備えた長方形のプリズム形状の物体である。いくつかの実施形態では、フィーチャ820は、1つの丸い側壁と、上部若しくは下部を備えた円筒形スタック(正)又はビア(負)である。いくつかの実施形態では、フィーチャ820は、約5以上、約7以上、又は約10以上のアスペクト比を有する。これに関して使用されるように、フィーチャのアスペクト比は、高さHを幅Wで割ったものとして定義される。
[0084]単純化且つ理解の容易さのために、図9A及び図9Bは、1つ又は複数の実施形態による処理の前後に単一の正のフィーチャを備えた例示的な基板910を示している。図9Aに示されるように、いくつかの実施形態では、フィーチャは、異なる材料920、930、940を含む。図9Aには3つの材料が示されているが、そのフィーチャは、より多くの材料又はより少ない材料を含み得る。いくつかの実施形態では、第1の材料920は、基板910の材料と同じ又は異なっていてもよく、第1の材料920はフィーチャを形成する。いくつかの実施形態では、第2の材料930は、第1の材料920上に共形的に堆積される。いくつかの実施形態では、第2の材料930は、第1の材料920上の酸化物ライナーである。いくつかの実施形態では、図9Aに示されるように、第3の材料940は第2の材料930の上面上に堆積される。図9Aに示される材料層の配置は、例示を意図するものであり、限定することを意図するものではない。
[0085]いくつかの実施形態では、第3の材料940は、空気又は水分に敏感である。いくつかの実施形態では、第3の材料は酸素に敏感である。いくつかの実施形態では、第3の材料940は水に敏感である。これに関して使用されるように、環境又は種への曝露が材料の特性を変える場合、材料は環境又は環境内の種に「敏感」である。変化した材料の特性は、物理的変化(結晶化度、揮発性など)又は化学的変化(酸化状態、汚染など)の結果として変化し得る。
[0086]いくつかの実施形態では、第1の材料920はケイ素を含み、第2の材料930は酸化ケイ素を含み、第3の材料940はカルコゲン材料である。これに関して使用される場合、「カルコゲン材料」は、カルコゲンを含む任意の材料である。例示的なカルコゲンには、硫黄、セレン、テルル、及びポロニウムが含まれるが、これらに限定されない。いくつかの実施形態では、カルコゲン材料は、カルコゲンと、周期表の第14族又は第15族からの元素を含む。いくつかの実施形態では、第3の材料940は、AsS、GeS、GeSbTe、又はGeSiAsteのうちの1つ又は複数を含む。
[0087]第3の材料940は空気及び水分に敏感である可能性があるため、本開示のいくつかの実施形態は、図9Bに示されるように、第3の材料940の露出面を覆って保護するためのカプセル化スタック950を形成する方法を提供する。図9Bは単一の層を示しているが、カプセル化スタック950は、異なる方法によって堆積された複数の層を含む。いくつかの実施形態では、カプセル化スタック950は、少なくとも第3の材料940を覆って保護する。いくつかの実施形態では、カプセル化スタック950は、第3の材料940及び第2の材料930にわたって連続している。いくつかの実施形態では、カプセル化スタックは、表面の組成に関係なく、フィーチャの表面上で連続している。
[0088]いくつかの実施形態では、カプセル化スタックは気密である。これに関して使用されるように、「気密」な層は、空気又は水への曝露による酸化に対して耐性である。いくつかの実施形態では、カプセル化スタックはSiNを含み、SiONの形成に抵抗する。
[0089]カプセル化スタック950は、カプセル化スタック950の気密性を試験するために、酸化試験条件に曝され得る。酸化試験条件は、スタック表面上への酸化ケイ素のプラズマ強化原子層堆積(BDEAS及び50WのO/Arプラズマを使用した60Å)、低電力(例:50W)のO/Arプラズマへの曝露、又は高温(例えば、400℃)での長時間(例えば2時間)の蒸気への曝露を含み得る。試験方法に関係なく、膜内の酸素原子の深さは、膜の気密性の指標を提供する(つまり、酸化の深さが浅いほど、気密性がより良好であるか又はより高いことを示す。)。いくつかの実施形態では、カプセル化スタック950は、酸化試験条件下で約5Å以下の酸化、酸化試験条件下で約4Å以下の酸化、酸化試験条件下で約3Å以下の酸化、酸化試験条件下で約2Å以下の酸化を示す。
[0090]図10に示されるように、いくつかの実施形態では、フィーチャは、基板1010上の材料1030~1090のスタック1020を含む。したがって、スタック1020の表面は、複数の材料表面を含む。この場合も、図10に示されるフィーチャにおける材料の組成、数、及び配置は、本開示の範囲を限定することを意図するものではない。
[0091]いくつかの実施形態では、スタック1020は、下から上に、導体1030、底部電極1040、OTS材料1050、中間電極1060、GST1070、上部電極108、及びハードマスク1090を含む。いくつかの実施形態では、導体1030は、タングステンを含むか、又は本質的にタングステンからなる。いくつかの実施形態では、上部電極1040、中間電極1060、及び上部電極108のうちの少なくとも1つは、炭素を含むか、又は本質的に炭素からなる。いくつかの実施形態では、OTS材料1050は、ゲルマニウム、ケイ素、ヒ素、又はテルルのうちの1つ又は複数を含む。いくつかの実施形態では、GST1070は、ゲルマニウム、アンチモン、及びテルルを含む。いくつかの実施形態では、スタック1020はPCRAMスタックである。
[0092]図7A及び図7Bを再度参照すると、方法700は、概して、基板810を提供することによって702で始まる。このように使用される場合、「提供される」とは、基板810が所定の位置又は処理に適した環境に配置されることを意味する。基板810は、表面825を備えた少なくとも1つのフィーチャ820を有する。
[0093]単純化且つ理解の容易さのために、以下の開示において、図8に示される基板を説明する際に使用される参照符号を参照する。方法700は任意の適切な基板を使用して実行できるため、この使用法を制限することを意図するものではない。
[0094]704において、保護層が表面825上に形成される。いくつかの実施形態では、保護層は共形である。いくつかの実施形態では、保護層は誘電体材料を含む。保護層は、基板を第1の反応物及び第2の反応物に順次曝すことによって形成される。いくつかの実施形態では、曝露は、原子層堆積(ALD)などの周期的堆積プロセスで繰り返される。
[0095]704で保護層を形成するプロセスは、基板を、第1の反応物を含む第1の反応性ガスに曝すことによって開始することができる。第1の反応性ガスは、706に示されるように、第1の期間基板に曝される。706で、第1の反応物は表面825に吸着する。
[0096]第1の反応物は、保護層を形成するための任意の適切な反応物であり得る。いくつかの実施形態では、第1の反応物はケイ素前駆体を含む。適切なケイ素前駆体は、Xがハロゲン様基であるSiX;トリシリルアミン(例えば、N(SiH;又はSiR(式中、Rは、有機基又はHであり、a+b=4である。)を含むが、これらに限定されない。ハロゲン様基には、-Cl、-Br、-I、-CN、-CP、-OCN、-NCO、-SCN、-SeCN、及び-Nが含まれるが、これらに限定されない。理論に拘束されることなく、ケイ素前駆体のSi-X結合は反応性であるため、Xは窒素前駆体からの窒素で置き換えることができる。いくつかの実施形態では、第1の反応物はハロゲン原子を含まない。いくつかの実施形態では、第1の反応物は、SiCl、SiBr、SiI、SiHCl又はSiHを含むか、又は本質的にそれらからなる。
[0097]いくつかの実施形態において、第1の反応物は金属前駆体を含む。いくつかの実施形態では、第1の反応物はアルミニウム前駆体を含む。適切なアルミニウム前駆体には、アルミニウム及びアルキル基、アルキルアミノ基及び/又はアルコキシ基を含むアルミニウム錯体が含まれるが、これらに限定されない。適切なアルミニウム前駆体の例には、Al(Me)、Al(Et)、Al(iBu)、Al(tBu)、Al(N(Me)などが含まれる。
[0098]次に、708では、(特に時間領域ALDにおいて)処理チャンバは、不活性ガスを使用してパージされてもよい。不活性ガスは、任意の不活性ガス(例えば、アルゴン、ヘリウム、ネオンなど)であってもよい。いくつかの実施形態では、不活性ガスは、同じであり得るか、あるいは、706での第1の反応物への基板の曝露中にプロセスチャンバに提供される不活性ガスとは異なり得る。不活性ガスが同じである実施形態では、パージは、第1の反応性ガスをプロセスチャンバから偏向させることによって実施することができ、不活性ガスがプロセスチャンバを通って流れることを可能にし、プロセスチャンバから過剰な第1のプロセスガス成分又は反応副生成物をパージすることができる。いくつかの実施形態では、不活性ガスは、上述の第1の反応性ガスに関連して使用される流量と同じ流量で供給されてもよく、又はいくつかの実施形態では、流量を増加又は減少させてもよい。例えば、いくつかの実施形態では、不活性ガスは、処理チャンバをパージするために約0~約10,000sccmの流量で処理チャンバに供給され得る。
[0099]空間ALDでは、パージガスカーテンが反応性ガスの流れの間に維持することができ、プロセスチャンバをパージする必要がない場合がある。空間的ALD処理のいくつかの実施形態では、処理チャンバ又は処理チャンバ領域は、不活性ガスでパージされ得る。
[00100]不活性ガスの流れは、処理チャンバからの任意の過剰な第1の処理ガス成分及び/又は過剰な反応副産物の除去を促進させ、第1及び第2の処理ガスの望ましくない気相反応を防止することができる。例えば、不活性ガスの流れは、プロセスチャンバから過剰のハロゲン化ケイ素前駆体を除去し、ハロゲン化ケイ素前駆体とその後の反応性ガスとの間の気相反応を防止し得る。
[00101]704で保護層を形成するプロセスは、基板を第2の反応物を含む第2の反応性ガスに曝すことによって継続する。第2の反応性ガスは、710に示されるように、第2の期間、基板に曝される。第2の反応物は、基板表面に吸着された第1の反応物と反応して、保護層を形成する。
[00102]いくつかの実施形態において、第2の反応物は、窒素前駆体を含む。いくつかの実施形態において、窒素前駆体は、窒素ガス(N)、アンモニア(NH)、ヒドラジン(N)若しくはそれらの誘導体(例えば、アルキルアミン、アルキルヒドラジン)のうちの1つ又は複数を含むか、又は本質的にそれらからなる。
[00103]いくつかの実施形態では、保護層は窒化ケイ素を含む。いくつかの実施形態では、保護層はアモルファスシリコンを含む。いくつかの実施形態では、保護層は窒化アルミニウムを含む。
[00104]いくつかの実施形態において、第2の反応物は酸素前駆体を含む。いくつかの実施形態では、保護層は金属酸化物を含む。いくつかの実施形態では、保護層は酸化アルミニウムを含む。いくつかの実施形態において、酸素前駆体は、アルコールを含むか又は本質的にアルコールからなる。例示的なアルコールには、エタノール、イソプロパノール、及びt-ブタノールが含まれるが、これらに限定されない。いくつかの実施形態では、酸素前駆体は実質的に水を含まない。理論に拘束されない限り、水にさらされると、フィーチャ表面の潜在的に敏感な材料が損傷します。したがって、いくつかの実施形態の酸化アルミニウム層は、水ではなく少量のアルコールを利用する「乾燥」プロセスによって形成される。
[00105]いくつかの実施形態では、保護層を形成するプロセスは、実質的にプラズマを含まない。別の言い方をすれば、いくつかの実施形態では、保護層は、熱ALDプロセスによって形成される。
[00106]いくつかの実施形態では、保護層を形成するプロセスは、基板を第1のプラズマに曝すことを含む。いくつかの実施形態では、第1のプラズマは第2の反応物のプラズマである。これらの実施形態では、710で、基板は、第1のプラズマを含む第2のプロセスガスに曝される。いくつかの実施形態では、第2のプロセスガスは、アンモニアガスから生成されたプラズマを含む。
[00107]いくつかの実施形態では、704で保護層を形成するプロセスは、714での個別のプラズマ曝露を含む。いくつかの実施形態では、714でのプラズマ曝露は、712及び716で不活性ガスでパージすることによって他のプロセスから分離される。例えば、いくつかの実施形態では、第2のプロセスガスはアンモニアを含み、第1のプラズマは、アルゴン/窒素ガス混合物から生成されたプラズマを含む。
[00108]いくつかの実施形態では、第1のプラズマは、1つ又は複数の窒素ガス、アルゴンガス、ヘリウム、アンモニア、又は上記で特定された窒素含有第2の反応物の1つを含む第1のプラズマガスから生成される。いくつかの実施形態では、第1のプラズマは、アルゴンガスと窒素ガスの混合物から生成される。アルゴンガスと窒素ガスの比率を調整して、後で保護材の堆積速度と、形成された保護層の特性に影響を与えることができる。いくつかの実施形態では、アルゴン:窒素の比は、約1:100~約100:1の範囲にある。いくつかの実施形態では、アルゴン:窒素の比は、約1:1以上、約2:1以上、約4:1以上、又は約9:以上である。
[00109]第1のプラズマは、遠隔で又は処理チャンバ内で生成され得る。第1のプラズマは、マイクロ波プラズマ、誘導結合プラズマ(ICP)、又は導電的結プラズマ(conductively coupled plasma、CCP)であり得る。例えば、反応物又は他のプロセス条件に応じて、任意の適切な電力を使用することができる。いくつかの実施形態では、第1のプラズマは、約10W~約200Wの範囲のプラズマ電力で生成される。いくつかの実施形態では、第1のプラズマは、約10W~約1700Wの範囲のプラズマ電力で生成されるマイクロ波プラズマである。いくつかの実施形態では、第1のプラズマは、約200W以下、約150W以下、約100W以下、約50W以下、又は約35W以下のプラズマ電力で生成される。
[00110]いくつかの実施形態では、保護層が704で形成されている間、基板の温度が維持される。いくつかの実施形態では、基板は、約200℃~約400℃、約200℃~約300℃、約200℃~約280℃、又は約200℃~約250℃の範囲の温度に維持される。いくつかの実施形態では、基板は、約400℃以下、約300℃以下、約280℃以下、又は約250℃以下の温度に維持される。いくつかの実施形態では、基板は約250℃の温度に維持される。
[00111]次に、712では、処理チャンバは、不活性ガスを使用してパージされ得る。不活性ガスは、任意の不活性ガス(例えば、アルゴン、ヘリウム、ネオンなど)であってよい。いくつかの実施形態において、不活性ガスは、以前のプロセスルーチンの間にプロセスチャンバに提供された不活性ガスと同じであり得るか、あるいはまた、該ガスとは異なり得る。不活性ガスが同一である実施形態では、第2の処理ガスを処理チャンバから偏向させ、不活性ガスが処理チャンバを通って流れることを可能にすることによってパージが実行され得る。それにより、処理チャンバから任意の過剰な第2の処理ガス成分又は反応副産物をパージする。いくつかの実施形態では、不活性ガスは、上述の第2の処理ガスに関連して使用される流量と同じ流量で供給されてもよく、又はいくつかの実施形態では、流量を増加又は減少させてもよい。例えば、いくつかの実施形態では、不活性ガスは、処理チャンバをパージするために0より大きな流量から約10,000sccmの流量で処理チャンバに供給され得る。
[00112]保護層を堆積するための様々なプロセスパラメータを変えることができる。いくつかの実施形態では、基板は、第1の期間、第1の反応物に曝され、基板は、第2の、異なる期間、第2の反応物に曝される。
[00113]基板表面が各プロセスガス及び/又はプラズマに曝される圧力は、例えば、選択された反応物及び他のプロセス条件(例えば、温度)に応じて変化させることができる。いくつかの実施形態では、前駆体のそれぞれへの曝露は、約5Torr~約100Torrの範囲の圧力で起こる。1つ又は複数の実施形態では、各前駆体への曝露は、約5Torr~約100Torrの範囲、又は約10Torr~約80Torrの範囲、又は約15Torr~約50Torrの範囲の圧力で起こる。いくつかの実施形態では、各前駆体への曝露は、約5トル以上、又は約10Torr以上、約15Torr以上、又は約20Torr以上の圧力で起こる。
[00114]先に述べたように、いくつかの実施形態では、保護層は、基板表面に実質的に共形である。これに関して使用される場合、「共形」という用語は、層の厚さが基板表面全体で均一であることを意味する。本明細書及び添付の特許請求の範囲で使用される場合、「実質的に共形」という用語は、層の平均厚さに対して約40%、30%、20%、10%、5%、2%、1%、又は0.5%以上層の厚さが変化しないことを意味する。別の言い方をすれば、実質的に共形である層は、約60%、70%、80%、90%、95%、98%、99%、又は99.5%を超える共形を有する。
[00115]次に、718で、保護層が所定の厚さに形成されているかどうかが決定される。所定の厚さが達成されていない場合、方法700は704に戻り、所定の厚さに達するまで保護層を形成し続ける。所定の厚さに達すると、方法700はさらなる処理のために720に進む。いくつかの実施形態では、保護層は、約5~約50Å、約10~約50Å、又はいくつかの実施形態では、約20~約30Åの範囲の厚さで堆積され得る。いくつかの実施形態では、保護層は、約5Å、約10Å、約15Å、約20Å、約25Å、約30Å、又は約35Åの厚さを有する。
[00116]理論に拘束されることなく、本発明者らは、保護層の成長速度を最大化することにより、下にある基板への損傷を最小化できることを見出した。保護層の成長速度は、サイクルあたりの成長(GPC)の観点から評価でき、その際、各ALDサイクルは平均的な厚さを堆積する。保護層の成長速度は、1分当たりの成長(GPM)の観点から評価することもでき、その際、総成長は総処理時間で除算される。
[00117]いくつかの実施形態では、成長速度は、約0.20Å/サイクル以上、約0.25Å/サイクル以上、約0.3Å/サイクル以上、約0.4Å/サイクル以上、又は約0.5Å/サイクル以上、又は約1.0Å/サイクル以上、約1.5Å/サイクル以上である。
[00118]いくつかの実施形態では、上記のような空間ALD装置が利用される。いくつかの実施形態では、各完全回転は2つのALDサイクルに対応する。したがって、1分当たりの回転数(RPM)もわかっている場合は、成長率をGPMで表すこともできる。
いくつかの実施形態では、RPMは、約1RPM~約50RPM、約1RPM~約20RPM、約1RPM~約10RPM、又は約1RPM~約5RPMの範囲にある。いくつかの実施形態では、RPMは、約2RPM、約3RPM、約5RPM、約10RPM又は約20RPMである。
いくつかの実施形態では、成長速度は、約1Å/分以上、約2Å/分以上、約55Å/分以上、約10Å/分以上、約12Å/分以上、約15Å/分以上、約18Å/分以上、又は約20Å/分以上である。いくつかの実施形態では、成長速度は約20Å/分である。
[00121]本明細書の他の場所で論じられているように、開示されたプロセスはまた、下にある基板材料への最小限の損傷しか与えない。いくつかの実施形態では、保護層を形成するプロセスは、基板表面を損傷しない。いくつかの実施形態では、カプセル化スタックを形成するプロセスは、基板表面を損傷しない。別の言い方をすれば、開示されたプロセスは、実質的な損傷を受けていないスタックを残す。
[00122]窒素プラズマを含むPEALDプロセスによって引き起こされる損傷を評価する1つの方法は、下にある基板材料の窒化の深さを測定することによるものである。いくつかの実施形態では、ケイ素基板上に堆積された場合、窒化の深さは、約12Å以下、約10Å以下、又は約8Å以下である。
[00123]本明細書の他の場所で論じられるように、開示されたプロセスはまた、下にある基板材料への良好な接着を提供する。いくつかの実施形態では、保護層は、基板及び/又はフィーチャの表面に実質的に付着する。いくつかの実施形態では、カプセル化層(以下に記載)は、保護層に実質的に付着する。この説明で使用されるように、下にある層に「実質的に付着する」層は、約95%、98%、又は99%以上の合格率でテープ試験(上記)に合格する。いくつかの実施形態では、下にある層に実質的に付着する層は、約100%の合格率を有する。
[00124]理論に拘束されることなく、本発明者らは、炭素が下層として存在する場合、接着が特に困難であることを発見した。したがって、いくつかの実施形態では、フィーチャの表面は炭素材料表面を含み、保護層は実質的に炭素材料表面に付着する。
[00125]図7Bを参照すると、方法700は、保護層を含む基板を更に処理することによって720で継続する。720で、保護層を備えた基板が提供される。基板は、図7Aを参照して上記の方法700に従って処理されている。
[00126]730で、カプセル化層が基板の表面上に形成される。いくつかの実施形態では、カプセル化層は共形である。いくつかの実施形態では、カプセル化層は誘電体材料を含む。カプセル化層は、基板を第3の反応物及び第4の反応物に順次曝すことによって形成される。いくつかの実施形態では、曝露は、原子層堆積(ALD)などの周期的堆積プロセスで繰り返される。
[00127]730でカプセル化層を形成するプロセスは、基板を、第3の反応物を含む第3の反応性ガスに曝すことによって開始することができる。第3の反応性ガスは、732に示されるように、第3の期間、基板に曝される。732で、第3の反応物が保護層の表面に吸着する。
[00128]第3の反応物は、カプセル化層を形成するための任意の適切な反応物であり得る。いくつかの実施形態において、第3の反応物は、第1の反応物と同じである。いくつかの実施形態において、第3の反応物は、第1の反応物とは異なる。
[00129]いくつかの実施形態では、第3の反応物はケイ素前駆体を含む。適切なケイ素前駆体は、Xがハロゲン様基であるSiX;トリシリルアミン(例えば、N(SiH;又はSiR(式中、Rは、有機基であり、a+b=4である。)を含むが、これらに限定されない。ハロゲン様基には、-Cl、-Br、-I、-CN、-CP、-OCN、-NCO、-SCN、-SeCN、及び-Nが含まれるが、これらに限定されない。理論に拘束されることなく、ケイ素前駆体のSi-X結合は反応性であるため、Xは窒素前駆体からの窒素で置き換えることができる。いくつかの実施形態では、第3の反応物はハロゲン原子を含まない。
[00130]次に、734では、(特に時間領域ALDにおいて)処理チャンバは、不活性ガスを使用してパージされてもよい。不活性ガスは、任意の不活性ガス(例えば、アルゴン、ヘリウム、ネオンなど)であってよい。734でのパージプロセスは、本明細書の他の場所で説明されているパージプロセスと同様である。空間ALDでは、反応性ガスの流れの間にパージガスカーテンを維持することができ、プロセスチャンバをパージする必要がない場合がある。空間ALDプロセスのいくつかの実施形態では、734において、プロセスチャンバ又はプロセスチャンバの領域は、不活性ガスでパージされ得る。
[00131]730でカプセル化層を形成するプロセスは、基板を第4の反応物を含む第4の反応性ガスに曝すことによって継続する。第4の反応性ガスは、736に示されるように、第4の期間、基板に曝される。第4の反応物は、保護層の表面に吸着された第3の反応物と反応して、カプセル化層を形成する。
[00132]いくつかの実施形態において、第4の反応物は、窒素前駆体を含む。いくつかの実施形態において、窒素前駆体は、窒素ガス(N)、アンモニア(NH)、ヒドラジン(N)又はそれらの誘導体(例えば、アルキルアミン、アルキルヒドラジンなど)のうちの1つ又は複数を含むか、あるいは本質的にそれらからなる。
[00133]いくつかの実施形態では、カプセル化層は窒化ケイ素を含む。いくつかの実施形態では、カプセル化層は窒化アルミニウムを含む。
[00134]保護層を形成するプロセスは、基板を第2のプラズマに曝すことを含む。いくつかの実施形態では、第2のプラズマは、第4の反応物のプラズマである。これらの実施形態では、736で、基板は、第2のプラズマを含む第4のプロセスガスに曝される。いくつかの実施形態では、第4のプロセスガスは、アンモニアガスから生成されたプラズマを含む。
[00135]いくつかの実施形態では、730でカプセル化層を形成するプロセスは、740での別個のプラズマ曝露を含む。いくつかの実施形態では、740でのプラズマ曝露は、738及び742で不活性ガスでパージすることによって他のプロセスから分離される。例えば、いくつかの実施形態では、第4のプロセスガスはアンモニアを含み、第2のプラズマは、アルゴン/窒素ガス混合物から生成されたプラズマを含む。
[00136]いくつかの実施形態では、第2のプラズマは、1つ又は複数の窒素ガス、アルゴン、ヘリウム、アンモニア、又は上記で特定された窒素含有第4の反応物の1つを含む第2のプラズマガスから生成される。いくつかの実施形態では、第2のプラズマは、アルゴンガスと窒素ガスの混合物から生成される。アルゴンガスと窒素ガスの比率を調整して、後で保護材の堆積速度と、形成された保護層の特性に影響を与えることができる。いくつかの実施形態では、アルゴン:窒素の比は、約1:100~約100:1の範囲にある。いくつかの実施形態では、アルゴン:窒素の比は、約1:1以上、約2:1以上、約4:1以上、又は約9:以上である。
[00137]第2のプラズマは、遠隔で又は処理チャンバ内で生成され得る。第2のプラズマは、マイクロ波プラズマ、誘導結合プラズマ(ICP)、又は導電的結プラズマ(conductively coupled plasma、CCP)であり得る。例えば、反応物又は他のプロセス条件に応じて、任意の適切な電力を使用することができる。いくつかの実施形態では、第2のプラズマは、約10W~約200Wの範囲のプラズマ電力で生成される。いくつかの実施形態では、第2のプラズマは、約10W~約1700Wの範囲のプラズマ電力で生成されるマイクロ波プラズマである。いくつかの実施形態では、第2のプラズマは、約10W以下、約35W以下、約50W以下、約100W以下、又は約150W以下のプラズマ電力で生成される。
[00138]いくつかの実施形態では、カプセル化層が730で形成されている間、基板の温度が維持される。いくつかの実施形態では、基板は、約200℃~約400℃、約200℃~約300℃、約200℃~約280℃、又は約200℃~約250℃の範囲の温度に維持される。いくつかの実施形態では、基板は、約400℃以下、約300℃以下、約280℃以下、又は約250℃以下の温度に維持される。いくつかの実施形態では、基板は約250℃の温度に維持される。
[00139]次に、738では、処理チャンバは、不活性ガスを使用してパージされ得る。不活性ガスは、任意の不活性ガス(例えば、アルゴン、ヘリウム、ネオンなど)であってよい。いくつかの実施形態において、不活性ガスは、以前のプロセスルーチンの間にプロセスチャンバに提供された不活性ガスと同じであり得るか、あるいはまた、該ガスとは異なり得る。738でのパージプロセスは、本明細書の他の場所で説明されているパージプロセスと同様である。
[00140]保護層を堆積するための様々なプロセスパラメータを変えることができる。いくつかの実施形態では、基板は、第1の期間、第1の反応物に曝され、基板は、第2の、異なる期間、第2の反応物に曝される。いくつかの実施形態では、ケイ素前駆体は、基板が窒素前駆体に曝される期間の約2倍の期間、基板に曝される。いくつかの時間領域ALDの実施形態では、第1又は第2の期間は、約1秒~約120秒の範囲、又は約2秒~約60秒の範囲、又は約5秒~薬30秒の範囲であり得る。
[00141]基板表面が各プロセスガス及び/又はプラズマに曝される圧力は、例えば、選択された反応物及び他のプロセス条件(例えば、温度)に応じて変化させることができる。いくつかの実施形態では、前駆体のそれぞれへの曝露は、約0.1Torr~約100Torrの範囲の圧力で起こる。1つ又は複数の実施形態では、基板は、約0.1Torr~約100Torrの範囲、又は約1Torr~約50Torrの範囲、又は約2Torr~約30Torrの範囲の圧力で曝される。いくつかの実施形態では、基板は、約5Torr、約10Torr、約15Torr、又は約20Torrの圧力でプロセスガスに曝される。
[00142]カプセル化層を堆積するための様々なプロセスパラメータを変えることができる。いくつかの実施形態では、基板は、第3の期間、第3の反応物に曝され、基板は、第4の、異なる期間、第4の反応物に曝される。
[00143]基板表面が各プロセスガス及び/又はプラズマに曝される圧力は、例えば、選択された反応物及び他のプロセス条件(例えば、温度)に応じて変化させることができる。いくつかの実施形態では、前駆体のそれぞれへの曝露は、約5Torr~約100Torrの範囲の圧力で起こる。1つ又は複数の実施形態では、各前駆体への曝露は、約5Torr~約100Torrの範囲、又は約10Torr~約80Torrの範囲、又は約15Torr~約50Torrの範囲の圧力で起こる。いくつかの実施形態では、前駆体のそれぞれへの曝露は、約10Torr以上の圧力で起こる。いくつかの実施形態では、前駆体のそれぞれへの曝露は、約25Torr以下、約20Torr以下、約15Torr以下、約10Torr以下、又は約5Torr以下の圧力で起こる。
[00144]先に述べたように、いくつかの実施形態では、カプセル化層は、基板表面に実質的に共形である。これに関して使用される場合、「共形」という用語は、層の厚さが基板表面全体で均一であることを意味する。本明細書及び添付の特許請求の範囲で使用される場合、「実質的に共形」という用語は、層の平均厚さに対して約40%、30%、20%、10%、5%、2%、1%、又は0.5%以上層の厚さが変化しないことを意味する。別の言い方をすれば、実質的に共形である層は、約60%、70%、80%、90%、95%、98%、99%、又は99.5%を超える共形を有する。
[00145]次に、750で、カプセル化層が所定の厚さに形成されているかどうかが決定される。所定の厚さが達成されていない場合、方法700は730に戻り、所定の厚さに達するまでカプセル化層を形成し続ける。所定の厚さに達すると、方法700を終了するか、又は更なる処理のために760に進むことができる。いくつかの実施形態では、カプセル化層は、約8Å~約50Åの厚さ、又はいくつかの実施形態では、約10Å~約20Åの厚さに堆積され得る。いくつかの実施形態では、カプセル化層は、約8Å、約9Å、約10Å、約15Å、約20Å、又は約25Åの厚さを有する。いくつかの実施形態では、カプセル化層は、約50Å以下、約20Å以下、約10Å以下、又は約5Å以下の厚さを有する。いくつかの実施形態では、カプセル化層は、約5Å以上、約10Å以上、約20Å以上、又は約50Å以上の厚さを有する。
[00146]いくつかの実施形態では、カプセル化層は、基板表面に実質的に共形である。本明細書及び添付の特許請求の範囲で使用される場合、「実質的に共形」という用語は、層の平均厚さに対して約40%、30%、20%、10%、5%、2%、1%、又は0.5%以上層の厚さが変化しないことを意味する。別の言い方をすれば、実質的に共形である層は、約60%、70%、80%、90%、95%、98%、99%、又は99.5%を超える共形を有する。
[00147]カプセル化層は、高い湿式エッチング耐性(すなわち、低いエッチング速度)を有する。いくつかの実施形態では、1000:1のDHFにおけるカプセル化層の湿式エッチング速度は、約10Å/分以下、約8.5Å/分以下、約8Å/分以下、約7.5Å/分以下、約7Å/分以下、又は約6.5Å/分以下である。
[00148]この明細書全体を通じて、「一実施形態」、「特定の実施形態」、「一又は複数の実施形態」、又は「実施形態」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、この明細書全体の様々な箇所での「1つ又は複数の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」などの表現は、必ずしも、本開示の同一の実施形態に言及するものではない。さらに、特定の特徴、構造、材料、又は特性は、1つ又は複数の実施形態において任意の適切な方法で組み合わせることができる。
[00149]本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行いうることが、当業者には明らかになろう。このように、本開示は、添付の特許請求の範囲及びその等価物の範囲内である変更例及び変形例を含むことが意図されている。

Claims (20)

  1. カプセル化スタックを形成する方法であって:
    表面を備えた、アスペクト比を有する少なくとも1つのフィーチャを備えた基板を提供することと;
    前記基板を第1の反応物及び第2の反応物に順次曝すことにより、前記フィーチャの前記表面上に共形の誘電体の保護層を形成することと;
    前記基板を、ケイ素前駆体及び窒素前駆体及び第2のプラズマに順次曝すことにより、前記保護層上に共形の気密な、窒化ケイ素を含むカプセル化層を形成することと
    含む方法であって、
    前記基板は約400℃以下の温度に維持され、前記フィーチャの前記表面は前記方法によって実質的に損傷を受けない、方法。
  2. 前記フィーチャの前記表面が、プラズマ、化学的曝露又は熱によって容易に損傷を受ける材料を含む、請求項1に記載の方法。
  3. 前記フィーチャの前記表面がカルコゲン材料を含む、請求項2に記載の方法。
  4. 前記保護層が、前記フィーチャの前記表面に実質的に付着する、請求項1に記載の方法。
  5. 前記フィーチャの前記表面が炭素材料表面を含み、前記保護層が前記炭素材料表面に実質的に付着する、請求項1に記載の方法。
  6. 前記アスペクト比が約5:1以上である、請求項1に記載の方法。
  7. 前記保護層が、窒化ケイ素、アモルファスシリコン、窒化アルミニウム又は酸化アルミニウムのうちの1つ又は複数を含む誘電体を含む、請求項1に記載の方法。
  8. 前記保護層を形成することが、前記基板を、約200W以下の電力を有する第1のプラズマに曝すことを含む、請求項1に記載の方法。
  9. 前記基板の前記表面が、約10Å以下に窒化される、請求項8に記載の方法。
  10. 前記保護層の形成中、前記基板が約5Torr以上の圧力に維持される、請求項1に記載の方法。
  11. 前記保護層が約1Å/分以上の速度で形成される、請求項1に記載の方法。
  12. 前記第2のプラズマが約50W以上の電力を有する、請求項1に記載の方法。
  13. 前記カプセル化層の形成中、前記基板が約20Torr以下の圧力に維持される、請求項1に記載の方法。
  14. 前記カプセル化層が複数の曝露サイクルによって形成され、前記サイクルが約20サイクル/分以下の速度で繰り返される、請求項1に記載の方法。
  15. 前記カプセル化層が、100:1のDHFにおいて約8.5Å/分以下の湿式エッチング速度を有する、請求項1に記載の方法。
  16. 前記カプセル化層が約10Å以上の厚さを有する、請求項1に記載の方法。
  17. 前記カプセル化層の酸化が、酸化試験条件下で約3Å以下である、請求項1に記載の方法。
  18. カプセル化スタックを形成する方法であって:
    表面を備えた、約5:1以上のアスペクト比を有する少なくとも1つのフィーチャを基板に提供することと;
    前記基板を第1の反応物及び第2の反応物に順次曝すことにより、前記フィーチャの前記表面上に共形の誘電体の保護層を形成することであって、前記基板は約5Torr以上の圧力に維持され、前記保護層は約1Å/分以上の速度で形成される、保護層を形成することと;
    前記基板をケイ素前駆体及び窒素前駆体及び第2のプラズマに順次曝すことにより、前記保護層上に共形の気密な、窒化ケイ素を含むカプセル化層を形成することであって、前記第2のプラズマは約50W以上の電力を有し、前記基板は約20Torr以下の圧力に維持される、カプセル化層を形成することと
    を含む方法であって、
    前記基板は約400℃以下の温度に維持され、前記フィーチャの前記表面は前記方法によって実質的に損傷を受けない、方法。
  19. 前記保護層を形成することが、前記基板を、約200W以下の電力を有する第1のプラズマに曝すことを含む、請求項18に記載の方法。
  20. カプセル化スタックを形成する方法であって:
    表面を備えた、アスペクト比を有する少なくとも1つのフィーチャを備えた基板を提供することと;
    前記基板を第1の反応物及び第2の反応物に順次曝すことにより、前記フィーチャの前記表面上に共形の誘電体の保護層を形成することであって、前記保護層は、窒化ケイ素、アモルファスシリコン、窒化アルミニウム又は酸化アルミニウムのうちの1つ又は複数を含む誘電体を含む、保護層を形成することと;
    前記基板をケイ素前駆体及び窒素前駆体及び第2のプラズマに順次曝すことにより、前記保護層上に共形の気密な、窒化ケイ素を含み、100:1のDHFにおいて約8.5Å/分以下の湿式エッチング速度を有する、カプセル化層を形成することであって、前記カプセル化層の厚さは約10Å以上である、カプセル化層を形成することと
    を含む方法であって、
    前記基板は約400℃以下の温度に維持され、前記フィーチャの前記表面は前記方法によって実質的に損傷を受けない、方法。
JP2022505442A 2019-07-29 2020-07-29 原子層堆積による多層カプセル化スタック Active JP7354408B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962879680P 2019-07-29 2019-07-29
US62/879,680 2019-07-29
PCT/US2020/043965 WO2021021863A1 (en) 2019-07-29 2020-07-29 Multilayer encapsulation stacks by atomic layer deposition

Publications (2)

Publication Number Publication Date
JP2022544038A true JP2022544038A (ja) 2022-10-17
JP7354408B2 JP7354408B2 (ja) 2023-10-02

Family

ID=74229308

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022505442A Active JP7354408B2 (ja) 2019-07-29 2020-07-29 原子層堆積による多層カプセル化スタック

Country Status (5)

Country Link
US (1) US11732356B2 (ja)
JP (1) JP7354408B2 (ja)
KR (1) KR20220035968A (ja)
TW (1) TW202111825A (ja)
WO (1) WO2021021863A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11800824B2 (en) 2021-03-24 2023-10-24 Applied Materials, Inc. Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
CN113363405B (zh) * 2021-06-29 2022-06-03 昆山梦显电子科技有限公司 一种显示面板的制备方法、显示面板及显示装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011054968A (ja) * 2009-09-03 2011-03-17 Asm Japan Kk PECVDによってSi−N結合を有するコンフォーマルな誘電体膜を形成する方法
JP2017034245A (ja) * 2015-07-28 2017-02-09 ラム リサーチ コーポレーションLam Research Corporation 感受性材料上にハロゲン化物含有ald膜を統合する方法
US20190057857A1 (en) * 2016-02-19 2019-02-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls of trenches

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
JP4607637B2 (ja) * 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US10378106B2 (en) * 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI514608B (zh) 2010-01-14 2015-12-21 Dow Global Technologies Llc 具曝露式導電柵格之防溼光伏打裝置
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9607825B2 (en) * 2014-04-08 2017-03-28 International Business Machines Corporation Hydrogen-free silicon-based deposited dielectric films for nano device fabrication
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10128437B1 (en) 2017-08-31 2018-11-13 Micron Technology, Inc. Semiconductor structures including memory materials substantially encapsulated with dielectric materials, and related systems and methods
JP2020534692A (ja) 2017-09-21 2020-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高アスペクト比堆積
TWI821283B (zh) * 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011054968A (ja) * 2009-09-03 2011-03-17 Asm Japan Kk PECVDによってSi−N結合を有するコンフォーマルな誘電体膜を形成する方法
JP2017034245A (ja) * 2015-07-28 2017-02-09 ラム リサーチ コーポレーションLam Research Corporation 感受性材料上にハロゲン化物含有ald膜を統合する方法
US20190057857A1 (en) * 2016-02-19 2019-02-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls of trenches

Also Published As

Publication number Publication date
WO2021021863A1 (en) 2021-02-04
US20210032749A1 (en) 2021-02-04
TW202111825A (zh) 2021-03-16
JP7354408B2 (ja) 2023-10-02
KR20220035968A (ko) 2022-03-22
US11732356B2 (en) 2023-08-22

Similar Documents

Publication Publication Date Title
JP7479479B2 (ja) 触媒増強された継ぎ目なしのルテニウム間隙充填
JP6946320B2 (ja) スペーサ用の窒化ケイ素膜の選択的堆積
CN111492467B (zh) 钌的选择性原子层沉积
JP6913752B2 (ja) 核形成のない間隙充填aldプロセス
JP7354408B2 (ja) 原子層堆積による多層カプセル化スタック
US20200381623A1 (en) Methods of forming silicon nitride encapsulation layers
KR20220133130A (ko) 조정 가능한 유전 상수를 갖는 저온 질화규소/산질화규소 스택 막
WO2020061417A1 (en) Gap-fill with aluminum-containing films
US11866824B2 (en) Homoleptic lanthanide deposition precursors
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
US20210090882A1 (en) Seamless Gapfill With Dielectric ALD Films
US11359281B2 (en) Selective deposition of SiCON by plasma ALD

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220325

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230704

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230829

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230920

R150 Certificate of patent or registration of utility model

Ref document number: 7354408

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150