JP7479479B2 - 触媒増強された継ぎ目なしのルテニウム間隙充填 - Google Patents

触媒増強された継ぎ目なしのルテニウム間隙充填 Download PDF

Info

Publication number
JP7479479B2
JP7479479B2 JP2022542193A JP2022542193A JP7479479B2 JP 7479479 B2 JP7479479 B2 JP 7479479B2 JP 2022542193 A JP2022542193 A JP 2022542193A JP 2022542193 A JP2022542193 A JP 2022542193A JP 7479479 B2 JP7479479 B2 JP 7479479B2
Authority
JP
Japan
Prior art keywords
substrate
hexadiene
gas
metal
substrate surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022542193A
Other languages
English (en)
Other versions
JP2023509959A (ja
Inventor
ビョンフン ユン,
セシャドリ ギャングリ,
シー ツェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023509959A publication Critical patent/JP2023509959A/ja
Application granted granted Critical
Publication of JP7479479B2 publication Critical patent/JP7479479B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/272Diamond only using DC, AC or RF discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

[0001] 本開示の実施形態は、一般的に、金属膜を堆積させるための方法に関する。本開示の1つ又は複数の実施形態は、金属膜を堆積させるための方法を対象とする。本開示の1つ又は複数の実施形態は、金属膜の選択的な堆積に関する。
[0002] 半導体産業は、自律走行車、バーチャルリアリティ、及び将来のモバイルデバイスなどの新興産業におけるモバイル型システム及び高性能システムの必要性に迫られて、継続的にデバイスの小型化を追求し続けている。この優れた技術を成し遂げるには、マイクロエレクトロニクスデバイスにおけるフィーチャの急速な微細化(rapid reduction)の際に遭遇する固有のエンジニアリング上の問題及び物理的問題を回避するために新しい高性能材料が必要とされている。
[0003] ルテニウムは、高融点(高電流密度に耐える能力)、並外れた密度、導電能力があるため、集積化用に提唱されている材料である。ルテニウム及びルテニウム含有薄膜は、魅力的な材料特性及び導電特性を有する。ルテニウム膜は、半導体及びマイクロエレクトロニクスデバイスのフロントエンドからバックエンドの部品の用途に提唱されてきた。
[0004] ルテニウム薄膜は、理想的には、化学気相堆積(CVD)及び原子層堆積(ALD)などの薄膜堆積技術を使用して堆積されるが、これは、材料を高スループットで、かつ精密に材料を堆積させる能力があるためである。
[0005] 堆積されたルテニウム膜は、バルクのルテニウム材料とは異なることが多い。特に間隙充填材料として、高純度(>99%Ru)のルテニウム膜の堆積には課題がある。酸素反応物質の使用を含むこれまでのソリューションでは、バルク材料よりも粗度の大きな膜が生成された。同様に、水素反応物質では、その後のアニーリング工程を必要とするより大きな不純物がもたらされた。最終的に、プラズマ堆積処理では、継ぎ目を生成せずに、かつ下にある基板に潜在的な損傷を与えることなく、間隙充填材料を堆積することができなかった。
[0006] したがって、高純度の共形ルテニウム膜を間隙充填として堆積させるための方法及び材料が必要とされている。
[0007] したがって、高純度の共形ルテニウム膜を間隙充填として堆積させるための方法及び材料が必要とされている。また、継ぎ目又はボイドのない間隙充填としてルテニウム膜を堆積させるための方法及び材料も必要とされている。
[0008] 半導体デバイスの設計が進化するにつれて、半導体産業における精密材料製造は、原子スケールの寸法の時代に突入している。原子スケールでは、数十個の原子のみが関わっているため、許容誤差はほとんどない。この前例のない課題は、原子レベルの精度を有する新しい材料処理技術を要求する。しかしながら、原子スケールのデバイス製造において必要とされる処理フローの複雑さが増すことにより、スループットを著しく低下させ、製造コストを増大させる可能性がある。
[0009] 選択的堆積技術は、半導体膜パターニングにおける化学選択原子層精密化の可能性を提供する。選択的堆積はまた、リソグラフィ又はその他の処理を排除することによって、より単純な処理フローの可能性をもたらす。
[0010] 様々な方法で材料の選択的堆積を達成することができる。例えば、いくつかの処理は、界面化学に基づいて、その表面に対して固有の選択性を有する場合がある。このような処理は、かなり稀であり、通常、表面エネルギーが著しく異なる複数の表面(例えば、金属と誘電体)を有する必要がある。
[0011] したがって、誘電体表面上の金属表面上に金属膜を選択的に堆積させる、またはその逆の方法が必要とされている。
[0012] 本開示の1つ又は複数の実施形態は、膜を形成する方法を対象とする。この方法は、一般式(I):M-L(L(式中、Mは金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~8の範囲の数であり、Lは1,5-ヘキサジエン、1,4-ヘキサジエン、及び5%未満の1,3-ヘキサジエンを含む)の前駆体に基板表面を曝露することによって基板表面上に膜を形成することを含む。
[0013] 本開示の追加的な実施形態は、基板を形成する方法を対象とする。1つ又は複数の実施形態では、方法は、基板表面をハロゲン触媒に曝露して活性化基板表面を形成することと、活性化基板表面を一般式(I):M-L(L(式中、Mは金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、基板表面上に金属膜を形成するためyは2~8の範囲の数であり、Lは1,5-ヘキサジエン、1,4-ヘキサジエン、及び5%未満の1,3-ヘキサジエンを含む)の前駆体に曝露することとを含む。
[0014] さらなる実施形態は、処理チャンバのコントローラによって実行されると、処理チャンバに、基板表面をハロゲン触媒に曝露して活性化基板表面を形成することと、基板を有する処理チャンバの処理空間内に前駆体を流すことと、を実行させる命令を含む非一時的なコンピュータ可読媒体を対象とし、前駆体は、一般式(I):M-L(L(式中、Mは金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~8の範囲の数であり、Lは1,5-ヘキサジエン、1,4-ヘキサジエン、及び5%未満の1,3-ヘキサジエンを含む)を有する。
[0015] 本開示の上述の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、添付の図面に例示されている。しかし、添付の図面は本開示の典型的な実施形態のみを示すものであり、したがって、本開示の範囲を限定するものと見做されず、本開示が他の等しく有効な実施形態も許容しうることに留意されたい。
本開示の1つ又は複数の実施形態による、処理プラットフォームの概略図を示す。 本開示の1つ又は複数の実施形態による、バッチ処理チャンバの断面図を示す。 本開示の1つ又は複数の実施形態による、バッチ処理チャンバの部分斜視図を示す。 本開示の1つ又は複数の実施形態による、バッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態による、バッチ処理チャンバにおいて使用される、くさび型ガス分配アセンブリの一部の概略図を示す。 本開示の1つ又は複数の実施形態による、バッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態による、2パルス周期的堆積技法を使用して、金属層を形成するための処理シーケンスの処理フロー図を示す。 本開示の1つ又は複数の実施形態による、ルテニウム層を形成するための処理シーケンスの処理フロー図を示す。 本開示の1つ又は複数の実施形態による、例示的な基板の断面図を示す。 本開示の1つ又は複数の実施形態による、処理中の例示的な基板を示す。 本開示の1つ又は複数の実施形態による、処理中の例示的な基板を示す。 本開示の1つ又は複数の実施形態による、処理中の例示的な基板を示す。 本開示の1つ又は複数の実施形態による、処理中の例示的な基板を示す。
[0026] 本開示のいくつかの例示的な実施形態を説明する前に、本開示は、以下の説明で提示される構成又は処理ルーチンの詳細に限定されないことを理解されたい。本開示は、他の実施形態も可能であり、様々なやり方で実践又は実行することが可能である。
[0027] 本明細書で使用されるように、用語「基板」、「基板表面」などは、処理が実行される基板上に形成される任意の基板又は材料表面を指す。例えば、処理が実施されうる基板表面には、用途に応じて、限定するものではないが、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(silicon on insulator:SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及びその他の導電性材料といった、他の任意の材料が含まれる。基板は、半導体ウエハを含むが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化(又はさもなければ化学官能性を付与するためにターゲット化学部分(chemical moieties)を生成又はグラフトする)、アニール、及び/又はベークする前処理工程に曝露されてもよい。基板自体の表面上で直接処理することに加えて、本開示では、開示された任意の膜処理ステップが、以下でより詳細に開示される基板上に形成された下層上で実施されてよい。「基板表面」という用語は、文脈が示すように、こうした下層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が基板表面に堆積している場合には、新たに堆積した膜/層の露出面が基板表面となる。所与の基板表面が何を含むかは、どのような材料が堆積されるか、並びに、使用される特定の化学的性質に依存する。
[0028] 本明細書で使用される「原子層堆積(atomic layer deposition)」又は「周期的堆積」とは、2つ以上の反応性化合物への連続的曝露により、基板表面に材料層を堆積させることを指す。本明細書及び添付の特許請求の範囲で使用される「反応性化合物」、「反応性ガス」、「反応種」、「前駆体」、「処理ガス」などの用語は、交換可能に使用され、表面反応(例えば、化学吸着、酸化、還元)において基板表面又は基板表面上の材料と反応可能な種を有する物質を意味する。基板又は基板の一部は、処理チャンバの反応区域内に導入される2つ以上の反応性化合物に別々に曝露される。時間領域ALD処理では、各反応性化合物への曝露は、時間遅延によって分けられ、それにより、各化合物は、基板表面に付着するか、及び/又は基板表面上で反応し、次いで、処理チャンバからパージされることが可能になる。これらの反応性化合物は、基板に連続的に曝露されると言われている。空間ALD処理では、基板上の任意の所与の点が複数の反応性化合物に同時に実質的に曝露されないように、基板表面又は基板表面上の材料の種々の部分が、2つ以上の反応性化合物に同時に曝露される。本明細書及び添付の特許請求の範囲で使用されているが、このように使用される「実質的に(substantially)」という表現は、当業者によって理解されるように、基板の小さな部分が、拡散に起因して複数の反応性ガスに同時に曝露される可能性があり、その同時曝露は意図されていないことを意味する。
[0029] 時間領域ALD処理の一態様では、第1の反応性ガス(すなわち、第1の前駆体又は化合物A)が反応区域内にパルス供給されてから、第1の時間遅延が伴う。次に、第2の前駆体又は化合物Bが反応区域内にパルス供給され、その後、第2の遅延が伴う。各時間遅延の間、アルゴンなどのパージガスが処理チャンバ内に導入され、反応区域がパージされるか、又はさもなければ、反応区域から任意の残留反応性化合物又は反応性副生成物が除去される。代替的に、反応性化合物のパルス間の時間遅延の間、パージガスのみが流れるように、堆積処理全体にわたってパージガスが連続的に流動しうる。反応性化合物は、基板表面上に所望の膜又は膜厚が形成されるまで、交互にパルス供給される。いずれの場合でも、化合物A、パージガス、化合物B、及びパージガスをパルス供給するALD処理は、周期的に行われる。サイクルは、化合物A又は化合物Bのいずれかで開始されてもよく、所定の厚さを有する膜が達成されるまで、サイクルの対応する順序が継続される。
[0030] 空間ALD処理の一実施形態では、第1の反応性ガス及び第2の反応性ガス(例えば、水素ガス)は、反応区域に同時に供給されるが、不活性ガスカーテン及び/又は真空カーテンによって分離される。基板上の任意の所与の点が、第1の反応性ガス及び第2の反応性ガスに曝露されるように、基板は、ガス供給装置に対して移動させられる。
[0031] 本明細書で使用される「化学気相堆積」は、基板表面が前駆体及び/又は共試薬(co-reagents)に同時又は実質的に同時に曝露される処理を指す。本明細書中で使用される場合、「実質的に同時に」は、並行流(co-flow)、又は前駆体の曝露の大部分について重複が存在する場合のいずれかを指す。
[0032] 本明細書及び添付の特許請求の範囲で使用される「反応性化合物」、「反応性ガス」、「反応種」、「前駆体」、「処理ガス」などの用語は、交換可能に使用され、表面反応(例えば、化学吸着、酸化、還元)において基板表面又は基板表面上の材料と反応可能な種を有する物質を意味する。
[0033] 本開示の1つ又は複数の実施形態は、異なる化学物質又はプラズマガスの導入に使用することができる複数のガスポートを有する反応チャンバを使用する処理を対象とする。空間的には、これらのガスポート(チャネルとも称される)は、不活性パージガス及び/又は真空ポンピング孔によって分離されて、異なるガスポートからのガスの混合を最小限に抑えるか又は排除して、望ましくない気相反応を回避するガスカーテンを生成する。これらの種々の空間的に分離されたポートを通って動くウエハは、空間的ALDモードにおける層ごとの膜成長、又は表面エッチング処理が発生するように、種々の化学物質又はプラズマ環境への連続的な複数の表面曝露を得る。1つ又は複数の実施形態では、処理チャンバは、ガス分配構成要素上にモジュラーアーキテクチャを有し、各モジュラー構成要素は、例えば、ガス流及び/又はRF曝露を制御する柔軟性を提供するために、独立したパラメータ制御(例えば、RF又はガス流)を有する。
[0034] 本開示の1つ又は複数の実施形態は、高純度金属膜を堆積させるための方法を提供する。様々な実施形態の方法は、原子層堆積(ALD)又は化学気相堆積(CVD)を使用して、純粋な、又はほぼ純粋な金属膜を提供する。本開示の例示的な実施形態は、ルテニウムの堆積に言及しているが、本開示の原理は、金属にかかわらず、高純度の金属膜の堆積を可能にすると考えられる。
[0035] 本開示の1つ又は複数の実施形態は、誘電体表面上の金属表面上に金属膜を選択的に堆積させる方法を提供する。本開示の1つ又は複数の実施形態は、金属表面上の誘電体表面上に金属膜を選択的に堆積させる方法を提供する。本明細書及び添付の特許請求の範囲で使用されるように、「1つの表面上の別の表面に膜を選択的に堆積させること」等の表現は、第1の量の膜が第1の表面上に堆積され、第2の量の膜が第2の表面上に堆積され、第2の量の膜が第1の量の膜よりも少ないか、又は第2の表面上には膜が堆積されないことを意味する。
[0036] このように使用される「~上の(over)」という表現は、一方の表面の上部の他方の表面の物理的配向を意味するのではなく、むしろ、一方の表面に対する他方の表面の化学的反応の熱力学的特性又は力学的特性の関係性を意味している。例えば、誘電表面上の金属表面上にルテニウム膜を選択的に堆積させることは、ルテニウム膜が金属表面上に堆積し、誘電表面上にはわずかのルテニウム膜が堆積するか全く堆積しないこと、或いは、金属表面上のルテニウム膜の形成が、誘電表面上のルテニウム膜の形成に対して熱力学的に、又は動力学的に好ましいことを意味する。
[0037] 堆積処理の選択性は、一般的に、成長速度の倍数として表現される。例えば、ある表面が異なる表面よりも25倍速く成長する(又は堆積される)場合、この処理は、25:1の選択性を有すると説明される。このように、より高い比率は、より選択的な処理を示す。
[0038] 本開示の1つ又は複数の実施形態は、高純度で金属膜を堆積させるための方法を有利に提供する。したがって、これらの高純度膜は、関連するバルク金属材料と同様の特性を示す。例えば、本開示の1つ又は複数の実施形態は、従来の酸素又は水素反応物質処理によって堆積されたルテニウム膜よりも平滑であり、かつ低い抵抗を有するルテニウム膜を提供する。本開示の1つ又は複数の実施形態は、継ぎ目なしに間隙を共形的に充填する金属膜を有利に提供する。
[0039] 本開示の1つ又は複数の実施形態は、誘電体表面上の金属表面上への高純度の金属膜の選択的な堆積を有利に提供する。例えば、誘電体上の銅上へのルテニウムの選択的な堆積は、追加のエッチング又はリソグラフィ工程なしに銅キャッピング層を有利に提供する。加えて、選択的な堆積は、底部及び誘電体側壁に金属接点を有するトレンチのためのボトムアップ間隙充填を可能にしうる。
[0040] 本開示の1つ又は複数の実施形態は、金属表面上の誘電体表面上への高純度の金属膜の選択的な堆積を有利に提供する。例えば、誘電体上への金属の選択的な堆積は、バックエンド用途において、バリア又は他の誘電体上に金属層を有利に提供する。
[0041] 本開示の1つ又は複数の実施形態は、本明細書で開示されるような処理プラットフォームで実行される空間ALD処理を利用する。図面を参照すると、図1は、本開示の1つ又は複数の実施形態による処理プラットフォーム100を示す。図1に示した実施形態は、1つの可能な構成を単に表すものであり、本開示の範囲を限定するものとして解釈するべきではない。例えば、1つ又は複数の実施形態では、処理プラットフォーム100は、異なる数の処理チャンバ、バッファチャンバ、及びロボット構成体を有する。
[0042] 処理プラットフォーム100は、複数の側面111、112、113、114、115、116を有する中央移送ステーション110を含む。図示した中央移送ステーション110は、第1の側面111、第2の側面112、第3の側面113、第4の側面114、第5の側面115、及び第6の側面116を有する。ここでは6つの側面が示されているが、当業者であれば、例えば、処理プラットフォーム100の全体的な構成に応じて、中央移送ステーション110に任意の適切な数の側面があってもよいことを理解するであろう。
[0043] 移送ステーション110は、その中に位置決めされたロボット117を有する。ロボット117は、処理中にウエハを移動させることが可能な任意の適切なロボットでありうる。1つ又は複数の実施形態では、ロボット117は、第1のアーム118及び第2のアーム119を有する。第1のアーム118及び第2のアーム119は、他方のアームから独立して動くことができる。第1のアーム118及び第2のアーム119は、x-y面において、及び/又は、z軸に沿って動くことができる。1つ又は複数の実施形態では、ロボット117は、第3のアーム又は第4のアーム(図示せず)を含む。各アームは、他のアームから独立して動くことができる。
[0044] 第1のバッチ処理チャンバ120は、中央移送ステーション110の第1の側面111に接続されうる。第1のバッチ処理チャンバ120は、バッチ時間において、一度にx個のウエハを処理するように構成されうる。1つ又は複数の実施形態では、第1のバッチ処理チャンバ120は、約4個(x=4)から約12個(x=12)の範囲のウエハを同時に処理するように構成されうる。1つ又は複数の実施形態では、第1のバッチ処理チャンバ120は、6個(x=6)のウエハを同時に処理するように構成される。当業者によって理解されるように、第1のバッチ処理チャンバ120は、個々のウエハのロードとアンロードとの間に複数のウエハを処理することができるが、各ウエハは、任意の所与の時点で異なる処理条件に曝されうる。例えば、空間原子層堆積チャンバは、図2から図6に示されているように、ウエハが各領域を移動するにつれて、処理が完了するように、ウエハを種々の処理領域の種々の処理条件に曝露する。
[0045] 図2は、ガス分配アセンブリ220(インジェクタ又はインジェクタアセンブリとも称される)、及びサセプタアセンブリ240を含む、処理チャンバ200の断面を示す。ガス分配アセンブリ220は、処理チャンバ内で使用される任意の種類のガス供給装置である。ガス分配アセンブリ220は、サセプタアセンブリ240に対向する前面221を含む。前面221は、サセプタアセンブリ240に向けてガスの流れを伝達するための、任意の数の或いは様々な開口を有しうる。ガス分配アセンブリ220は、図示されている実施形態では実質的に円形である外周エッジ224も含む。
[0046] 使用されるガス分配アセンブリ220の特定の種類は、使用される特定の処理に応じて変わりうる。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される、任意のタイプの処理システムと共に使用することが可能である。様々な種類のガス分配アセンブリ(例えば、シャワーヘッド)を利用することができるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間的ガス分配アセンブリにおいて特に有用でありうる。本明細書及び添付の特許請求の範囲において使用される「実質的に平行(substantially parallel)」という表現は、ガスチャネルの長手方向軸が概ね同じ方向に延在することを意味する。ガスチャネルの平行度には、若干の不完全さがありうる。2成分反応では、複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAチャネル、少なくとも1つの第2の反応性ガスBチャネル、少なくとも1つのパージガスPチャネル、及び/又は少なくとも1つの真空Vチャネルを含みうる。1つ又は複数の第1の反応性ガスAチャネル、1つ又は複数の第2の反応性ガスBチャネル、及び1つ又は複数のパージガスPチャネルから流れるガスは、ウエハの上面に向けて導かれる。一部のガス流は、ウエハ表面にわたって水平に移動し、1つ又は複数のパージガスPチャネルを通して処理領域から出る。基板がガス分配アセンブリの一端から他端まで移動することで、基板は、各処理ガスに順に曝露され、基板表面上に層が形成されることになる。
[0047] 1つ又は複数の実施形態では、ガス分配アセンブリ220は、単一のインジェクタユニットで作られた剛性の静止物である。1つ又は複数の実施形態では、ガス分配アセンブリ220は、図3に示すように、複数の個々のセクタ(例えば、インジェクタユニット222)で構成されている。単体の物体であっても、複数セクタからなる物体であっても、記載された本開示の様々な実施形態と共に使用することができる。
[0048] 1つ又は複数の実施形態では、サセプタアセンブリ240は、ガス分配アセンブリ220の下方に位置付けされる。サセプタアセンブリ240は、上面241と、上面241における少なくとも1つの凹部242とを含む。サセプタアセンブリ240は、底面243及びエッジ244をさらに有する。少なくとも1つの凹部242は、処理される基板60の形状及び大きさに従った任意の適切な形状及び大きさでありうる。図2に示した実施形態では、凹部242は、ウエハの底を支持するために平らな底部を有しているが、凹部の底部は変動しうる。1つ又は複数の実施形態では、凹部は、凹部の外周エッジの周りに、ウエハの外周エッジを支持するよう大きさが定められた段差領域を有する。ウエハの外周エッジの、この段差によって支持される分量は、例えば、ウエハの厚さ、及びウエハの背面に既にあるフィーチャの存在に応じて、変動しうる。
[0049] 1つ又は複数の実施形態では、図2に示すように、サセプタアセンブリ240の上面241の凹部242は、凹部242内で支持される基板60が、サセプタ240の上面241と実質的に同一平面の上面61を有するように、大きさが定められる。本明細書及び添付の特許請求の範囲で利用される場合、「実質的に同一平面」という用語は、ウエハの上面とサセプタアセンブリの上面とが、±0.2mmの範囲内で同一平面にあることを意味する。1つ又は複数の実施形態では、上面同士が、0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm、又は±0.05mmの範囲内で同一平面にある。
[0050] 図2のサセプタアセンブリ240は、サセプタアセンブリ240を上昇、下降、及び回転させることが可能な支持ポスト260を含む。サセプタアセンブリは、支持ポスト260の中央内部に、ヒータ又はガスライン又は電気的構成要素を含みうる。支持ポスト260は、サセプタアセンブリ240とガス分配アセンブリ220との間の間隙を広げたり狭めたりして、サセプタアセンブリ240を適切な位置へと移動させる主要な手段でありうる。サセプタアセンブリ240は、微調整アクチュエータ262をさらに含み得る。微調整アクチュエータ262は、サセプタアセンブリ240とガス分配アセンブリ220との間に所定の間隙270が生じるように、サセプタアセンブリ240に対して微調整を行うことができる。
[0051] 1つ又は複数の実施形態では、間隙270の距離は、約0.1mm~約5.0mmの範囲内、又は約0.1mm~約3.0mmの範囲内、又は約0.1mm~約2.0mmの範囲内、又は約0.2mm~約1.8mmの範囲内、又は約0.3mm~約1.7mmの範囲内、又は約0.4mm~約1.6mmの範囲内、又は約0.5mm~約1.5mmの範囲内、又は約0.6mm~約1.4mmの範囲内、又は約0.7mm~約1.3mmの範囲内、又は約0.8mm~約1.2mmの範囲内、又は約0.9mm~約1.1mmの範囲内であるか、又は、約1mmである。
[0052] 図に示されている処理チャンバ200は、サセプタアセンブリ240が複数の基板60を保持しうるカルーセル型チャンバである。図3に示したように、ガス分配アセンブリ220は、複数の個々のインジェクタユニット222を含みうる。各インジェクタユニット222は、ウエハがインジェクタユニットの下方に移動するにつれて、ウエハに膜を堆積させることが可能である。2つのパイ形状のインジェクタユニット222が、サセプタアセンブリ240のほぼ両側で、且つサセプタアセンブリ240の上に位置付けされているように示されている。インジェクタユニット222の数は、例示目的のためにのみ示されている。より多くの又はより少ないインジェクタユニット222を含みうることを理解されたい。1つ又は複数の実施形態では、サセプタアセンブリ240の形状に従った形状を形成するのに十分な数のパイ形状インジェクタユニット222が存在する。1つ又は複数の実施形態では、個別のパイ形状インジェクタユニット222の各々が、他のインジェクタユニット222のいずれにも影響を与えることなく個別に動かされ、取り外され、及び/又は交換されうる。例えば、あるセグメントを上昇させることにより、ロボットがサセプタアセンブリ240とガス分配アセンブリ220との間の領域にアクセスして、基板60をローディング/アンローディングすることを可能にすることができる。
[0053] 1つ又は複数の実施形態では、ウエハが同じ処理の流れを受けるように、複数のガスインジェクタを有する処理チャンバは、複数のウエハを同時に処理するように使用可能である。例えば、図4に示したように、処理チャンバ200は、4つのガスインジェクタアセンブリ、及び4つの基板60を有する。処理開始の際、基板60は、ガス分配アセンブリ220の間に配置されうる。サセプタアセンブリ240を45°回転させること17により、ガス分配アセンブリ220の間にある各基板60が、膜堆積のために、ガス分配アセンブリ220の下方の点線円で示されているように、ガス分配アセンブリ220の方へ移動させられる。さらに45°回転させることにより、基板60はガス分配アセンブリ220から離れる方向に移動することになる。基板60の数とガス分配アセンブリ220の数は、同じであってもよく、或いは、異なっていてもよい。1つ又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数と同じである。1つ又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の一部、又は整数倍になる。例えば、4個のガス分配アセンブリが存在する場合、処理されるウエハの数は4xとなり、ここでxは1以上の整数値である。例示的な実施形態では、ガス分配アセンブリ220は、ガスカーテンによって分離された8つの処理領域を含み、サセプタアセンブリ240は、6つのウエハを保持しうる。
[0054] 図4に示した処理チャンバ200は、実行可能な一構成を表しているに過ぎず、本開示の範囲を限定していると見なすべきではない。ここでは、処理チャンバ200は複数のガス分配アセンブリ220を含んでいる。図示の実施形態では、4つのガス分配アセンブリ220(インジェクタアセンブリとも称される)があり、処理チャンバ200の周囲に等間隔で配置されている。図示の処理チャンバ200は八角形であるが、当業者であれば、これは可能な一形状であり、本開示の範囲を限定すると見なすべきではないことが分かるであろう。図示されているガス分配アセンブリ220は台形であるが、単体の円形構成要素であってよく、又は、図3に示したように、複数のパイ形状セグメントで構成されてもよい。
[0055] 図4に示した実施形態は、ロードロックチャンバ280、又はバッファステーションのような補助チャンバを含む。このチャンバ280は、処理チャンバ200の側面に接続されており、これにより、例えば、基板(基板60とも称される)は処理チャンバ200との間でローディング/アンローディングが可能となる。基板をサセプタ上に移動させるために、ウエハロボットをチャンバ280内に配置してもよい。
[0056] カルーセル(例えば、サセプタアセンブリ240)の回転は、連続的であってもよく、又は断続的(不連続)であってもよい。連続処理では、ウエハは、常に回転しており、各インジェクタに順に曝露される。不連続処理では、ウエハは、インジェクタ領域に移動してから停止し、次いで、インジェクタ間の領域84に移動してから停止してもよい。例えば、カルーセルは、ウエハが、インジェクタ間領域からインジェクタを通って移動し(又は、インジェクタの隣で停止し)、カルーセルが再び一時停止することができる次のインジェクタ間領域まで、回転することができる。インジェクタ間で一時停止することにより、各層の堆積と堆積との間に、追加の処理ルーチン(例えば、プラズマへの曝露)を行う時間が確保されうる。
[0057] 図5は、ガス分配アセンブリ220のセクタ又は一部を示しているが、これはインジェクタユニットと称されることもある。インジェクタユニット222は、個別に使用してもよく、又は他のインジェクタユニットと組み合わせて使用してもよい。例えば、図6に示したように、図5の注入器ユニット222が4つ組み合わされて、単一のガス分配アセンブリ220を形成している(分かりやすくするため、4つの注入器ユニットを区切る線は示されていない)。図5のインジェクタユニット222は、パージガスポート255及び真空ポート245に加えて、第1の反応性ガスポート225と第2のガスポート235の両方を有しているが、インジェクタユニット222には、これらの構成要素のすべてが必要なわけではない。
[0058] 図5及び図6の両方を参照すると、1つ又は複数の実施形態によるガス分配アセンブリ220は、複数のセクタ(又は、インジェクタユニット222)を備えてもよく、各セクタは、同一であるか、又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に配置され、ガス分配アセンブリ220の表側面221に複数の細長いガスポート225、235、245を備える。複数の細長いガスポート225、235、245、255は、内周エッジ223に隣接する領域から、ガス分配アセンブリ220の外周エッジ224に隣接する領域に向かって延在する。図示した複数のガスポートは、第1の反応性ガスポート225、第2のガスポート235、第1の反応性ガスポートと第2の反応性ガスポートのそれぞれを取り囲む真空ポート245、及びパージガスポート255を含む。
[0059] 図5又は図6に示した実施形態を参照すると、ポートが少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると述べたとしても、ポートは、単に内側領域から外側領域まで径方向に延在するだけではないことがある。真空ポート245は、反応性ガスポート225及び反応性ガスポート235を取り囲むので、ポートは、接線方向に延在することができる。図5及び図6に示した実施形態では、くさび型の反応性ガスポート225、235は、内周領域と外周領域に隣接するエッジを含むすべてのエッジ上で、真空ポート245によって囲まれている。
[0060] 図5を参照すると、基板が経路227に沿って移動するにつれて、基板表面の各部分が様々な反応性ガスに曝露される。経路227を辿ると、基板は、パージガスポート255、真空ポート245、第1の反応性ガスポート225、真空ポート245、パージガスポート255、真空ポート245、第2のガスポート235、そして真空ポート245に曝露される、すなわち、これらに「遭遇」することになる。したがって、図5に示した経路227の終点では、基板は、第1の反応性ガス及び第2の反応性ガスに曝露されており、層が形成される。図示したインジェクタユニット222は、四分円をなしているが、より大きくても、又はより小さくてもよい。図6に示したガス分配アセンブリ220は、図3のインジェクタユニット222が4つ連続的に接続されて組み合わさったものであると見なしてよい。
[0061] 図5のインジェクタユニット222は、反応性ガスを分離させるガスカーテン250を示す。「ガスカーテン(gas curtain)」という用語は、反応性ガスを混合しないように分離させるガス流又は真空の任意の組み合わせを記述するために使用されている。図5に示したガスカーテン250は、第1の反応性ガスポート225に隣り合った真空ポート225の一部分、中間のパージガスポート255、及び第2のガスポート235に隣り合った真空ポート245の一部分を含む。ガス流と真空とのこの組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止又は最少化するために使用されうる。
[0062] 図6を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせが、複数の処理領域350に分離を形成する。処理領域は、個々のガスポート225、235の周りに大まかに画定されており、350の間にガスカーテン250を伴う。図6に示した実施形態は、8つの別個の処理領域350からなり、それらの間に8つの別個のガスカーテン250を伴う。処理チャンバは、少なくとも2つの処理領域を有しうる。1つ又は複数の実施形態では、少なくとも3、4、5、6、7、8、9、10、11、又は12の処理領域が存在する。
[0063] 処理中、基板は、任意の所与の時点で1つ以上の処理領域350に曝露されうる。しかしながら、種々の処理領域に曝露される部分は、2つを分離するガスカーテンを有する。例えば、基板の前方エッジが第2のガスポート235を含む処理領域に入った場合、基板の中央部はガスカーテン250の下にあり、基板の後方エッジは第1の反応性ガスポート225を含む処理領域内にある。
[0064] 例えば、ロードロックチャンバ280でありうるファクトリインターフェース(図4に示す)が、処理チャンバ200に接続されているように示されている。基板60は、参照フレームを提供するために、ガス分配アセンブリ220に重ね合わせて図示されている。基板60は、多くの場合、ガス分配アセンブリ220の前面221の近くに保持されるようサセプタアセンブリ上に配置されうる。基板60は、ファクトリインターフェースを介して、処理チャンバ200の中へローディングされ、基板支持体又はサセプタアセンブリ上に配置される(図4参照)。基板60は、第1の反応性ガスポート225に隣接し、2つのガスカーテン250a、250bの間に配置されているので、処理領域内に位置付けされたように示されうる。基板60を経路227に沿って回転させることにより、基板は、処理チャンバ200を反時計回りに移動することになる。したがって、基板60は、第1の処理領域350aから第8の処理領域350hまでの、その間の処理領域を含むすべての処理領域に曝露されることになる。
[0065] 本開示の1つ又は複数の実施形態は、複数の処理領域350a~350hを有する処理チャンバ200であって、各処理領域が隣接する領域からガスカーテン250によって分離されている処理チャンバ200を対象としている。例えば、図6に示した処理チャンバである。処理チャンバ内のガスカーテンと処理領域の数は、ガス流の配置に応じて、任意の適切な数でありうる。図6に示した実施形態は、8つのガスカーテン250、及び8つの処理領域350a~350hを有する。
[0066] 再び図1を参照すると、処理プラットフォーム100は、中央移送ステーション110の第2の側面112に接続された処理チャンバ140を含む。1つ又は複数の実施形態の処理チャンバ140は、第1のバッチ処理チャンバ120における工程の前後にウエハを処理する工程にウエハを曝露するように構成される。1つ又は複数の実施形態の処理チャンバ140は、アニーリングチャンバを含む。アニーリングチャンバは、炉アニーリングチャンバ又は急速熱アニーリングチャンバであるか、或いは、所定の温度及び圧力でウエハを保持し、チャンバにガス流を供給するように構成された別のチャンバでありうる。
[0067] 1つ又は複数の実施形態では、処理プラットフォームは、中央移送ステーション110の第3の側面113に接続された第2のバッチ処理チャンバ130をさらに備えている。第2のバッチ処理チャンバ130は、第1のバッチ処理チャンバ120と同様に構成することができ、又は異なる処理を実行するように、或いは異なる数の基板を処理するように構成することができる。
[0068] 第2のバッチ処理チャンバ130は、第1のバッチ処理チャンバ120と同じであってもよいし、又は異なっていてもよい。1つ又は複数の実施形態では、第1のバッチ処理チャンバ120と第2のバッチ処理チャンバ130は、x(第1バッチ処理チャンバ120のウエハの数)とy(第2のバッチ処理チャンバ130のウエハの数)が同じとなり、(第2のバッチ処理チャンバ130の)第1のバッチ時間と第2のバッチ時間とが同じになるように、同じバッチ時間で同じ枚数のウエハで同じ処理を行うように構成されている。1つ又は複数の実施形態では、第1のバッチ処理チャンバ120及び第2のバッチ処理チャンバ130は、異なる数のウエハ(xとyは等しくない)、異なるバッチ時間のいずれか、又はその両方を有するように構成されている。
[0069] 図1に示した実施形態では、処理プラットフォーム100は、中央移送ステーション110の第4の側面114に接続された第2の処理チャンバ150を含む。第2の処理チャンバ150は、処理チャンバ140と同じであってもよいし、又は異なっていてもよい。
[0070] 処理プラットフォーム100は、ロボット117に接続されたコントローラ195(接続は図示せず)を含みうる。コントローラ195は、ロボット117の第1のアーム118を使用して、処理チャンバ140と第1のバッチ処理チャンバ120との間でウエハを移動させるように構成されうる。1つ又は複数の実施形態では、コントローラ195は、ロボット117の第2のアーム119を使用して、第2の処理チャンバ150と第2のバッチ処理チャンバ130との間でウエハを移動させるようにさらに構成される。
[0071] 1つ又は複数の実施形態では、コントローラ195は、処理チャンバ200のサセプタアセンブリ240及びガス分配アセンブリ220に接続される。コントローラ195は、サセプタアセンブリ240を中心軸の周りで回転させるように構成されうる。コントローラは、ガスポート225、235、245、255内のガス流を制御するようにさらに構成されうる。1つ又は複数の実施形態では、第1の反応性ガスポート225は、金属前駆体の流れを供給する。1つ又は複数の実施形態では、第2の反応性ガスポート235は、反応物質の流れを供給する。1つ又は複数の実施形態では、他のガスポート(符号なし)は、プラズマの流れを供給してもよい。第1の反応性ガスポート225、第2の反応性ガスポート235、及び他の反応性ガスポート(符号なし)は、任意の処理順序で配置することができる。
[0072] 処理プラットフォーム100は、中央移送ステーション110の第5の側面115に接続された第1のバッファステーション151、及び/又は中央移送ステーション110の第6の側面116に接続された第2のバッファステーション152を含みうる。第1のバッファステーション151及び第2のバッファステーション152は、同じ又は異なる機能を実行することができる。例えば、バッファステーションは、ウエハのカセットを保持してもよく、ウエハは処理されて元のカセットに戻される。或いは、第1のバッファステーション151は、未処理のウエハを保持してもよく、ウエハは処理された後に第2のバッファステーション152に移動される。1つ又は複数の実施形態では、バッファステーションのうちの1つ又は複数は、処理の前後に、ウエハを前処理、予加熱、又は洗浄するように構成されている。
[0073] 1つ又は複数の実施形態では、コントローラ195は、ロボット117の第1のアーム118を使用して、第1のバッファステーション151と、処理チャンバ140及び第1のバッチ処理チャンバ120のうちの1つ又は複数との間で、ウエハを移動させるように構成されている。1つ又は複数の実施形態では、コントローラ195は、ロボット117の第2のアーム119を使用して、第2のバッファステーション152と、第2の処理チャンバ150又は第2のバッチ処理チャンバ130のうちの1つ又は複数との間で、ウエハを移動させるように構成されている。
[0074] 処理プラットフォーム100は、中央移送ステーション110と任意の処理チャンバとの間に、1つ又は複数のスリットバルブ160をさらに含みうる。図示の実施形態では、処理チャンバ120、130、140、150のそれぞれと中央移送ステーション110との間に、スリットバルブ160が存在する。スリットバルブ160は、開閉して、中央移送ステーション110内の環境から処理チャンバ内の環境を隔離することができる。例えば、処理チャンバが処理中にプラズマを生成する場合、浮遊プラズマが移送ステーション内のロボットを損傷することを防止するために、その処理チャンバのスリットバルブを閉じることが役立つ場合がある。
[0075] 1つ又は複数の実施形態では、処理チャンバは、中央移送ステーション110から容易に取り外すことができない。処理チャンバのいずれかに対してメンテナンスを行うことを可能にするために、各処理チャンバは、処理チャンバの側面に複数のアクセスドア170をさらに備えてもよい。アクセスドア170は、中央移送ステーション110から処理チャンバを取り外すことなく、処理チャンバへの手動アクセスを可能にする。図示の実施形態では、各処理チャンバの各側面は、移送ステーションに接続された側面を除いて、アクセスドア170を有する。非常に多くのアクセスドア170を含むと、チャンバ内のハードウェアを、ドアを介してアクセス可能であるように構成しなければならないため、使用される処理チャンバの構成を複雑にしてしまうことがある。
[0076] 1つ又は複数の実施形態の処理プラットフォームは、中央移送ステーション110に接続されたウォーターボックス180を含む。ウォーターボックス180は、処理チャンバのいずれか又はすべてに冷却剤を供給するように構成されうる。「ウォーター」ボックスと称されているが、当業者であれば、任意の冷却剤を使用できることを理解するであろう。
[0077] 1つ又は複数の実施形態では、処理プラットフォーム100の大きさにより、単一の電力コネクタ190を介して、接続部が電力を収容することが可能になる。単一の電力コネクタ190は、処理プラットフォーム100に取り付けられ、処理チャンバ及び中央移送ステーション110のそれぞれに電力を供給する。
[0078] 1つ又は複数の実施形態では、処理プラットフォーム100をファクトリインターフェース102に接続することができ、これにより、処理プラットフォーム100にウエハ又はウエハのカセットをロードすることが可能になる。ファクトリインターフェース102内のロボット103は、ウエハ又はカセットをバッファステーション151、152に出し入れするように移動させることができる。中央移送ステーション110内のロボット117によって、ウエハ又はカセットを処理プラットフォーム100内で移動させることができる。1つ又は複数の実施形態では、ファクトリインターフェース102は、別のクラスタツールの移送ステーションである。
[0079] 1つ又は複数の実施形態では、処理プラットフォーム100又は第1のバッチ処理チャンバ120は、コントローラに接続される。コントローラは、同じコントローラ195であってもよく、又は異なるコントローラであってもよい。コントローラは、第1のバッチ処理チャンバ120のサセプタアセンブリ及びガス分配アセンブリに連結可能であり、1つ又は複数の構成を有する。この構成には、サセプタアセンブリを中心軸の周りで回転させる第1の構成、金属前駆体の流れを処理領域に供給する第2の構成、反応物質の流れを処理領域に供給する第3の構成、プラズマを処理領域に供給する第4の構成が含まれうるが、これらに限定されるわけではない。
[0080] 1つ又は複数の実施形態は、処理チャンバのコントローラによって実行されると、処理チャンバに、基板表面をハロゲン触媒に曝露して活性化基板表面を形成することと、基板を有する処理チャンバの処理空間内に前駆体を流し込むこととを、実行させる命令を含む非一時的コンピュータ可読媒体を対象とし、前駆体は、一般式(I):M-L(L(式中、Mは金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~8の範囲の数であり、Lは1,5-ヘキサジエン、1,4-ヘキサジエン、及び5%未満の1,3-ヘキサジエンを含む)を有する。
[0081] 図7は、本開示の1つ又は複数の実施形態による、基板上に金属膜を形成するための一般化された方法を示す処理フロー図を示す。方法700は、一般的に、金属膜が形成される基板が処理チャンバ内に配置される操作702で始まる。本明細書で使用される「基板表面(substrate surface)」とは、上部に層が形成されうる任意の基板表面のことを指す。基板表面は、その中に形成された1つ又は複数のフィーチャ、その上に形成された1つ又は複数の層、及びこれらの組合せを有しうる。1つ又は複数の実施形態では、基板(又は基板表面)は、金属膜の堆積の前に、例えば、研磨、エッチング、還元、酸化、ハロゲン化、ヒドロキシル化、アニーリング、ベーキングなどによって前処理されうる。
[0082] 1つ又は複数の実施形態では、基板は、シリコン基板、III-V族化合物基板、シリコンゲルマニウム(SiGe)基板、エピ基板、シリコンオンインシュレータ(SOI)基板、液晶ディスプレイ(LCD)などのディスプレイ基板、プラズマディスプレイ、エレクトロルミネセンス(EL)ランプディスプレイ、ソーラーアレイ、ソーラーパネル、発光ダイオード(LED)基板、半導体ウエハなど、その上に堆積された材料を有することができる任意の基板とすることができる。1つ又は複数の実施形態では、金属膜が少なくとも部分的に基板上に形成されうるように、1つ又は複数の追加の層が基板上に配置されてもよい。例えば、1つ又は複数の実施形態では、金属、窒化物、酸化物など、又はそれらの組合せを含む層を基板上に配置することができ、そのような1つ又は複数の層上に金属膜を形成することができる。
[0083] 1つ又は複数の実施形態では、操作703において、基板は、任意選択でブロッキング化合物に曝露される。この処理ステップは、以下でより詳細に説明されるが、金属表面及び誘電体表面の両方を含む基板上の堆積処理の選択性を制御するために有用でありうる。
[0084] 1つ又は複数の実施形態では、操作704において、金属膜が基板上に形成される。1つ又は複数の実施形態では、金属膜は、原子層堆積(ALD)などの周期的堆積処理によって形成することができる。1つ又は複数の実施形態では、周期的堆積処理による金属膜の形成は、一般的に、基板を2つ以上の処理ガスに別々に曝露することを含みうる。時間領域ALDの実施形態では、各処理ガスへの曝露は、時間遅延/休止によって分けられ、これにより、処理ガスの成分が、基板表面に付着し、及び/又は基板表面で反応することが可能になる。代替的に、又は組み合わせにより、1つ又は複数の実施形態では、基板を処理ガスに曝露する前後にパージを行ってもよく、パージを行うためには、不活性ガスが使用される。例えば、第1の処理ガスを処理チャンバに供給し、その後、不活性ガスによるパージを行うことができる。次に、第2の処理ガスを処理チャンバに供給し、その後、不活性ガスによるパージを行うことができる。1つ又は複数の実施形態では、不活性ガスは、処理チャンバに連続的に供給されてもよく、第1の処理ガスが、処理チャンバ内に投与又はパルス供給され、その後、第2の処理ガスが、処理チャンバ内に投与又はパルス供給されうる。このような実施形態では、第1の処理ガスの投与と第2の処理ガスの投与との間に遅延又は休止が生じる場合があり、これにより、処理ガスの投与間に不活性ガスの連続流が処理チャンバをパージすることが可能になる。
[0085] 空間ALDの実施形態では、(2つの反応性ガスのみが使用される場合に)基板の一部が第1の反応性ガスに曝露され、基板の異なる部分が第2の反応性ガスに曝露されるように、各処理ガスへの曝露は、基板の種々の部分に対して同時に行われる。基板は、その上の各点が、第1の反応性ガスと第2の反応性ガスとの両方に連続的に曝露されるように、ガス供給システムに対して移動させられる。時間領域ALD又は空間ALD処理の任意の実施形態では、所定の層厚が基板表面上に形成されるまで、このシーケンスが繰り返されうる。
[0086] 本明細書で使用される「パルス(pulse)」又は「投与(dose)」は、処理チャンバ内に断続的に又は非連続的に導入される原料ガスの量を指すことが意図される。各パルス内の特定の化合物の量は、パルスの持続時間に応じて、経時的に変動しうる。特定の処理ガスは、単一の化合物、又は2つ以上の化合物の混合物/組み合わせ(例えば、以下に記載される処理ガス)を含みうる。
[0087] 各パルス/投与の持続時間は、可変であり、例えば、処理チャンバの空間容量、並びに処理チャンバに連結された真空システムの能力に適合するように調整されうる。さらに、処理ガスの投与時間は、処理ガスの流量、処理ガスの温度、制御バルブの種類、使用される処理チャンバの種類、及び基板表面に吸着する処理ガスの成分の能力に応じて変動しうる。投与時間は、形成される層の種類、及び形成されるデバイスの形状に基づいても変動しうる。投与時間は、基板の表面全体に実質的に吸着/化学吸着し、その上に処理ガス成分の層を形成するのに十分な量の化合物を供給するのに十分な長さでなければならない。
[0088] 1つ又は複数の実施形態では、操作704において、金属膜を形成する処理は、基板を第1の反応性ガスに曝すことによって開始することができる。1つ又は複数の実施形態では、第1の反応性ガスは、ハロゲン触媒を含む。他の実施形態では、第1の反応性ガスは、ハロゲン化アルキル触媒を含む。
[0089] 本明細書中で使用されるように、用語「ハロゲン化物」は、二相を指し、その一方の部分はハロゲン原子であり、他方の部分は、フッ化物、塩化物、臭化物、ヨウ化物、又はアスタチド化合物を作製するための、ハロゲンよりも電気陰性度が低い元素又はラジカルである。ハロゲン化物イオンは、負電荷を有するハロゲン原子である。当業者に知られているように、ハロゲン化物アニオンは、フッ化物(F-)、塩化物(Cl-)、臭化物(Br-)、ヨウ化物(I-)、及びアスタチド(At-)を含む。
[0090] 特に断らない限り、本明細書で単独で、又は別の基の一部として使用される「低級アルキル」、「アルキル」、又は「alk」という用語は、メチル、エチル、プロピル、イソプロピル、ブチル、t-ブチル、イソブチル、ペンチル、ヘキシル、イソヘキシル、ヘプチル、4,4-ジメチルペンチル、オクチル、2,2,4-トリメチル-ペンチル、ノニル、デシル、ウンデシル、ドデシル、これらの様々な分岐鎖異性体などの、1~20個の炭素を含有する直鎖炭化水素及び分岐鎖炭化水素の両方を含む。このような基は、1~4個までの置換基を任意に含むことができる。
[0091] 1つ又は複数の実施形態では、操作704において、金属膜を形成する処理は、ハロゲン化アルキル触媒を含む第1の反応性ガスに基板を曝露することによって開始される。1つ又は複数の実施形態では、第1の反応性ガスは、ハロゲン化アルキル触媒を含み、操作706に示すように、第1の期間、基板に曝露される。
[0092] 1つ又は複数の実施形態において、ハロゲン化アルキル触媒は、後の反応のために基板上にハロゲンの層を吸着するための任意の適切な反応物質でありうる。1つ又は複数の実施形態において、ハロゲン化アルキル触媒は、炭素及びハロゲンを含む。1つ又は複数の実施形態では、ハロゲンは臭素又はヨウ素を含む。1つ又は複数の実施形態では、ハロゲンは金属膜に不溶性である。これに関して使用されているように、金属膜に不溶性であるハロゲンは、原子基準で金属膜の約2%以下、約1%以下、又は約0.5%以下を含む。1つ又は複数の実施形態では、ハロゲン化アルキル触媒は、一般式R-Xを有し、式中、Rは、アルキル、アルケニル、アリール、又は他の炭素質基である。1つ又は複数の実施形態では、Rは、1~2個、1~4個、又は1~6個の炭素原子を含む。
[0093] 1つ又は複数の実施形態では、ハロゲン化アルキル触媒は、ヨードエタン(HI)又はジヨードメタン(CH)を含むか、又は実質的にこれらからなる。これに関して使用されているように、実質的に記載された種からなるハロゲン化アルキル触媒は、不活性希釈ガスを除いて、モル基準で記載された種の95%、98%、99%又は99.5%より多くを含む。
[0094] 1つ又は複数の実施形態では、ハロゲン化アルキル触媒は、ハロゲン化アルキル触媒含有ガスとして処理チャンバに送達される。1つ又は複数の実施形態では、ハロゲン化アルキル触媒含有ガスは、1つ又は複数のパルスで、又は連続的に供給することができる。1つ又は複数の実施形態では、ハロゲン化アルキル触媒含有ガスの流量は、約1~約5000sccmの範囲、又は約2~約4000sccmの範囲、又は約3~約3000sccmの範囲、又は約5~約2000sccmの範囲を含むが、これらに限定されない任意の適切な流量でありうる。ハロゲン化アルキル触媒含有ガスは、約5mTorr~約25Torrの範囲、又は約100mTorr~約20Torrの範囲、又は約5Torr~約20Torrの範囲、又は約50mTorr~約2000mTorrの範囲、又は約100mTorr~約1000mTorrの範囲、又は約200mTorr~約500mTorrの範囲の圧力を含むが、これらに限定されない任意の適切な圧力で提供されうる。
[0095] 基板がハロゲン化アルキル触媒含有ガスに曝露される期間は、ハロゲン化アルキル触媒が基板表面の上に適切な吸着層を形成することを可能にするのに必要な任意の適切な量の時間でありうる。例えば、処理ガスは、約0.1秒から約90秒の期間にわたって処理チャンバ内に流し込まれてもよい。いくつかの時間領域ALD処理では、ハロゲン化アルキル触媒含有ガスは、約0.1秒~約90秒の範囲、又は約0.5秒~約60秒の範囲、又は約1秒~約30秒の範囲、又は約2秒~約25秒の範囲、又は約3秒~約20秒の範囲、又は約4秒~約15秒の範囲、又は約5秒~約10秒の範囲の時間、基板表面に曝露される。
[0096] 1つ又は複数の実施形態では、ハロゲン化アルキル触媒含有ガスと同時に不活性ガスを処理チャンバに追加的に提供することができる。不活性ガスは、ハロゲン化アルキル触媒含有ガス(例えば、希釈ガスとして)と混合されてもよく、又は別々に提供されてもよく、パルス化されてもよく、又は一定流量であってもよい。1つ又は複数の実施形態では、不活性ガスは、約1~約10000sccmの範囲の一定流量で処理チャンバに流し込まれる。不活性ガスは、任意の不活性ガス、例えばアルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、又はこれらの組み合わせであってもよい。
[0097] 堆積中の基板の温度は、例えば、基板支持体又はサセプタの温度を設定することによって制御することができる。1つ又は複数の実施形態では、基板は、約0℃~約600℃の範囲、又は約25℃~約500℃の範囲、又は約50℃~約450℃の範囲、又は約100℃~約400℃の範囲、又は約100℃~約200℃の範囲、又は約250℃~約350℃の範囲の温度に保持される。1つ又は複数の実施形態では、基板は、金属前駆体の分解温度未満の温度に維持される。1つ又は複数の実施形態では、基板は、ハロゲン化アルキル触媒の分解温度未満の温度に維持される。1つ又は複数の実施形態では、基板は、ハロゲン化アルキル触媒の分解温度と金属前駆体の分解温度との間の温度に維持される。
[0098] 1つ又は複数の実施形態では、基板は、約400℃以下、又は約350℃以下、又は約300℃未満に維持される。1つ又は複数の実施形態では、基板は、約250℃以上、又は約300℃以上、又は約350℃以上に維持される。1つ又は複数の実施形態では、基板は約280℃の温度に維持される。
[0099] 上記に加えて、基板をハロゲン化アルキル触媒含有ガスに曝露しながら、追加の処理パラメータを調節することができる。例えば、1つ又は複数の実施形態では、処理チャンバは、約0.2~約100Torr、又は約0.3~約90Torrの範囲、又は約0.5~約80Torrの範囲、又は約1~約50Torrの範囲の圧力で維持されうる。
[00100] 次に、708では、(特に時間領域ALDにおいて)処理チャンバは、不活性ガスを使用してパージされてもよい(空間的ALD処理では、反応性ガスを分離するガスカーテンが存在するため、これは必要とされない場合がある)。不活性ガスは、任意の不活性ガス(例えば、アルゴン、ヘリウム、ネオンなど)であってもよい。1つ又は複数の実施形態では、不活性ガスは、操作706において、基板をハロゲン化アルキル触媒に曝露する間に処理チャンバに供給される不活性ガスと同一であってもよく、又は代替的にそれと異なっていてもよい。不活性ガスが同一である実施形態では、パージは、第1の処理ガスを処理チャンバから偏流させ、不活性ガスが処理チャンバを通って流れることを可能にすることによって実行されてよく、処理チャンバから任意の過剰な第1の処理ガス成分又は反応副生成物をパージする。1つ又は複数の実施形態では、不活性ガスは、上述の第1の処理ガスに関連して使用される流量と同じ流量で供給されてよく、或いは、1つ又は複数の実施形態では、流量を増加又は減少させてもよい。例えば、1つ又は複数の実施形態では、不活性ガスは、処理チャンバをパージするため、約0~約10000sccmの流量で処理チャンバに供給されてもよい。空間的ALDでは、反応性ガスの流れと流れとの間にパージガスカーテンが維持されており、処理チャンバをパージすることが不要な場合がある。空間的ALD処理の1つ又は複数の実施形態では、処理チャンバ又は処理チャンバの領域は、不活性ガスでパージされうる。
[00101] 不活性ガスの流れは、処理チャンバからの任意の過剰な第1の処理ガス成分及び/又は過剰な反応副生成物の除去を促進させ、第1及び第2の処理ガスの望ましくない気相反応を防止することができる。
[00102] 次に、操作710において、基板は、第2の時間の間、第2の処理ガスに曝露される。第2の処理ガスは、基板表面上のハロゲンの吸着層と反応して金属膜を堆積させる前駆体を含む。1つ又は複数の実施形態では、第2の反応性ガスはまた、前駆体ガスと称されてもよい。
[00103] 1つ又は複数の実施形態では、前駆体は、基板上の吸着されたハロゲン層と反応するための任意の適切な前駆体でありうる。1つ又は複数の実施形態において、前駆体は、金属及び少なくとも2つのリガンドを含む。1つ又は複数の実施形態では、金属は、1つ又は複数の金属原子を含む。
[00104] 1つ又は複数の実施形態では、金属は、モリブデン(Mo)、ルテニウム(Ru)、コバルト(Co)、銅(Cu)、白金(Pt)、ニッケル(Ni)、又はタングステン(W)から選択される。他の実施形態では、金属は、ルテニウム(Ru)、タングステン(W)、又はモリブデン(Mo)から選択される。1つ又は複数の特定の実施形態では、金属はルテニウム(Ru)を含む。
[00105] 1つ又は複数の実施形態では、前駆体は、複数の、少なくとも2つの有機リガンドを含む。1つ又は複数の実施形態では、前駆体は、金属M、及び芳香族配位子L、及び脂肪族配位子Lを含む。1つ又は複数の特定の実施形態では、前駆体は、ルテニウム、芳香族配位子L、及び脂肪族配位子Lを含む。いくつかの実施形態では、前駆体は、2つ以上の脂肪族配位子Lを含む。1つ又は複数の実施形態では、前駆体は、2~8個の脂肪族リガンドLを含む。2つ以上の脂肪族配位子Lが存在する場合、L配位子は同じであっても異なっていてもよい。
[00106] 1つ又は複数の実施形態では、前駆体は、一般式(I):M-L(L(式中、Mは金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~6、及び2~5を含む2~8の範囲の数である)のものである。
[00107] 1つ又は複数の実施形態では、芳香族配位子Lは、η、η、η、及びηから選択されるπ電子系を含む。いくつかの実施形態では、芳香族配位子Lは、1-メチル-4-イソプロピルベンゼンを含む。
[00108] 1つ又は複数の実施形態では、脂肪族配位子Lは、脂肪族ジエンを含む。1つ又は複数の実施形態では、脂肪族配位子Lは、1,5-ヘキサジエン、1,4-ヘキサジエン、1,3-ヘキサジエンのうちの1つ又は複数を含む。1つ又は複数の実施形態では、脂肪族配位子Lは、約4%未満の1,3-ヘキサジエン、約3%未満の1,3-ヘキサジエン、約2%未満の1,3-ヘキサジエン、及び約1%未満の1,3-ヘキサジエンを含む、5%未満の1,3-ヘキサジエンを含む。
[00109] 1つ又は複数の実施形態では、本方法は、一般式(I):M-L(L(式中、Mは金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~8の範囲の数であり、Lは1,5-ヘキサジエン、1,4-ヘキサジエン、及び5%未満の1,3-ヘキサジエンを含む)の前駆体に基板表面を曝露することによって基板表面上に膜を形成することを含む。
[00110] 1つ又は複数の実施形態では、前駆体は、金属M及び芳香族配位子L、並びに少なくとも2つの脂肪族配位子Lを含む。1つ又は複数の実施形態では、脂肪族配位子Lは、不斉環状ジエンをさらに含む。1つ又は複数の実施形態では、不斉環状ジエンは、3-(2-プロペニル)-シクロヘキセン、1-(2-プロペニル)-シクロヘキセン、1,3-プロパジエン-シクロヘキサン、及び1,2-ジビニルシクロヘキサンのうちの1つ又は複数を含む。
[00111] 理論に束縛されることを意図するものではないが、L脂肪族配位子の混合物中のヘキサジエン異性体の比率を制御することは、優れた性能を有する間隙充填を生成すると考えられている。したがって、1つ又は複数の実施形態では、前駆体中に存在する他のL脂肪族配位子に対する1,5-ヘキサジエンの比は、50%を超えるべきである。いくつかの実施形態では、前駆体中に存在する1,5-ヘキサジエンと他のL脂肪族リガンドとの比は、約50:50から約60:40の範囲にある。1つ又は複数の実施形態では、yは2~6の範囲の数であり、1,4-ヘキサジエン、1,3-ヘキサジエン及び不斉環状ジエンの合計に対する1,5-ヘキサジエンの比は、約50:50から約60:40の範囲にある。1つ又は複数の実施形態では、不斉環状ジエンは、3-(2-プロペニル)-シクロヘキセン、1-(2-プロペニル)-シクロヘキセン、1,3-プロパジエン-シクロヘキサン、及び1,2-ジビニルシクロヘキサンのうちの1つ又は複数を含む。したがって、1つ又は複数の実施形態では、yは2~6の範囲の数であり、1,4-ヘキサジエン、1,3-ヘキサジエン、3-(2-プロペニル)-シクロヘキセン、1-(2-プロペニル)-シクロヘキセン、1,3-プロパジエン-シクロヘキサン、及び1,2-ジビニルシクロヘキサンの合計に対する1,5-ヘキサジエンの比は、約50:50から約60:40の範囲にある。
[00112] 1つ又は複数の実施形態では、前駆体の金属は、堆積された金属膜の金属に対応する。1つ又は複数の実施形態では、金属は、モリブデン(Mo)、ルテニウム(Ru)、コバルト(Co)、銅(Cu)、白金(Pt)、ニッケル(Ni)、又はタングステン(W)から選択される。1つ又は複数の実施形態において、前駆体の金属は、ゼロの酸化状態を有する。言い換えるならば、1つ又は複数の実施形態において、金属前駆体は、ゼロ価金属錯体を含む。
[00113] 基板を前駆体ガスに曝露する間に、追加の処理パラメータを調整することができる。例えば、1つ又は複数の実施形態では、処理チャンバは、約0.2~約100Torr、又は約0.3~約90Torrの範囲、又は約0.5~約80Torrの範囲、又は約1~約50Torrの範囲の圧力で維持されうる。
[00114] 1つ又は複数の実施形態では、前駆体は、前駆体ガスとして処理チャンバに送達される。前駆体ガスは、1つ又は複数のパルスで、又は連続的に供給されてもよい。前駆体ガスの流量は、約1~約5000sccmの範囲、又は約2~約4000sccmの範囲、又は約3~約3000sccmの範囲、又は約5~約2000sccmの範囲を含むが、これらに限定されない任意の好適な流量でありうる。前駆体ガスは、約5mTorr~約25Torrの範囲、又は約100mTorr~約20Torrの範囲、又は約5Torr~約20Torrの範囲、又は約50mTorr~約2000mTorrの範囲、又は約100mTorr~約1000mTorrの範囲、又は約200mTorr~約500mTorrの範囲を含むが、これらに限定されない任意の適切な圧力で提供されうる。
[00115] 1つ又は複数の実施形態では、基板が金属前駆体ガスに曝露される期間は、金属前駆体が基板表面上の吸着されたハロゲンと反応することを可能にするのに必要な任意の適切な時間でありうる。例えば、処理ガスは、約0.1秒から約90秒の期間にわたって処理チャンバ内に流し込まれてもよい。いくつかの時間領域ALD処理では、前駆体ガスは、約0.1秒~約90秒の範囲、又は約0.5秒~約60秒の範囲、又は約1秒~約30秒の範囲、又は約2秒~約25秒の範囲、又は約3秒~約20秒の範囲、又は約4秒~約15秒の範囲、又は約5秒~約10秒の範囲の時間、基板表面に曝露される。
[00116] 1つ又は複数の実施形態では、不活性ガスを、前駆体ガスと同時に処理チャンバに追加的に供給することができる。不活性ガスは、前駆体ガス(例えば、希釈ガスとして)と混合されてもよく、又は別々に提供されてもよく、パルス化されてもよく、又は一定流量であってもよい。1つ又は複数の実施形態では、不活性ガスは、約1~約10000sccmの範囲の一定流量で処理チャンバに流し込まれる。不活性ガスは、任意の不活性ガス(例えば、アルゴン、ヘリウム、ネオン、窒素、又はこれらの組み合わせ等)であってもよい。
[00117] 1つ又は複数の実施形態では、操作712において、処理チャンバは、不活性ガスを使用してパージされてもよい。不活性ガスとしては、例えば、アルゴン(Ar)、ヘリウム(He)、ネオン(Ne)等の不活性ガスを用いることができる。1つ又は複数の実施形態では、不活性ガスは、以前の処理ルーチン中に処理チャンバに供給される不活性ガスと同じであってもよく、又は代替的に、異なるものであってもよい。不活性ガスが同一である実施形態では、第2の処理ガスを処理チャンバから偏流させ、不活性ガスが処理チャンバを通って流れることを可能にすることによってパージが実行されてよく、処理チャンバから任意の過剰な第2の処理ガス成分又は反応副生成物をパージする。1つ又は複数の実施形態では、不活性ガスは、上述の第2の処理ガスと併せて使用される同じ流量で供給されてもよく、又は1つ又は複数の実施形態では、流量は増加又は減少されてもよい。例えば、1つ又は複数の実施形態では、不活性ガスは、処理チャンバをパージするために、0sccmより大きな流量から約10,000sccmまでの流量で処理チャンバに供給されてもよい。
[00118] 図7に示した処理方法の一般的な実施形態は、反応性ガスの2つのパルスのみを含むが、これは単に例示的なものであり、反応性ガスの追加のパルスが使用されてもよいことが理解されるであろう。1つ又は複数の実施形態では、この方法は、酸素含有反応性ガスを使用せずに実施される。操作704のサブ工程は、サイクルを含む。サイクルは、反応性ガスが処理チャンバのパージによって分離される限り、任意の順序で実行されてもよい。1つ又は複数の実施形態では、金属膜は、約0.2Å/サイクル以上、約0.3Å/サイクル以上、約0.4Å/サイクル以上、約0.5Å/サイクル以上、約0.6Å/サイクル以上、約0.7Å/サイクル以上、約0.8Å/サイクル以上、約0.9Å/サイクル以上、約1.0Å/サイクル以上、又は約1.2Å/サイクル以上の速度で堆積される。
[00119] 堆積処理は、プラズマ反応物質を使用せずに熱処理として実行される。言い換えるならば、1つ又は複数の実施形態では、この方法は、プラズマなしで実行される。
[00120] 1つ又は複数の実施形態では、判定点714で、金属膜が所定の厚さに達したかどうかが判定される。所定の厚さが達成されなかった場合には、方法700は、操作704に戻り、所定の厚さに達するまで金属膜の形成を継続する。所定の厚さに達すると、方法700は、終了するか、任意選択のさらなる処理(例えば、別の金属膜のバルク堆積)のために、操作716に進むことができる。1つ又は複数の実施形態では、金属膜は、約10Å~約10,000Å、又は1つ又は複数の実施形態では、約10Å~約1000Å、又は1つ又は複数の実施形態では、約50Å~約5,000Åの総層厚を形成するように堆積されてもよい。
[00121] 1つ又は複数の実施形態では、金属層は、約75原子%以上のルテニウム、又は約80原子%以上のルテニウム、又は約85原子%以上のルテニウム、又は約90原子%以上のルテニウム、又は約95原子%以上のルテニウムを含む。
[00122] 1つ又は複数の実施形態では、金属層は、約10原子%以下の酸素、又は約9原子%以下の酸素、又は約8原子%以下の酸素、又は約7原子%以下の酸素、又は約6原子%以下の酸素、又は約5原子%以下の酸素、又は約4原子%以下の酸素、又は約3原子%以下の酸素を含む。
[00123] 1つ又は複数の実施形態では、金属層は、約0.02~約5原子%のヨウ素、又は約1原子%以下のヨウ素を含む。
[00124] 1つ又は複数の実施形態では、金属層は、約20原子%以下の炭素、又は約15原子%以下の炭素、又は約10原子%以下の炭素、又は約5原子%以下の炭素を含む。
[00125] 1つ又は複数の実施形態において、金属層は、約90原子%以上のルテニウム、約3原子%以下の酸素、約1原子%以下のヨウ素及び約10原子%以下の炭素を含む。
[00126] 1つ又は複数の実施形態では、金属層は、約40μΩ・cm以下、又は約35μΩ・cm以下、又は約30μΩ・cm以下、又は約25μΩ・cm以下、又は約20μΩ・cm以下の抵抗率を有する。1つ又は複数の実施形態では、金属層はルテニウムを含み、約40μΩ・cm以下、又は約35μΩ・cm以下、又は約30μΩ・cm以下、又は約25μΩ・cm以下、又は約20μΩ・cm以下の抵抗率を有する。
[00127] 1つ又は複数の実施形態では、金属膜は、金属膜をアニールすることによってさらに処理される。理論に束縛されるものではないが、アルゴン(Ar)又は水素(H)雰囲気下で、高温で膜をアニールすると、金属膜中の炭素及びハロゲン不純物が減少すると考えられる。1つ又は複数の実施形態では、金属膜は、炭素及び/又はハロゲン不純物の原子濃度を低減するために、アルゴン又は水素ガス(H)を含む雰囲気下でアニールされる。
[00128] 1つ又は複数の実施形態によって堆積された金属膜は、公知の酸素ベースの堆積処理によって堆積された膜よりも滑らかである。1つ又は複数の実施形態では、金属膜は、金属膜の厚さの約10%以下、約8%以下、約5%以下、又は約2%以下の表面粗さを有する。
[00129] 金属膜の純度は高い。1つ又は複数の実施形態では、金属膜は、原子ベースで約2%以下、約1%以下、又は約0.5%以下の炭素含有量を有する。1つ又は複数の実施形態では、金属膜は、原子ベースで約1%以下、又は約0.5%以下のハロゲン含有量を有する。1つ又は複数の実施形態では、金属膜は、原子ベースで約95%以上、約97%以上、約99%以上、約99.5%以上、又は約99.9%以上の純度を有する。
[00130] 本開示の1つ又は複数の実施形態は、第1の誘電体表面上の第2の金属表面上に第1の金属膜を選択的に堆積させる。これらの方法は、提供される基板が第1の誘電体表面及び第2の金属表面を含むことを除いて、上述の方法700と同様である。(金属膜の)第1の金属と(基板表面の)第2の金属とは、同じ金属であってよく、異なる金属であってもよい。1つ又は複数の実施形態では、第1の金属は、モリブデン(Mo)、ルテニウム(Ru)、コバルト(Co)、銅(Cu)、白金(Pt)、ニッケル(Ni)、又はタングステン(W)であり、第2の金属は、タングステン(W)、コバルト(Co)、又は銅(Cu)である。
[00131] 1つ又は複数の実施形態では、第1の誘電体表面は、任意の適切な誘電体材料から形成することができる。1つ又は複数の実施形態では、誘電体材料は、窒素原子又は酸素原子を含む。理論に束縛されるものではないが、これらの材料は、ハロゲン化アルキル触媒と反応し、ハロゲンが金属前駆体との反応を触媒するように基板表面上に吸着するのを防止すると考えられる。したがって、誘電体表面に金属膜が形成されることは、たとえあったとしても、ほんの少しである。
[00132] 1つ又は複数の実施形態において、堆積温度は、ハロゲン化アルキル触媒の分解温度未満である。ここでも、理論に束縛されるものではないが、ハロゲン化アルキル触媒が分解する場合、ハロゲンは、(組成にかかわらず)すべての表面上の金属前駆体との反応に利用可能であり、誘電体表面を含むすべての基板表面上に金属膜堆積をもたらすと考えられる。1つ又は複数の実施形態では、堆積温度は、ハロゲン化アルキル触媒の分解温度以上である。
[00133] 本開示の1つ又は複数の実施形態は、第2の金属表面上の第1の誘電体表面上に第1の金属膜を選択的に堆積させる。これらの方法は、提供される基板が第1の誘電体表面及び第2の金属表面を含み、基板が操作703でブロッキング化合物に曝露されることを除いて、上述の方法700と同様である。
[00134] 1つ又は複数の実施形態では、操作703において、少なくとも第2の金属表面及び第1の誘電体表面を含む基板が、ブロッキング化合物に曝露される。ブロッキング化合物は、第2の金属表面上の堆積をブロッキングするための任意の適切な化合物でありうる。1つ又は複数の実施形態では、ブロッキング化合物は、2つの炭素原子間に少なくとも1つの三重結合を含む。言い換えるならば、1つ又は複数の実施形態において、ブロッキング化合物はアルキンを含む。1つ又は複数の実施形態では、ブロッキング化合物は、R’≡R”の一般式を有する。1つ又は複数の実施形態では、R’及びR”は同一である。1つ又は複数の実施形態では、R’及び/又はR”は、アルキル又は他の炭素質基である。1つ又は複数の実施形態では、ブロッキング化合物は、4~12個の炭素原子を含む。1つ又は複数の実施形態では、R’及び/又はR”は線形である。1つ又は複数の実施形態では、R’及び/又はR”は分岐している。1つ又は複数の実施形態では、ブロッキング化合物は、3-ヘキシンを含む。
[00135] (金属膜の)第1の金属と(基板表面の)第2の金属とは、同じ金属であってよく、異なる金属であってもよい。1つ又は複数の実施形態では、第1の金属は、モリブデン(Mo)、ルテニウム(Ru)、コバルト(Co)、銅(Cu)、白金(Pt)、ニッケル(Ni)、又はタングステン(W)であり、第2の金属は、タングステン(W)、コバルト(Co)、又は銅(Cu)である。
[00136] 第1の誘電体表面は、任意の適切な誘電体材料から形成されてもよい。1つ又は複数の実施形態では、誘電体材料は、窒素原子又は酸素原子を含む。
[00137] 前述のように、1つ又は複数の実施形態では、堆積温度は、ハロゲン化アルキル触媒の分解温度以上である。1つ又は複数の実施形態では、堆積温度は、約250℃以上、約260℃以上、約270℃以上、約280℃以上、約290℃以上、又は約300℃以上である。1つ又は複数の実施形態では、堆積温度は、約250℃~約450℃の範囲、又は約300℃~約400℃の範囲である。1つ又は複数の実施形態では、堆積温度は約350℃である。
[00138] 前述のように、理論に束縛されるものではないが、これらの材料はハロゲン化アルキル触媒と反応し、ハロゲンが基板表面に吸着して金属前駆体との反応を触媒するのを防ぐと考えられる。したがって、誘電体表面に金属膜が形成されることは、たとえあったとしても、ほんの少しである。
[00139] しかしながら、1つ又は複数の実施形態では、堆積温度がハロゲン化アルキル触媒の分解温度を超える場合、ハロゲン原子は基板表面全体に堆積され、それによって誘電体表面上への堆積が可能になる。1つ又は複数の実施形態では、金属表面は、金属表面上に形成される金属膜は、たとえあったとしても、ほんの少しであるように、ブロッキング化合物によってブロックされる。したがって、金属膜の堆積は、金属表面上の誘電体表面に対して選択的である。
[00140] 一般的に言えば、1つ又は複数の実施形態では、高純度金属膜の堆積は、以下のように理解することができる。堆積温度に維持された基板をハロゲン化アルキル触媒(R-X)に曝露して、基板上にR及びXを吸着させる。ここで、Rは炭素質基であり、Xはハロゲンである。1つ又は複数の実施形態では、Xは、フッ素(F)、塩素(Cl)、臭素(Br)、ヨウ素(I)、及びアスタチン(At)のうちの1つ又は複数から選択されるハロゲンである。Rは、R-R又はR-の形態で脱着され、基板上に吸着されたXを残す。基板は、前駆体、M-L(L(式中、Mは金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~6、及び2~5を含む2~8の範囲の数である)に曝露される。1つ又は複数の実施形態では、Lは、1,5-ヘキサジエン、1,4-ヘキサジエン、及び5%未満の1,3-ヘキサジエンを含む。他の実施形態において、脂肪族配位子Lは、不斉環状ジエンをさらに含む。いくつかの実施形態では、不斉環状ジエンは、3-(2-プロペニル)-シクロヘキセン、1-(2-プロペニル)-シクロヘキセン、1,3-プロパジエン-シクロヘキサン、及び1,2-ジビニルシクロヘキサンのうちの1つ又は複数を含む。
[00141] 1つ又は複数の実施形態では、M-L(Lは、吸着されたXと反応して、基板表面上にM-Xを形成し、L(Lを遊離させる。M-Xは基板上の他のM-X部分と反応してM-Mを形成する。この反応はX-X又はX-のいずれかを生成する。X-Xは、脱着され、パージされてもよい。Xは、M-L(Lとさらに反応するために表面上に残っていてもよい。
[00142] 1つ又は複数の実施形態では、この一般的なメカニズムは、いくつかの前提に依存する。第1に、XはMに溶解しない。理論に束縛されることを意図するものではないが、Xの不溶性は、Xが最終金属膜内にかなりの量で見出されないことを意味する。この前提を無視することは可能であるが(例えば、Mに可溶なハロゲンを利用する)、Mに可溶なハロゲン(X)を使用することは、より低純度の金属膜を提供すると考えられる。第2に、結合強度に関して、M-L(Lは、M-Mよりも弱いM-Xよりも弱い。ここでも、理論に束縛されることを意図するものではないが、これらの熱力学的関係は、上記で同定された反応が熱力学的に好ましいことを保証する。最後に、M-L(Lは堆積温度で熱的に安定である。言い換えるならば、金属前駆体の熱分解温度は、堆積温度よりも高い。ここでの理論は、金属前駆体が分解する場合、堆積された膜は、典型的には炭素不純物として見られるかなりの量の前駆体配位子L及び(Lを含有することを述べている。
[00143] 1つ又は複数の実施形態では、驚くべきことに、一般式(I)M-L(Lの前駆体、ハロゲン化アルキル触媒及び上記の要件のすべてを満たす処理条件を含む処理は、高純度の金属膜を堆積させ、継ぎ目なしの間隙充填処理に特に適していることが見出された。
[00144] さらに、驚くべきことに、堆積温度がハロゲン触媒、例えばハロゲン化アルキル触媒の熱分解温度未満である場合、堆積処理は、ブロッキング層の使用を必要とせずに、誘電体表面上の金属表面に対して選択的であることが見出された。
[00145] さらに、驚くべきことに、堆積温度がハロゲン触媒、例えばハロゲン化アルキル触媒の熱分解温度以上である場合、金属表面を小さなアルキンブロッキング化合物に曝露することによって堆積処理を選択的にすることができることが見出された。
[00146] 本開示の1つ又は複数の実施形態は、高アスペクト比構造を含む基板上に共形の金属膜を堆積させる方法を有利に提供する。この点に関して使用されているように、用語「共形」は、金属膜の厚さが基板表面にわたって均一であることを意味する。本明細書及び添付の特許請求の範囲で使用されているように、用語「実質的に共形」は、金属膜の厚さが、膜の平均厚さに対して約10%、5%、2%、1%、又は0.5%を超えて変化しないことを意味する。言い換えるならば、実質的に共形である膜は、約90%、95%、98%、99%又は99.5%を超える共形性を有する。
[00147] 本開示の1つ又は複数の実施形態は、ルテニウム導電層を備えるメモリデバイスを対象とする。1つ又は複数の実施形態では、ルテニウム導電層は、約90at%以上のルテニウム、約3at%以下の酸素、約1at%以下のヨウ素、及び約10at%以下の炭素を含み、約40μΩ・cm以下の抵抗率を有する。
[00148] 1つ又は複数の実施形態では、ルテニウム導電層は、バリア層上に形成される。1つ又は複数の実施形態のバリア層は、約10Å、20Å、30Å、40Å、又は50Å以下の厚さを有する。1つ又は複数の実施形態では、ルテニウム導電層は、介在バリア層なしで基板上に形成される。
[00149] 上記の開示は、反応物質の連続パルスによる金属膜の堆積に関する。以下の開示は、同時又は定流量処理による金属膜の堆積に関する。1つ又は複数の実施形態では、連続パルス法はALD法である。1つ又は複数の実施形態では、同時又は定流量法はCVD法である。処理工程は異なるが、反応物質及び処理パラメータの多くは類似している。
[00150] 図8は、本開示の1つ又は複数の実施形態による、基板上に金属膜を形成するための一般化された方法800を示す処理フロー図を示す。図9は、本開示の1つ又は複数の実施形態による処理のための例示的な基板を示す。方法800は、一般的に、金属膜が形成される基板900が処理チャンバ内に配置される操作810で始まる。
[00151] 図9を参照すると、例示的な基板900が示されている。1つ又は複数の実施形態では、基板900は、その中に少なくとも1つのフィーチャ910を有する基板表面905を有する。フィーチャ910は、側壁912、914及び底部916を有する。1つ又は複数の実施形態では、誘電材料920が側壁912、914を形成し、金属材料930が底部916を形成する。
[00152] 1つ又は複数の実施形態では、基板900は、前処理ステップを受けてもよい。815において、基板は、任意選択で、基板表面上に形成された1つ又は複数の層を有してもよい。
[00153] 1つ又は複数の実施例では、金属窒化物ライナがフィーチャ910内に堆積される。1つ又は複数の実施例では、金属窒化物ライナは窒化チタンを含む。1つ又は複数の実施形態では、金属窒化物ライは、約15Å~約40Åの範囲の厚さを有する。1つ又は複数の実施形態では、金属窒化物ライナは、約20Å又は約30Åの厚さを有する。
[00154] 1つ又は複数の実施形態では、シード層が基板表面上に堆積される。1つ又は複数の実施形態では、シード層は共形層である。1つ又は複数の実施形態では、シード層は連続的である。1つ又は複数の実施形態では、シード層の厚さは、約1nm~約5nmの範囲、又は約1nm~約4nmの範囲である。1つ又は複数の実施形態では、シード層は、既知の原子層堆積法によって堆積されたルテニウム層を含む。1つ又は複数の実施形態では、シード層は、前駆体曝露及び介在するパージを伴うハロゲン化アルキル触媒曝露を含むALDサイクルによって堆積される。1つ又は複数の実施形態では、シード層は、前駆体曝露及び介在するパージを伴うアンモニアプラズマ曝露を含むALDサイクルによって堆積される。
[00155] 1つ又は複数の実施形態では、前駆体は、一般式(I):M-L(L(式中、Mは金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~6、及び2~5を含む2~8の範囲の数である)のものである。1つ又は複数の実施形態では、脂肪族配位子Lは、脂肪族ジエンを含む。1つ又は複数の実施形態では、脂肪族配位子Lは、1,5-ヘキサジエン、1,4-ヘキサジエン、1,3-ヘキサジエンのうちの1つ又は複数を含む。1つ又は複数の実施形態では、脂肪族配位子Lは、約4%未満の1,3-ヘキサジエン、約3%未満の1,3-ヘキサジエン、約2%未満の1,3-ヘキサジエン、及び約1%未満の1,3-ヘキサジエンを含む、5%未満の1,3-ヘキサジエンを含む。1つ又は複数の実施形態では、前駆体は、金属、M、及び芳香族配位子Lと、少なくとも2つの脂肪族配位子Lとを含む。1つ又は複数の実施形態では、脂肪族配位子Lは、不斉環状ジエンをさらに含む。1つ又は複数の実施形態では、不斉環状ジエンは、3-(2-プロペニル)-シクロヘキセン、1-(2-プロペニル)-シクロヘキセン、1,3-プロパジエン-シクロヘキサン、及び1,2-ジビニルシクロヘキサンのうちの1つ又は複数を含む。
[00156] 1つ又は複数の実施形態では、操作820において、基板は、任意選択でブロッキング化合物に曝露される。この処理ステップは、以下でより詳細に説明されるが、金属表面及び誘電体表面の両方を含む基板上の堆積処理の選択性を制御するために有用でありうる。
[00157] 1つ又は複数の実施形態では、830において、金属膜が基板上に形成される。金属膜を形成する処理は、操作830において、触媒ガスで基板を浸漬することによって開始することができる。1つ又は複数の実施形態では、触媒ガスは、ハロゲン化アルキル触媒を含み、操作840に示すように、第1の期間、基板に曝露される。
[00158] 1つ又は複数の実施形態では、ハロゲン触媒、例えばハロゲン化アルキル触媒は、後の反応のために基板上の層を吸着するための任意の適切な反応物質でありうる。言い換えるならば、ハロゲン触媒、例えばハロゲン化アルキル触媒に基板を浸漬すると、活性化基板表面が形成される。ハロゲン触媒、例えばハロゲン化アルキル触媒は、上記及び本明細書の他の箇所に記載されている。
[00159] 1つ又は複数の実施形態では、ハロゲン触媒、例えばハロゲン化アルキル触媒を、1つ又は複数のパルスで、又は連続的に処理チャンバに供給することができる。1つ又は複数の実施形態では、ハロゲン触媒、例えばハロゲン化アルキル触媒は、不活性キャリアガスを供給され、ハロゲン化アルキル触媒含有ガスと称される。ハロゲン触媒、例えばハロゲン化アルキル触媒、又はハロゲン触媒、例えばハロゲン化アルキル触媒の流量及び圧力は、任意の適切な値でありうる。ハロゲン触媒、例えばハロゲン化アルキル触媒含有ガスについて本明細書の他の箇所に開示される例示的な流量及び圧力もまた、この実施形態において適用可能である。
[00160] 1つ又は複数の実施形態では、基板がハロゲン触媒、例えばハロゲン化アルキル触媒に浸漬される時間は、ハロゲン触媒が基板表面上に適切な吸着層を形成するのに必要な任意の適切な時間であってよい。例えば、ハロゲン触媒は、約3秒を超える期間又は約5秒を超える期間、基板を浸漬させることができる。1つ又は複数の実施形態では、浸漬期間は、約3秒~約60秒の範囲である。
[00161] 1つ又は複数の実施形態では、ハロゲン触媒、例えばハロゲン化アルキル触媒含有ガスと同時に、不活性ガスを処理チャンバに追加的に供給することができる。不活性ガスは、ハロゲン触媒(例えば、希釈ガスとして)と混合されてもよく、又は別々に提供されてもよく、パルス化されてもよく、又は一定流量であってもよい。不活性ガスは、任意の不活性ガス(例えば、アルゴン、ヘリウム、ネオン、窒素、又はこれらの組み合わせ等)であってもよい。
[00162] 1つ又は複数の実施形態では、操作850において、基板は、第2の期間の間、第2の処理ガスに曝露される。第2の処理ガスは、基板表面上のハロゲン化アルキル触媒又はハロゲンの吸着層と反応して金属膜を堆積させる金属前駆体を含む。第2の反応性ガスはまた、金属前駆体ガスとも称される。
[00163] 1つ又は複数の実施形態では、金属前駆体は、基板上の吸着されたハロゲン化アルキル触媒層又はハロゲン層と反応するための任意の適切な前駆体でありうる。適切な金属前駆体は、本明細書の他の箇所に記載されている。
[00164] 1つ又は複数の実施形態では、金属前駆体は、金属前駆体ガスとして処理チャンバに送達される。金属前駆体ガスは、1つ又は複数のパルスで、又は連続的に供給されてもよい。金属前駆体ガスの流量及び圧力は、任意の適切な流量及び圧力でありうる。流量及び圧力の例示的な値は、本明細書の他の箇所で説明される。
[00165] 1つ又は複数の実施形態では、基板が金属前駆体ガスに曝露される期間は、金属前駆体が基板表面上の吸着されたハロゲンと反応することを可能にするのに必要な任意の適切な時間でありうる。例えば、処理ガスは、約60秒以上の期間、処理チャンバ内に流し込まれてもよい。1つ又は複数の実施形態では、金属前駆体への曝露期間は、約100秒、約200秒、約300秒、約400秒、又は約500秒である。
[00166] 金属前駆体への曝露中の基板の温度は、例えば、基板支持体又はサセプタの温度を設定することによって制御することができる。この温度は、堆積温度とも称される。1つ又は複数の実施形態では、基板は、金属前駆体の分解温度未満の温度に維持される。1つ又は複数の実施形態では、基板は、ハロゲン化アルキル触媒の分解温度未満の温度に維持される。1つ又は複数の実施形態では、基板は、ハロゲン化アルキル触媒の分解温度と金属前駆体の分解温度との間の温度に維持される。
[00167] 1つ又は複数の実施形態では、基板は、約400℃以下、又は約350℃以下、又は約300℃以下、又は約250℃以下、又は約200℃以下に維持される。1つ又は複数の実施形態では、基板は、約150℃以上、又は約200℃以上、又は約250℃以上、又は約300℃以上、又は約350℃以上に維持される。1つ又は複数の実施形態では、基板は、約225℃又は約280℃の温度に維持される。
[00168] 1つ又は複数の実施形態では、堆積処理は、プラズマ反応物質を使用せずに熱処理として実行される。言い換えるならば、1つ又は複数の実施形態では、この方法は、プラズマなしで実行される。
[00169] 1つ又は複数の実施形態では、操作860において、金属膜が所定の厚さに達したかどうかが判定される。所定の厚さが達成されていない場合、方法800は850に戻り、所定の厚さに達するまで基板を金属前駆体に曝露し続ける。所定の厚さに達すると、方法800は、終了するか、又は任意のさらなる処理のために870に進むことができる。1つ又は複数の実施形態では、金属膜は、約10Å~約10,000Å、又は1つ又は複数の実施形態では、約20Å~約1000Å、又は1つ又は複数の実施形態では、約50Å~約200Åの総層厚を形成するように堆積されてもよい。
[00170] 本開示の1つ又は複数の実施形態は、第1の誘電体表面上の金属表面上に金属膜を選択的に堆積させる。これらの方法は、上述の方法800と同様である。提供される基板は、誘電体表面及び金属表面を含む。1つ又は複数の実施形態では、図9に示すような基板を処理して、フィーチャ910の底部916の金属表面上にボトムアップ間隙充填を選択的に形成する。
[00171] 金属膜の金属と基板表面の金属とは、同じ金属であってよく、異なる金属であってもよい。誘電体表面は、任意の適切な誘電体材料から形成することができる。1つ又は複数の実施形態では、誘電体材料は、窒素原子又は酸素原子を含む。理論に束縛されるものではないが、これらの材料は、ハロゲン化アルキル触媒と反応し、ハロゲンが金属前駆体との反応を触媒するように基板表面上に吸着するのを防止すると考えられる。したがって、誘電体表面に金属膜が形成されることは、たとえあったとしても、ほんの少しである。
[00172] 1つ又は複数の実施形態では、堆積温度は、ハロゲン触媒、例えばハロゲン化アルキル触媒の分解温度未満である。ここでも、理論に拘束されることを意図するものではないが、ハロゲン化アルキル触媒が分解する場合、ハロゲンは、(組成にかかわらず)すべての表面上の金属前駆体との反応に利用可能であり、誘電体表面を含むすべての基板表面上に金属膜堆積をもたらすと考えられる。1つ又は複数の実施形態では、堆積温度は、ハロゲン触媒、例えばハロゲン化アルキル触媒の分解温度以上である。
[00173] 本開示の1つ又は複数の実施形態は、金属膜の堆積を制御するための方法を有利に提供する。1つ又は複数の実施形態では、堆積速度が制御される。1つ又は複数の実施形態では、堆積の位置が制御される。
[00174] 様々な実施形態の方法は、原子層堆積(ALD)又は化学気相堆積(CVD)の方法を使用して、金属膜を形成する。上記の開示は、図7に関して例示的なALD処理を説明し、図8に関して例示的なCVD処理を説明する。
[00175] 前述のように、図7及び図8に示された一般化された堆積処理は、プラズマ反応物質を使用せずに熱処理として実行される。プラズマ及び他の追加の反応物質の使用及び効果は、以下でさらに議論される。
[00176] 本開示の1つ又は複数の実施形態は、基板フィーチャ又は他の構造内に金属膜を堆積させる方法を有利に提供する。例示的なフィーチャ又は構造は、トレンチ及びビアを含むが、これらに限定されない。
[00177] 本開示の1つ又は複数の実施形態は、ターゲットフィーチャの外側及びフィーチャ開口部の近くでの膜堆積を低減するための堆積制御方法を有利に提供する。理論に束縛されるものではないが、これらの領域内の堆積を減少させることによって、ターゲットフィーチャ内のより速い間隙充填が可能になり、フィーチャ開口部付近の目詰まり及びフィーチャ内のボイド又は継ぎ目の形成が減少すると考えられる。
[00178] 図7及び図8を参照すると、上記の開示の範囲を限定することなく、上記のALD及びCVD処理の両方は、金属膜を堆積させるために、ハロゲン化アルキル触媒及び金属前駆体を利用する。理論に束縛されるものではないが、ハロゲン化アルキル触媒は、金属膜の堆積において触媒として機能すると考えられる。したがって、CVD処理によって特に証明されるように、ハロゲン化アルキル触媒への基板表面の1回の曝露を使用して、10nmを超える厚さの金属膜を堆積させることができる。
[00179] 本開示の1つ又は複数の実施形態は、基板表面の所定の領域における触媒の活性を低下させるための堆積制御方法を有利に提供する。1つ又は複数の実施形態では、触媒の活性が低下する。1つ又は複数の実施形態では、触媒の活性は排除される。
[00180] 図10A~図10Dを参照すると、本開示の1つ又は複数の実施形態による、処理中の例示的な基板400が示されている。図10A~図10Dに示した基板1000は、説明のために簡略化されている。上述し、図9に示したように、1つ又は複数の実施形態では、本開示の基板は、図10A~図10Dに示されていない特徴又は構造を含む。
[00181] 図10Aでは、基板1000は基板表面1010を含む。図4Bにおいて、基板表面1010は、ハロゲン触媒、例えばハロゲン化アルキル触媒に曝露されて、活性化表面1020を形成する。上述のように、ハロゲン触媒、例えばハロゲン化アルキル触媒1040は、基板表面1010に吸着して、活性化基板表面1020を形成する。
[00182] 図10Cにおいて、活性化された表面1020の所定の領域は、不活性化された表面1030を形成するために不活性化処理に曝露される。図10B及び10Cに示したハロゲン触媒、例えばハロゲン化アルキル触媒1040は、円形又は卵形として示されているが、特定の分子形状を伝えることは意図されていない。同様に、図10B及び10Cに示した円形形状と図10Cに示した卵形形状との間の差異は、基板表面上のハロゲン化アルキル触媒の活性及び/又は相対濃度を伝えることのみを意味する。
[00183] 図10Dにおいて、基板1000は、金属膜1050を形成するために金属前駆体に曝露される。図10Dに示したように、活性化表面1020上の金属膜1050の厚さTは、非活性化表面1030上の金属膜1050の厚さTよりも大きい。
[00184] 1つ又は複数の実施形態では、非活性化処理は、活性化表面1020上のハロゲン触媒、例えばハロゲン化アルキル触媒の濃度を低下させる。1つ又は複数の実施形態では、非活性化処理は、活性化表面1020上のハロゲン触媒、例えばハロゲン化アルキル触媒の触媒活性を低下させる。
[00185] 1つ又は複数の実施形態では、図10A~図10Dに関して上述した方法は、ハロゲン触媒、例えばハロゲン化アルキル触媒に曝露する前に非活性化処理を含むように改変される。この点に関して、非活性化処理は、ハロゲン触媒、例えばハロゲン化アルキル触媒に曝露する前に、基板表面1010の所定の領域を「超活性化」すると理解することができる。ハロゲン触媒、例えばハロゲン化アルキル触媒に曝露すると、「超活性化」表面は、非活性化処理に曝露されていない表面よりも高い濃度又は活性の触媒を形成する。表面間の濃度及び/又は活性の差を用いて、堆積を制御することができる。1つ又は複数の実施形態では、表面は、図10C~図10Dに関して上述したように、さらに非活性化されてもよい。
[00186] 厚さTは厚さTよりも大きい。したがって、本開示の1つ又は複数の実施形態は、基板表面の所定の領域における堆積量を制御するための堆積制御方法を有利に提供する。
[00187] 1つ又は複数の実施形態では、T:Tの比は、約1:1以上、約2:1以上、約3:1以上、約4:1以上、約5:1以上、又は約10:1以上である。1つ又は複数の実施形態では、非活性化表面1030上に金属の堆積がほとんど、又は全く起こらない。言い換えるならば、1つ又は複数の実施形態では、厚さTは約0である。言い換えるならば、非活性化表面1030上に堆積される金属膜1050の量は、基本的になしである。この点に関して使用される場合、「基本的になし」とは、非活性化表面上の金属膜が、非活性化表面の5%未満、2%未満、1%未満、又は0.5%未満を覆うことを意味する。
[00188] 活性化表面1020及び非活性化表面1030上に堆積された金属膜1050の厚さは、活性化表面1020及び非活性化表面1030上の堆積速度に正比例する。したがって、本開示の1つ又は複数の実施形態は、基板表面の所定の領域における堆積速度を制御するための堆積制御方法を有利に提供する。
[00189] 1つ又は複数の実施形態では、基板表面全体が非活性化処理に曝露される。本開示の1つ又は複数の実施形態を使用して、基板全体上の堆積量を制御することができる。本開示の1つ又は複数の実施形態を使用して、基板全体上の堆積速度を制御することができる。
[00190] 1つ又は複数の実施形態(図示せず)では、基板1000は、1つ又は複数のフィーチャを含む。1つ又は複数の実施形態では、非活性化表面1030は、1つ又は複数のフィーチャの外側の表面である。1つ又は複数の実施形態では、非活性化表面1030は、1つ又は複数のフィーチャの側壁の上部付近の表面である。
[00191] 理論に束縛されるものではないが、基板フィーチャ近傍の表面及びそれらのフィーチャの側壁の上面は、近傍の複数の曝露面に起因して、より高度に活性化される(より大きな堆積を示す)と考えられる。これらの表面上の堆積が大きいほど、フィーチャの内部に十分な量の膜が形成される前に、フィーチャが閉じる可能性が高くなる。フィーチャが閉じると、継ぎ目又はボイドが形成されることが多い。したがって、1つ又は複数の実施形態では、非活性化表面1030は、1つ又は複数のフィーチャの上部付近の表面になる。さらに、1つ又は複数の実施形態では、非活性化表面1030は、基板フィーチャに近い表面である。1つ又は複数の実施形態では、フィーチャ内に堆積される金属膜は、少ない継ぎ目又はボイドを有する。1つ又は複数の実施形態では、フィーチャ内に堆積された金属膜は、実質的に継ぎ目又はボイドを有さない。この点に関して使用されるように、「実質的に継ぎ目なし」という表現は、側壁間の膜に形成されるギャップが、側壁の断面積の約1%未満であることを意味する。
[00192] 1つ又は複数の実施形態では、基板の所定の領域は、プラズマを使用せずに水素ガスに曝露される。
[00193] 1つ又は複数の実施形態では、水素ガスパルスは、上述のALD堆積サイクルに導入される。言い換えるならば、基板は、ハロゲン化アルキル触媒、パージ、水素ガス、パージ、金属前駆体、パージのパルスシーケンスに曝露されてもよい。1つ又は複数の実施形態では、基板は、水素ガスの追加のパルスに曝露され、その後、金属前駆体に曝露された後にパージされる。1つ又は複数の実施形態では、基板は、水素ガスの追加のパルスに曝露され、続いて、ハロゲン化アルキル触媒に曝露された後にパージされる。1つ又は複数の実施形態では、金属前駆体及び/又はハロゲン化アルキル触媒への各曝露の間のパージ相は、すべてではないがいくつかのサイクルで行われる。
[00194] 1つ又は複数の実施形態では、水素ガス曝露が、上述のCVD堆積サイクルに導入される。言い換えるならば、基板をハロゲン化アルキル触媒に浸漬し、水素ガスに曝露し、金属前駆体に曝露することができる。1つ又は複数の実施形態では、基板は、金属前駆体に曝露される前に水素ガスに曝露される。1つ又は複数の実施形態では、水素ガス及び金属前駆体が同時に流される。
[00195] 1つ又は複数の実施形態では、基板の所定の領域は、水素(H)、アンモニア(NH)、又はアルゴン(Ar)のうちの1つ又は複数を含むプラズマに曝露される。1つ又は複数の実施形態では、表面を非活性化するために使用されるプラズマは、低電力プラズマである。1つ又は複数の実施形態では、プラズマは、約50W~約500Wの範囲、約50W~約300Wの範囲、約50W~約200Wの範囲、又は約50W~約100Wの範囲の電力を有する。
[00196] 1つ又は複数の実施形態では、プラズマ曝露時間は、約30秒以下、約20秒以下、約15秒以下、約10秒以下、約5秒以下、又は約2秒以下である。
[00197] 1つ又は複数の実施形態では、プラズマは、導電結合プラズマ(CCP)である。1つ又は複数の実施形態では、プラズマは誘導結合プラズマ(ICP)である。1つ又は複数の実施形態では、プラズマは、処理環境内で生成される直接プラズマである。1つ又は複数の実施形態では、プラズマは、処理環境外で生成されるリモートプラズマである。
[00198] 1つ又は複数の実施形態では、プラズマパルスは、上述のALD堆積サイクルに導入される。1つ又は複数の実施形態では、プラズマパルスは、ALD堆積サイクルに関して上述した水素ガスパルスに取って代わる。
[00199] 1つ又は複数の実施形態では、プラズマパルスは、上述のCVD堆積サイクルに導入される。1つ又は複数の実施形態では、プラズマパルスは、CVD堆積サイクルに関して上述した水素ガス曝露に取って代わる。
[00200] 本明細書(特に、以下の特許請求の範囲の文脈において)で論じられる材料及び方法を説明する文脈における、用語「a」及び「an」及び「the」ならびに同様の指示対象の使用は、本明細書で別段の指示がない限り、又は文脈によって明らかに矛盾しない限り、単数及び複数の両方を包含すると解釈されるべきである。本明細書中の数値範囲の記述は、本明細書中で特に指摘しない限り、単にその範囲内に該当する各値を個々に言及するための略記法としての役割を果たすことだけを意図しており、各値は、本明細書中で個々に列挙されるかのように、明細書に組み込まれる。本明細書で記載したすべての方法は、本明細書に別段の指示がない限り、或いは明らかに文脈に矛盾しない限り、任意の好適な順序で実行されうる。本明細書で提供される任意の及びすべての例、又は例示的な言語(例えば、「など」)の使用は、単に材料及び方法をより良く説明することを意図したものであり、特に主張しない限り、範囲を限定するものではない。本明細書中のいかなる言葉も、開示された材料及び方法の実施に不可欠なものとして特許請求されていない要素を示すものと解釈されるべきではない。
[00201] 本明細書全体を通じて、「一実施形態」、「特定の実施形態」、「1つ又は複数の実施形態」、又は「ある実施形態」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な箇所での「1つ又は複数の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「ある実施形態で」といった表現は、必ずしも、本開示の同一の実施形態に言及するものではない。1つ又は複数の実施形態では、特定の特徴、構造、材料、又は特性は、任意の適切な様式で組み合わされる。
[00202] 本明細書の開示は、特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行いうることが、当業者には明らかになろう。したがって、本開示は、添付の特許請求の範囲及びその均等物の範囲内にある改変例及び変形例を含むことが意図されている。

Claims (16)

  1. 膜を堆積させる方法であって、
    一般式(I):M-L(L(式中、Mはルテニウムであり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~8の範囲の数であり、Lは1,5-ヘキサジエン、1,4-ヘキサジエン、及び5%未満の1,3-ヘキサジエン及び不斉環状ジエンを含み、1,4-ヘキサジエン、1,3-ヘキサジエン及び不斉環状ジエンの合計に対する1,5-ヘキサジエンの量の比は、50:50から60:40の範囲にある)の前駆体に、内部に形成された少なくとも1つのフィーチャを含み、前記少なくとも1つのフィーチャは、側壁及び底部を有する基板表面を曝露することによって前記基板表面上にルテニウム膜を形成すること、
    を含む方法。
  2. 前記膜を形成する前に、前記基板表面をハロゲン触媒に曝露することによって、活性化基板表面を形成することをさらに含む、請求項1に記載の方法。
  3. 芳香族配位子Lがη、η、η、及びηから選択されるπ電子系を含む、請求項1に記載の方法。
  4. 芳香族配位子Lが1-メチル-4-イソプロピルベンゼンを含む、請求項に記載の方法。
  5. 前記不斉環状ジエンが、3-(2-プロペニル)-シクロヘキセン、1-(2-プロペニル)-シクロヘキセン、1,3-プロパジエン-シクロヘキサン、及び1,2-ジビニルシクロヘキサンのうちの1つ又は複数を含む、請求項に記載の方法。
  6. yが2~6の範囲の数である、請求項に記載の方法。
  7. 誘電材料の表面が前記側壁を形成し、金属材料の表面が前記底部を形成する、請求項に記載の方法。
  8. 前記少なくとも1つのフィーチャに金属窒化物ライナを堆積させることをさらに含む、請求項に記載の方法。
  9. 前記基板表面を前記ハロゲン触媒に曝露する前に、前記基板表面上にシード層を堆積させることをさらに含む、請求項に記載の方法。
  10. 前記基板表面をハロゲン触媒に曝露することが、基板をハロゲン化アルキル触媒に浸漬することを含む、請求項1に記載の方法。
  11. 前記ハロゲン化アルキル触媒が、ヨードエタン又はジヨードメタンを含む、請求項10に記載の方法。
  12. 膜を堆積させる方法であって、
    内部に形成された少なくとも1つのフィーチャを有し、前記少なくとも1つのフィーチャは、側壁及び底部を有する基板表面をハロゲン触媒に曝露して、活性化基板表面を形成することと、
    前記活性化基板表面を一般式(I):M-L(L(式中、Mは、モリブデン(Mo)、ルテニウム(Ru)、コバルト(Co)、銅(Cu)、白金(Pt)、ニッケル(Ni)、又はタングステン(W)から選択される金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、前記基板表面上に金属膜を形成するためyは2~8の範囲の数であり、Lは1,5-ヘキサジエン、1,4-ヘキサジエン、1,3-ヘキサジエン及び不斉環状ジエンを含み、1,4-ヘキサジエン、1,3-ヘキサジエン及び不斉環状ジエンの合計に対する1,5-ヘキサジエンの比は、50:50から60:40の範囲にある)の前駆体に曝露することと、
    を含み、金属膜が少なくとも1つのフィーチャを充填し、金属膜に継ぎ目やボイドが実質的にない、方法。
  13. 前記芳香族配位子Lがη、η、η、及びηから選択されるπ電子系を含む、請求項12に記載の方法。
  14. 前記少なくとも1つのフィーチャに金属窒化物ライナを堆積させることをさらに含む、請求項12に記載の方法。
  15. 前記基板表面を前記ハロゲン触媒に曝露することが、基板をハロゲン化アルキル触媒に浸漬することを含む、請求項12に記載の方法。
  16. 処理チャンバのコントローラによって実行されると、前記処理チャンバに、膜を堆積させる操作を実行させる命令を含む非一時的なコンピュータ可読媒体であって、前記操作は、
    基板表面をハロゲン触媒に曝露して、活性化基板表面を形成することと、
    前記基板を有する前記処理チャンバの処理空間に前駆体を流し込むことであって、前記前駆体は、一般式(I):M-L(L(式中、Mは、モリブデン(Mo)、ルテニウム(Ru)、コバルト(Co)、銅(Cu)、白金(Pt)、ニッケル(Ni)、又はタングステン(W)から選択される金属であり、Lは芳香族配位子であり、Lは脂肪族配位子であり、yは2~8の範囲の数であり、Lは1,5-ヘキサジエン、1,4-ヘキサジエン、1,3-ヘキサジエン及び不斉環状ジエンを含み、1,4-ヘキサジエン、1,3-ヘキサジエン及び不斉環状ジエンの合計に対する1,5-ヘキサジエンの比は、50:50から60:40の範囲にある)を有する、前駆体を流し込むことと、
    からなる、非一時的なコンピュータ可読媒体。
JP2022542193A 2020-01-10 2021-01-05 触媒増強された継ぎ目なしのルテニウム間隙充填 Active JP7479479B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US202062959509P 2020-01-10 2020-01-10
US62/959,509 2020-01-10
US202062993943P 2020-03-24 2020-03-24
US62/993,943 2020-03-24
US17/140,419 2021-01-04
US17/140,419 US11401602B2 (en) 2020-01-10 2021-01-04 Catalyst enhanced seamless ruthenium gap fill
PCT/US2021/012141 WO2021141876A1 (en) 2020-01-10 2021-01-05 Catalyst enhanced seamless ruthenium gap fill

Publications (2)

Publication Number Publication Date
JP2023509959A JP2023509959A (ja) 2023-03-10
JP7479479B2 true JP7479479B2 (ja) 2024-05-08

Family

ID=76761030

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022542193A Active JP7479479B2 (ja) 2020-01-10 2021-01-05 触媒増強された継ぎ目なしのルテニウム間隙充填

Country Status (5)

Country Link
US (2) US11401602B2 (ja)
JP (1) JP7479479B2 (ja)
KR (1) KR20220104795A (ja)
TW (1) TW202132605A (ja)
WO (1) WO2021141876A1 (ja)

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) * 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230386829A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Low temperature silicon oxide gap fill

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002525427A (ja) 1998-09-11 2002-08-13 ゼニテックカンパニーリミテッド 表面上に触媒を導入した化学蒸着方法
JP2004527612A (ja) 2001-03-16 2004-09-09 ダウ グローバル テクノロジーズ インコーポレイティド インターポリマー類の製造方法、および、それより製造された製品
US20070202678A1 (en) 2006-02-28 2007-08-30 Plombon John J Catalytically enhanced atomic layer deposition process
JP2009046440A (ja) 2007-08-22 2009-03-05 Tosoh Corp ルテニウム化合物、その製造方法、ルテニウム含有薄膜及びその製造方法
JP2009212232A (ja) 2008-03-03 2009-09-17 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
WO2019088722A1 (ko) 2017-11-01 2019-05-09 (주)디엔에프 루테늄함유 박막의 제조방법 및 이로부터 제조된 루테늄함유 박막

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5403620A (en) 1992-10-13 1995-04-04 Regents Of The University Of California Catalysis in organometallic CVD of thin metal films
US6417369B1 (en) 2000-03-13 2002-07-09 Advanced Technology Materials, Inc. Pyrazolate copper complexes, and MOCVD of copper using same
EP1935897B1 (en) 2006-12-22 2011-03-02 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude New organo-Ruthenium compound, the process for its preparation and its use as a ruthenium precursor to manufacture ruthenium based film coated metal electrodes
KR20100122960A (ko) 2008-03-26 2010-11-23 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 루테늄 및 알칼리 토금속 함유 3원 산화물 필름의 증착
KR20120120121A (ko) * 2009-10-29 2012-11-01 제이에스알 가부시끼가이샤 루테늄막 형성용 재료 및 루테늄막 형성 방법
TW201932634A (zh) * 2017-11-01 2019-08-16 南韓商Dnf有限公司 含釕薄膜之製造方法及以此方法製造之含釕薄膜

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002525427A (ja) 1998-09-11 2002-08-13 ゼニテックカンパニーリミテッド 表面上に触媒を導入した化学蒸着方法
JP2004527612A (ja) 2001-03-16 2004-09-09 ダウ グローバル テクノロジーズ インコーポレイティド インターポリマー類の製造方法、および、それより製造された製品
US20070202678A1 (en) 2006-02-28 2007-08-30 Plombon John J Catalytically enhanced atomic layer deposition process
JP2009046440A (ja) 2007-08-22 2009-03-05 Tosoh Corp ルテニウム化合物、その製造方法、ルテニウム含有薄膜及びその製造方法
JP2009212232A (ja) 2008-03-03 2009-09-17 Tokyo Electron Ltd 半導体装置の製造方法、半導体製造装置及び記憶媒体
WO2019088722A1 (ko) 2017-11-01 2019-05-09 (주)디엔에프 루테늄함유 박막의 제조방법 및 이로부터 제조된 루테늄함유 박막

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
LowTemperatureAtomicLayerDeposition Of Ruthenium Thin Film Using Isopropylmethylbenzene-Cyclohexadiene Ruthenium and O2,Electrochemical and Solid-State letters,2009年

Also Published As

Publication number Publication date
US11680312B2 (en) 2023-06-20
KR20220104795A (ko) 2022-07-26
US20220333232A1 (en) 2022-10-20
JP2023509959A (ja) 2023-03-10
US20210214842A1 (en) 2021-07-15
WO2021141876A1 (en) 2021-07-15
US11401602B2 (en) 2022-08-02
TW202132605A (zh) 2021-09-01

Similar Documents

Publication Publication Date Title
JP7479479B2 (ja) 触媒増強された継ぎ目なしのルテニウム間隙充填
US20220325410A1 (en) Gap fill methods using catalyzed deposition
US12000044B2 (en) Catalyzed deposition of metal films
US9716012B2 (en) Methods of selective layer deposition
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
CN111492467B (zh) 钌的选择性原子层沉积
US11289374B2 (en) Nucleation-free gap fill ALD process
US11286556B2 (en) Selective deposition of titanium films
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
US11859277B2 (en) Catalyst enhanced molybdenum deposition and gap fill
WO2023177413A1 (en) Non-halide oxygen-free organometallic precursors for ald/cvd of metallization

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220905

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240326

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240423

R150 Certificate of patent or registration of utility model

Ref document number: 7479479

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150