US20220325410A1 - Gap fill methods using catalyzed deposition - Google Patents

Gap fill methods using catalyzed deposition Download PDF

Info

Publication number
US20220325410A1
US20220325410A1 US17/847,351 US202217847351A US2022325410A1 US 20220325410 A1 US20220325410 A1 US 20220325410A1 US 202217847351 A US202217847351 A US 202217847351A US 2022325410 A1 US2022325410 A1 US 2022325410A1
Authority
US
United States
Prior art keywords
metal
substrate
gas
alkyl halide
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US17/847,351
Inventor
Byunghoon Yoon
Liqi Wu
Joung Joo Lee
Kai Wu
Xi CEN
Wei Lei
Sang Ho Yu
Seshadri Ganguli
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US17/847,351 priority Critical patent/US20220325410A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YU, SANG HO, CEN, Xi, GANGULI, SESHADRI, LEE, JOUNG JOO, LEI, WEI, WU, KAI, WU, LIQI, YOON, BYUNGHOON
Publication of US20220325410A1 publication Critical patent/US20220325410A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/02Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings only including layers of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers

Definitions

  • Embodiments of the disclosure generally relate to methods for depositing metal films. Some embodiments of the disclosure are directed to methods for depositing metal films. Some embodiments of the disclosure relate to the selective deposition of metal films. Some embodiments of the disclosure control the location and/or rate of deposition through the use of plasma and/or thermal exposure conditions.
  • Ruthenium is a new proposed material for integration owing to its high melting point (ability to withstand high current densities), exceptional density, and ability to conduct electrical current. Ruthenium and ruthenium containing thin films have attractive material and conductive properties. Ruthenium films have been proposed for applications ranging from front end to back end parts of semiconductor and microelectronic devices.
  • Thin-films of ruthenium would ideally be deposited using thin-film deposition techniques such as Chemical Vapor Deposition (CVD) and Atomic Layer Deposition (ALD) owing to their inherent ability to deposit material in a high-throughput and precise fashion.
  • CVD Chemical Vapor Deposition
  • ALD Atomic Layer Deposition
  • deposited ruthenium films often differ from bulk ruthenium materials. There is particular challenge in depositing ruthenium films with high purity (>99 atomic % of Ru), especially as gap fill material. Previous solutions utilizing oxygen reactants produced films with greater roughness than bulk materials. Similarly, hydrogen reactants produced greater impurities which required a subsequent annealing step for removal. Finally, plasma deposition processes were unable to deposit gap fill materials without creating a seam and potentially damaging the underlying substrate.
  • CVD chemical vapor deposition
  • ECP electrochemical plating
  • Selective deposition technologies offer the potential for chemically-selective atomic-layer precision in semiconductor film patterning. Selective deposition also offers the potential for simpler process flows by eliminating lithography or other processes.
  • Selective deposition of materials can be accomplished in a variety of ways. For instance, some processes may have inherent selectivity to surfaces based on their surface chemistry. These processes are fairly rare and usually need to have surfaces with drastically different surface energies, such as metals and dielectrics.
  • tungsten films for memory and logic applications. Deposition of tungsten films is frequently performed at relatively high temperatures which can be limited by the thermal budget of the device being formed. Tungsten films are often deposited using fluorine containing compounds. Fluorine is generally not desirable in the deposition process as there can be reactions and adverse effects. To prevent fluorine from reacting with the underlying layers, a relatively thick barrier layer is used. The barrier layer deposition decreases thermal budget and throughput.
  • a liner material is often required to improve adhesion of metals (e.g., ruthenium) to dielectric materials to pass post-processing steps such as chemical-mechanical planarization (CMP).
  • CMP chemical-mechanical planarization
  • the presence of the liner adds to the via resistance. Therefore, there is a need in the art for gap fill processes for MOL applications with decreased via resistance.
  • One or more embodiments of the disclosure are directed to metal deposition methods.
  • a substrate having at least one feature comprising a bottom and sidewalls is exposed to alternating pulses of a metal precursor and an alkyl halide catalyst.
  • the substrate is maintained at a deposition temperature to form a metal film on the bottom of the feature.
  • the bottom of the feature comprises a metal and the sidewalls of the feature comprise a dielectric.
  • the metal precursor has a decomposition temperature above the deposition temperature.
  • the alkyl halide comprises carbon and halogen, and the halogen comprises bromine or iodine.
  • Additional embodiments of the disclosure are directed to methods of forming a seam-free gap fill.
  • a second metal film is deposited in a feature on a substrate to partially fill the feature with the second metal film.
  • the feature comprises a bottom and at least one sidewall.
  • the bottom comprises a first metal and the at least one sidewall comprises a dielectric.
  • the second metal film is formed selectively on the bottom relative to the at least one sidewall and has a top surface below a top surface of the dielectric.
  • a liner is deposited on the sidewall of the feature above the second metal film.
  • the feature is filled with the second metal film to cover the liner and the top surface of the dielectric.
  • the second metal film and at least some of the liner are removed from the top surface of the dielectric and at least some of the dielectric to form a seam-free gap fill.
  • Further embodiments of the disclosure are directed to methods of forming a seam-free gap fill.
  • the methods comprise: (a) optionally cleaning a surface of a first metal at a bottom of a feature in a substrate, the feature comprising at least one dielectric sidewall; (b) selectively depositing a ruthenium film in the feature on first metal relative the dielectric sidewall, the ruthenium film partially filling the feature so that a top surface of the ruthenium film is below a top surface of the dielectric; (c) optionally, selectively forming a blocking layer on the top surface of the ruthenium film; (d) forming a conformal liner on the dielectric sidewall and the top surface of the dielectric, the conformal liner substantially not forming on the top surface of the ruthenium film; (e) optionally removing the blocking layer from the top surface of the ruthenium film; (f) filling the feature with the ruthenium film to cover the conformal liner on the dielectric sidewall and the top surface
  • FIG. 1 shows a schematic view of a processing platform in accordance with one or more embodiment of the disclosure
  • FIG. 2 shows a cross-sectional view of a batch processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 3 shows a partial perspective view of a batch processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 4 shows a schematic view of a batch processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 5 shows a schematic view of a portion of a wedge shaped gas distribution assembly for use in a batch processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 6 shows a schematic view of a batch processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 7 illustrates an exemplary process sequence for the formation of a metal layer using a two pulse cyclical deposition technique according to one or more embodiment of the disclosure
  • FIG. 8 illustrates an exemplary process sequence for the formation of a ruthenium layer according to one or more embodiment of the disclosure
  • FIG. 9 shows a cross-sectional view of an exemplary substrate in accordance with one or more embodiment of the disclosure.
  • FIGS. 10A-10D illustrate an exemplary substrate during processing according to one or more embodiment of the disclosure.
  • FIG. 11 illustrates an exemplary method for seam-free gap filling according to one or more embodiment of the disclosure.
  • a substrate surface on which processing can be performed include, but are not limited to, materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Substrates include, without limitation, semiconductor wafers.
  • Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate (or otherwise generate or graft target chemical moieties to impart chemical functionality), anneal and/or bake the substrate surface.
  • any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such underlayer as the context indicates.
  • the exposed surface of the newly deposited film/layer becomes the substrate surface. What a given substrate surface comprises will depend on what materials are to be deposited, as well as the particular chemistry used.
  • “Atomic layer deposition” or “cyclical deposition” as used herein refers to the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • the terms “reactive compound”, “reactive gas”, “reactive species”, “precursor”, “process gas” and the like are used interchangeably to mean a substance with a species capable of reacting with the substrate surface or material on the substrate surface in a surface reaction (e.g., chemisorption, oxidation, reduction).
  • the substrate, or portion of the substrate is exposed separately to the two or more reactive compounds which are introduced into a reaction zone of a processing chamber.
  • a time-domain ALD process exposure to each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface and then be purged from the processing chamber. These reactive compounds are said to be exposed to the substrate sequentially.
  • a spatial ALD process different portions of the substrate surface, or material on the substrate surface, are exposed simultaneously to the two or more reactive compounds so that any given point on the substrate is substantially not exposed to more than one reactive compound simultaneously.
  • the term “substantially” used in this respect means, as will be understood by those skilled in the art, that there is the possibility that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to diffusion, and that the simultaneous exposure is unintended.
  • a first reactive gas i.e., a first precursor or compound A
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a purge gas such as argon
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle.
  • a cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the predetermined thickness.
  • a first reactive gas and second reactive gas are delivered simultaneously to the reaction zone but are separated by an inert gas curtain and/or a vacuum curtain.
  • the substrate is moved relative to the gas delivery apparatus so that any given point on the substrate is exposed to the first reactive gas and the second reactive gas.
  • the terms “precursor”, “reactant”, “reactive gas” and the like are used interchangeably to refer to any gaseous species that can react with the substrate surface.
  • Some embodiments of the disclosure are directed to processes that use a reaction chamber with multiple gas ports that can be used for introduction of different chemicals or plasma gases. Spatially, these gas ports (also referred to as channels) are separated by inert purging gases and/or vacuum pumping holes to create a gas curtain that minimizes or eliminates mixing of gases from different gas ports to avoid unwanted gas phase reactions. Wafers moving through these different spatially separated ports get sequential and multiple surface exposures to different chemical or plasma environment so that layer by layer film growth in spatial ALD mode or surface etching process occur.
  • the processing chamber has modular architectures on gas distribution components and each modular component has independent parameter control (e.g., RF or gas flow) to provide flexibility to control, for example, gas flow and/or RF exposure.
  • Some embodiments of the disclosure provide methods for depositing a high purity metal film.
  • the methods of various embodiments use atomic layer deposition (ALD) to provide pure or nearly pure metal films. While exemplary embodiments of this disclosure refer to the deposition of ruthenium, it is conceived that the principles of this disclosure enable the deposition of highly pure metal films regardless of metal.
  • ALD atomic layer deposition
  • Some embodiments of the disclosure provide methods of selectively depositing metal films on a metal surface over a dielectric surface. Some embodiments of the disclosure provide methods of selectively depositing metal films on a dielectric surface over a metal surface.
  • the term “selectively depositing a film on one surface over another surface”, and the like means that a first amount of the film is deposited on the first surface and a second amount of film is deposited on the second surface, where the second amount of film is less than the first amount of film, or no film is deposited on the second surface.
  • selectively depositing a metal film onto a metal surface over a dielectric surface means that the metal film deposits on the metal surface and less or no metal film deposits on the dielectric surface; or that the formation of a metal film on the metal surface is thermodynamically or kinetically favorable relative to the formation of a metal film on the dielectric surface.
  • the selectivity of a deposition process is generally expressed as a multiple of growth rate. For example, if one surface is grown (or deposited on) 25 times faster than a different surface, the process would be described as having a selectivity of 25:1. In this regard, higher ratios indicate more selective processes.
  • Some embodiments of the disclosure advantageously provide methods for depositing metal films with high purity. Accordingly, these highly pure films exhibit similar properties to their associated bulk metallic materials. For example, some embodiments of this disclosure provide ruthenium films which are smoother and have lower resistance than ruthenium films deposited by conventional oxygen or hydrogen reactant processes. Some embodiments of this disclosure advantageously provide metal films which conformally fill gaps without a seam.
  • Some embodiments of the disclosure advantageously provide for the selective deposition of metal films with high purity on metallic surfaces over dielectric surfaces. For example, selectively depositing metal (e.g., ruthenium) on copper over dielectrics advantageously provides copper capping layers without additional etch or lithography steps. Additionally, selective deposition may also enable bottom-up gapfill for features (e.g., trenches, vias) with metal contacts at the bottom and dielectric sidewalls.
  • metal e.g., ruthenium
  • features e.g., trenches, vias
  • Some embodiments of the disclosure advantageously provide for the selective deposition of metal films with high purity on dielectric surfaces over metallic surfaces.
  • selectively depositing metals over dielectrics advantageously provides metal layers on barriers or other dielectrics in back end applications.
  • FIG. 1 shows a processing platform 100 in accordance with one or more embodiment of the disclosure.
  • the embodiment shown in FIG. 1 is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure.
  • the processing platform 100 has different numbers of process chambers, buffer chambers and robot configurations.
  • the processing platform 100 includes a central transfer station 110 which has a plurality of sides 111 , 112 , 113 , 114 , 115 , 116 .
  • the central transfer station 110 shown has a first side 111 , a second side 112 , a third side 113 , a fourth side 114 , a fifth side 115 and a sixth side 116 . Although six sides are shown, those skilled in the art will understand that there can be any suitable number of sides to the central transfer station 110 depending on, for example, the overall configuration of the processing platform 100 .
  • the transfer station 110 has a robot 117 positioned therein.
  • the robot 117 can be any suitable robot capable of moving a wafer during processing.
  • the robot 117 has a first arm 118 and a second arm 119 .
  • the first arm 118 and second arm 119 can be moved independently of the other arm.
  • the first arm 118 and second arm 119 can move in the x-y plane and/or along the z-axis.
  • the robot 117 includes a third arm or a fourth arm (not shown). Each of the arms can move independently of other arms.
  • a first batch processing chamber 120 can be connected to a first side 111 of the central transfer station 110 .
  • the first batch processing chamber 120 can be configured to process x wafers at a time for a batch time.
  • a spatial atomic layer deposition chamber like that shown in FIGS. 2 through 6 , expose the wafers to different process conditions in different processing regions so that as a wafer is moved through each of the regions, the process is completed.
  • FIG. 2 shows a cross-section of a processing chamber 200 including a gas distribution assembly 220 , also referred to as injectors or an injector assembly, and a susceptor assembly 240 .
  • the gas distribution assembly 220 is any type of gas delivery device used in a processing chamber.
  • the gas distribution assembly 220 includes a front surface 221 which faces the susceptor assembly 240 .
  • the front surface 221 can have any number or variety of openings to deliver a flow of gases toward the susceptor assembly 240 .
  • the gas distribution assembly 220 also includes an outer peripheral edge 224 which in the embodiments shown, is substantially round.
  • gas distribution assembly 220 used can vary depending on the particular process being used. Embodiments of the disclosure can be used with any type of processing system where the gap between the susceptor and the gas distribution assembly is controlled. While various types of gas distribution assemblies can be employed (e.g., showerheads), embodiments of the disclosure may be particularly useful with spatial gas distribution assemblies which have a plurality of substantially parallel gas channels. As used in this specification and the appended claims, the term “substantially parallel” means that the elongate axis of the gas channels extend in the same general direction. There can be slight imperfections in the parallelism of the gas channels.
  • the plurality of substantially parallel gas channels can include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel and/or at least one vacuum V channel.
  • the gases flowing from the first reactive gas A channel(s), the second reactive gas B channel(s) and the purge gas P channel(s) are directed toward the top surface of the wafer. Some of the gas flow moves horizontally across the surface of the wafer and out of the process region through the purge gas P channel(s). A substrate moving from one end of the gas distribution assembly to the other end will be exposed to each of the process gases in turn, forming a layer on the substrate surface.
  • the gas distribution assembly 220 is a rigid stationary body made of a single injector unit. In one or more embodiments, the gas distribution assembly 220 is made up of a plurality of individual sectors (e.g., injector units 222 ), as shown in FIG. 3 . Either a single piece body or a multi-sector body can be used with the various embodiments of the disclosure described.
  • a susceptor assembly 240 is positioned beneath the gas distribution assembly 220 .
  • the susceptor assembly 240 includes a top surface 241 and at least one recess 242 in the top surface 241 .
  • the susceptor assembly 240 also has a bottom surface 243 and an edge 244 .
  • the at least one recess 242 can be any suitable shape and size depending on the shape and size of the substrates 60 being processed.
  • the recess 242 has a flat bottom to support the bottom of the wafer; however, the bottom of the recess can vary.
  • the recess has step regions around the outer peripheral edge of the recess which are sized to support the outer peripheral edge of the wafer. The amount of the outer peripheral edge of the wafer that is supported by the steps can vary depending on, for example, the thickness of the wafer and the presence of features already present on the back side of the wafer.
  • the recess 242 in the top surface 241 of the susceptor assembly 240 is sized so that a substrate 60 supported in the recess 242 has a top surface 61 substantially coplanar with the top surface 241 of the susceptor 240 .
  • substantially coplanar means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar within ⁇ 0.2 mm.
  • the top surfaces are coplanar within 0.5 mm, ⁇ 0.4 mm, ⁇ 0.35 mm, ⁇ 0.30 mm, ⁇ 0.25 mm, ⁇ 0.20 mm, ⁇ 0.15 mm, ⁇ 0.10 mm or ⁇ 0.05 mm.
  • the susceptor assembly 240 of FIG. 2 includes a support post 260 which is capable of lifting, lowering and rotating the susceptor assembly 240 .
  • the susceptor assembly may include a heater, or gas lines, or electrical components within the center of the support post 260 .
  • the support post 260 may be the primary means of increasing or decreasing the gap between the susceptor assembly 240 and the gas distribution assembly 220 , moving the susceptor assembly 240 into proper position.
  • the susceptor assembly 240 may also include fine tuning actuators 262 which can make micro-adjustments to susceptor assembly 240 to create a predetermined gap 270 between the susceptor assembly 240 and the gas distribution assembly 220 .
  • the gap 270 distance is in the range of about 0.1 mm to about 5.0 mm, or in the range of about 0.1 mm to about 3.0 mm, or in the range of about 0.1 mm to about 2.0 mm, or in the range of about 0.2 mm to about 1.8 mm, or in the range of about 0.3 mm to about 1.7 mm, or in the range of about 0.4 mm to about 1.6 mm, or in the range of about 0.5 mm to about 1.5 mm, or in the range of about 0.6 mm to about 1.4 mm, or in the range of about 0.7 mm to about 1.3 mm, or in the range of about 0.8 mm to about 1.2 mm, or in the range of about 0.9 mm to about 1.1 mm, or about 1 mm.
  • the processing chamber 200 shown in the Figures is a carousel-type chamber in which the susceptor assembly 240 can hold a plurality of substrates 60 .
  • the gas distribution assembly 220 may include a plurality of separate injector units 222 , each injector unit 222 being capable of depositing a film on the wafer, as the wafer is moved beneath the injector unit.
  • Two pie-shaped injector units 222 are shown positioned on approximately opposite sides of and above the susceptor assembly 240 . This number of injector units 222 is shown for illustrative purposes only. It will be understood that more or less injector units 222 can be included.
  • each of the individual pie-shaped injector units 222 may be independently moved, removed and/or replaced without affecting any of the other injector units 222 .
  • one segment may be raised to permit a robot to access the region between the susceptor assembly 240 and gas distribution assembly 220 to load/unload substrates 60 .
  • Processing chambers having multiple gas injectors can be used to process multiple wafers simultaneously so that the wafers experience the same process flow.
  • the processing chamber 200 has four gas injector assemblies and four substrates 60 .
  • the substrates 60 can be positioned between the gas distribution assemblies 220 .
  • Rotating 17 the susceptor assembly 240 by 45° will result in each substrate 60 which is between gas distribution assemblies 220 to be moved to a gas distribution assembly 220 for film deposition, as illustrated by the dotted circle under the gas distribution assemblies 220 .
  • An additional 45° rotation would move the substrates 60 away from the gas distribution assemblies 220 .
  • the number of substrates 60 and gas distribution assemblies 220 can be the same or different.
  • the number of wafers being processed are fraction of or an integer multiple of the number of gas distribution assemblies. For example, if there are four gas distribution assemblies, there are 4x wafers being processed, where x is an integer value greater than or equal to one.
  • the gas distribution assembly 220 includes eight process regions separated by gas curtains and the susceptor assembly 240 can hold six wafers.
  • the processing chamber 200 shown in FIG. 4 is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure.
  • the processing chamber 200 includes a plurality of gas distribution assemblies 220 .
  • the processing chamber 200 shown is octagonal; however, those skilled in the art will understand that this is one possible shape and should not be taken as limiting the scope of the disclosure.
  • the gas distribution assemblies 220 shown are trapezoidal, but can be a single circular component or made up of a plurality of pie-shaped segments, like that shown in FIG. 3 .
  • the embodiment shown in FIG. 4 includes a load lock chamber 280 , or an auxiliary chamber like a buffer station.
  • This chamber 280 is connected to a side of the processing chamber 200 to allow, for example the substrates (also referred to as substrates 60 ) to be loaded/unloaded from the processing chamber 200 .
  • a wafer robot may be positioned in the chamber 280 to move the substrate onto the susceptor.
  • Rotation of the carousel can be continuous or intermittent (discontinuous).
  • the wafers are constantly rotating so that they are exposed to each of the injectors in turn.
  • the wafers can be moved to the injector region and stopped, and then to the region 84 between the injectors and stopped.
  • the carousel can rotate so that the wafers move from an inter-injector region across the injector (or stop adjacent the injector) and on to the next inter-injector region where the carousel can pause again. Pausing between the injectors may provide time for additional processing routines between each layer deposition (e.g., exposure to plasma).
  • FIG. 5 shows a sector or portion of a gas distribution assembly 220 , which may be referred to as an injector unit.
  • the injector units 222 can be used individually or in combination with other injector units. For example, as shown in FIG. 6 , four of the injector units 222 of FIG. 5 are combined to form a single gas distribution assembly 220 . (The lines separating the four injector units are not shown for clarity.) While the injector unit 222 of FIG. 5 has both a first reactive gas port 225 and a second gas port 235 in addition to purge gas ports 255 and vacuum ports 245 , an injector unit 222 does not need all of these components.
  • a gas distribution assembly 220 in accordance with one or more embodiment may comprise a plurality of sectors (or injector units 222 ) with each sector being identical or different.
  • the gas distribution assembly 220 is positioned within the processing chamber and comprises a plurality of elongate gas ports 225 , 235 , 245 in a front surface 221 of the gas distribution assembly 220 .
  • the plurality of elongate gas ports 225 , 235 , 245 , 255 extend from an area adjacent the inner peripheral edge 223 toward an area adjacent the outer peripheral edge 224 of the gas distribution assembly 220 .
  • the plurality of gas ports shown include a first reactive gas port 225 , a second gas port 235 , a vacuum port 245 which surrounds each of the first reactive gas ports and the second reactive gas ports and a purge gas port 255 .
  • the ports when stating that the ports extend from at least about an inner peripheral region to at least about an outer peripheral region, however, the ports can extend more than just radially from inner to outer regions.
  • the ports can extend tangentially as vacuum port 245 surrounds reactive gas port 225 and reactive gas port 235 .
  • the wedge shaped reactive gas ports 225 , 235 are surrounded on all edges, including adjacent the inner peripheral region and outer peripheral region, by a vacuum port 245 .
  • each portion of the substrate surface is exposed to the various reactive gases.
  • the substrate will be exposed to, or “see”, a purge gas port 255 , a vacuum port 245 , a first reactive gas port 225 , a vacuum port 245 , a purge gas port 255 , a vacuum port 245 , a second gas port 235 and a vacuum port 245 .
  • a purge gas port 255 a vacuum port 245
  • the substrate has been exposed to the first reactive gas and the second reactive gas to form a layer.
  • the injector unit 222 shown makes a quarter circle but could be larger or smaller.
  • the gas distribution assembly 220 shown in FIG. 6 can be considered a combination of four of the injector units 222 of FIG. 3 connected in series.
  • the injector unit 222 of FIG. 5 shows a gas curtain 250 that separates the reactive gases.
  • gas curtain is used to describe any combination of gas flows or vacuum that separate reactive gases from mixing.
  • the gas curtain 250 shown in FIG. 5 comprises the portion of the vacuum port 245 next to the first reactive gas port 225 , the purge gas port 255 in the middle and a portion of the vacuum port 245 next to the second gas port 235 . This combination of gas flow and vacuum can be used to prevent or minimize gas phase reactions of the first reactive gas and the second reactive gas.
  • the combination of gas flows and vacuum from the gas distribution assembly 220 form a separation into a plurality of process regions 350 .
  • the process regions are roughly defined around the individual gas ports 225 , 235 with the gas curtain 250 between 350 .
  • the embodiment shown in FIG. 6 makes up eight separate process regions 350 with eight separate gas curtains 250 between.
  • a processing chamber can have at least two process regions. In some embodiments, there are at least three, four, five, six, seven, eight, nine, 10, 11 or 12 process regions.
  • a substrate may be exposed to more than one process region 350 at any given time.
  • the portions that are exposed to the different process regions will have a gas curtain separating the two. For example, if the leading edge of a substrate enters a process region including the second gas port 235 , a middle portion of the substrate will be under a gas curtain 250 and the trailing edge of the substrate will be in a process region including the first reactive gas port 225 .
  • a factory interface (as shown in FIG. 4 ), which can be, for example, a load lock chamber 280 , is shown connected to the processing chamber 200 .
  • a substrate 60 is shown superimposed over the gas distribution assembly 220 to provide a frame of reference. The substrate 60 may often sit on a susceptor assembly to be held near the front surface 221 of the gas distribution assembly 220 .
  • the substrate 60 is loaded via the factory interface into the processing chamber 200 onto a substrate support or susceptor assembly (see FIG. 4 ).
  • the substrate 60 can be shown positioned within a process region because the substrate is located adjacent the first reactive gas port 225 and between two gas curtains 250 a , 250 b . Rotating the substrate 60 along path 227 will move the substrate counter-clockwise around the processing chamber 200 . Thus, the substrate 60 will be exposed to the first process region 350 a through the eighth process region 350 h , including all process regions between.
  • Some embodiments of the disclosure are directed to a processing chamber 200 with a plurality of process regions 350 a - 350 h with each process region separated from an adjacent region by a gas curtain 250 .
  • the processing chamber shown in FIG. 6 the processing chamber shown in FIG. 6 .
  • the number of gas curtains and process regions within the processing chamber can be any suitable number depending on the arrangement of gas flows.
  • the embodiment shown in FIG. 6 has eight gas curtains 250 and eight process regions 350 a - 350 h.
  • the processing platform 100 includes a treatment chamber 140 connected to a second side 112 of the central transfer station 110 .
  • the treatment chamber 140 of some embodiments is configured to expose the wafers to a process to treat the wafers before and/or after processing in first batch processing chamber 120 .
  • the treatment chamber 140 of some embodiments comprises an annealing chamber.
  • the annealing chamber can be a furnace annealing chamber or a rapid thermal annealing chamber, or a different chamber configured to hold a wafer at a predetermined temperature and pressure and provide a flow of gas to the chamber.
  • the processing platform further comprises a second batch processing chamber 130 connected to a third side 113 of the central transfer station 110 .
  • the second batch processing chamber 130 can be configured similarly to the first batch processing chamber 120 , or can be configured to perform a different process or to process different numbers of substrates.
  • the second batch processing chamber 130 can be the same as the first batch processing chamber 120 or different.
  • the first batch processing chamber 120 and the second batch processing chamber 130 are configured to perform the same process with the same number of wafers in the same batch time so that x (the number of wafers in the first batch processing chamber 120 ) and y (the number of wafers in the second batch processing chamber 130 ) are the same and the first batch time and second batch time (of the second batch processing chamber 130 ) are the same.
  • the first batch processing chamber 120 and the second batch processing chamber 130 are configured to have one or more of different numbers of wafers (x not equal to y), different batch times, or both.
  • the processing platform 100 includes a second treatment chamber 150 connected to a fourth side 114 of the central transfer station 110 .
  • the second treatment chamber 150 can be the same as the treatment chamber 140 or different.
  • the processing platform 100 can include a controller 195 connected to the robot 117 (the connection is not shown).
  • the controller 195 can be configured to move wafers between the treatment chamber 140 and the first batch processing chamber 120 with a first arm 118 of the robot 117 .
  • the controller 195 is also configured to move wafers between the second treatment chamber 150 and the second batch processing chamber 130 with a second arm 119 of the robot 117 .
  • the controller 195 is connected to the susceptor assembly 240 and the gas distribution assembly 220 of a processing chamber 200 .
  • the controller 195 can be configured to rotate 17 the susceptor assembly 240 about a central axis.
  • the controller can also be configured to control the gas flows in the gas ports 225 , 235 , 245 , 255 .
  • the first reactive gas port 225 provides a flow of a metal precursor.
  • the second reactive gas port 235 provides a flow of a reactant.
  • other gas ports (not labelled) may provide a flow of a plasma.
  • the first reactive gas port 225 , the second reactive gas port 235 and the other reactive gas ports (not labelled) may be arranged in any processing order.
  • the processing platform 100 can also include a first buffer station 151 connected to a fifth side 115 of the central transfer station 110 and/or a second buffer station 152 connected to a sixth side 116 of the central transfer station 110 .
  • the first buffer station 151 and second buffer station 152 can perform the same or different functions.
  • the buffer stations may hold a cassette of wafers which are processed and returned to the original cassette, or the first buffer station 151 may hold unprocessed wafers which are moved to the second buffer station 152 after processing.
  • one or more of the buffer stations are configured to pre-treat, pre-heat or clean the wafers before and/or after processing.
  • the controller 195 is configured to move wafers between the first buffer station 151 and one or more of the treatment chamber 140 and the first batch processing chamber 120 using the first arm 118 of the robot 117 . In some embodiments, the controller 195 is configured to move wafers between the second buffer station 152 and one or more of the second treatment chamber 150 or the second batch processing chamber 130 using the second arm 119 of the robot 117 .
  • the processing platform 100 may also include one or more slit valves 160 between the central transfer station 110 and any of the processing chambers.
  • the slit valves 160 can open and close to isolate the environment within the processing chamber from the environment within the central transfer station 110 . For example, if the processing chamber will generate plasma during processing, it may be helpful to close the slit valve for that processing chamber to prevent stray plasma from damaging the robot in the transfer station.
  • each of the processing chambers are not readily removable from the central transfer station 110 .
  • each of the processing chambers may further include a plurality of access doors 170 on sides of the processing chambers.
  • the access doors 170 allow manual access to the processing chamber without removing the processing chamber from the central transfer station 110 .
  • each side of each of the processing chamber, except the side connected to the transfer station have an access door 170 .
  • the inclusion of so many access doors 170 can complicate the construction of the processing chambers employed because the hardware within the chambers would need to be configured to be accessible through the doors.
  • the processing platform of some embodiments includes a water box 180 connected to the central transfer station 110 .
  • the water box 180 can be configured to provide a coolant to any or all of the processing chambers. Although referred to as a “water” box, those skilled in the art will understand that any coolant can be used.
  • the size of the processing platform 100 allows for the connection to house power through a single power connector 190 .
  • the single power connector 190 attaches to the processing platform 100 to provide power to each of the processing chambers and the central transfer station 110 .
  • the processing platform 100 can be connected to a factory interface 102 to allow wafers or cassettes of wafers to be loaded into the processing platform 100 .
  • a robot 103 within the factory interface 102 can be moved the wafers or cassettes into and out of the buffer stations 151 , 152 .
  • the wafers or cassettes can be moved within the processing platform 100 by the robot 117 in the central transfer station 110 .
  • the factory interface 102 is a transfer station of another cluster tool.
  • the processing platform 100 or first batch processing chamber 120 is connected to a controller.
  • the controller can be the same controller 195 or a different controller.
  • the controller can be coupled to the susceptor assembly and the gas distribution assembly of the first batch processing chamber 120 and has one or more configurations.
  • the configurations can include, but are not limited to, a first configuration to rotate the susceptor assembly about the central axis, a second configuration to provide a flow of a metal precursor to a process region, a third configuration to provide a flow of a reactant to a process region, a fourth configuration to provide a plasma in a process region.
  • FIG. 7 depicts a generalized method for forming a metal film on a substrate in accordance with one or more embodiment of the disclosure.
  • the method 700 generally begins at 702 , where a substrate upon which a metal film is to be formed is provided and placed into a processing chamber.
  • a “substrate surface” refers to any substrate surface upon which a layer may be formed.
  • the substrate surface may have one or more features formed therein, one or more layers formed thereon, and combinations thereof.
  • the substrate (or substrate surface) may be pretreated prior to the deposition of the metal film, for example, by polishing, etching, reduction, oxidation, halogenation, hydroxylation, annealing, baking, or the like.
  • the substrate may be any substrate capable of having material deposited thereon, such as a silicon substrate, a III-V compound substrate, a silicon germanium (SiGe) substrate, an epi-substrate, a silicon-on-insulator (SOI) substrate, a display substrate such as a liquid crystal display (LCD), a plasma display, an electro luminescence (EL) lamp display, a solar array, solar panel, a light emitting diode (LED) substrate, a semiconductor wafer, or the like.
  • one or more additional layers may be disposed on the substrate such that the metal film may be, at least partially, formed thereon.
  • a layer comprising a metal, a nitride, an oxide, or the like, or combinations thereof may be disposed on the substrate and may have the metal film formed upon such layer or layers.
  • the substrate is optionally exposed to a blocking compound. This process step is described more fully below and may be useful for controlling the selectivity of the deposition process on a substrate comprising both a metal surface and a dielectric surface.
  • a metal film is formed on the substrate.
  • the metal film may be formed via a cyclical deposition process, such as atomic layer deposition (ALD), or the like.
  • the forming of a metal film via a cyclical deposition process may generally comprise exposing the substrate to two or more process gases separately.
  • time-domain ALD embodiments exposure to each of the process gases are separated by a time delay/pause to allow the components of the process gases to adhere and/or react on the substrate surface.
  • a purge may be performed before and/or after the exposure of the substrate to the process gases, wherein an inert gas is used to perform the purge.
  • a first process gas may be provided to the process chamber followed by a purge with an inert gas.
  • a second process gas may be provided to the process chamber followed by a purge with an inert gas.
  • the inert gas may be continuously provided to the process chamber and the first process gas may be dosed or pulsed into the process chamber followed by a dose or pulse of the second process gas into the process chamber.
  • a delay or pause may occur between the dose of the first process gas and the second process gas, allowing the continuous flow of inert gas to purge the process chamber between doses of the process gases.
  • each of the process gases occurs simultaneously to different parts of the substrate so that one part of the substrate is exposed to the first reactive gas while a different part of the substrate is exposed to the second reactive gas (if only two reactive gases are used).
  • the substrate is moved relative to the gas delivery system so that each point on the substrate is sequentially exposed to both the first and second reactive gases.
  • the sequence may be repeated until a predetermined layer thickness is formed on the substrate surface.
  • a “pulse” or “dose” as used herein is intended to refer to a quantity of a source gas that is intermittently or non-continuously introduced into the process chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • a particular process gas may include a single compound or a mixture/combination of two or more compounds, for example, the process gases described below.
  • the durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto.
  • the dose time of a process gas may vary according to the flow rate of the process gas, the temperature of the process gas, the type of control valve, the type of process chamber employed, as well as the ability of the components of the process gas to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed. A dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto substantially the entire surface of the substrate and form a layer of a process gas component thereon.
  • the process of forming the metal film at 704 may begin by exposing the substrate to a first reactive gas.
  • the first reactive gas comprises an alkyl halide and is exposed to the substrate for a first period of time, as shown at 706 .
  • the alkyl halide may be any suitable reactant to adsorb a layer of halogen on the substrate for later reaction.
  • the alkyl halide comprises carbon and halogen.
  • the halogen comprises bromine or iodine.
  • the halogen is insoluble in the metal film.
  • a halogen which is insoluble in a metal film comprises less than or equal to about 2%, less than or equal to about 1%, or less than or equal to about 0.5% of the metal film on an atomic basis.
  • the alkyl halide has the general formula R-X, where R is an alkyl, alkenyl, aryl, or other carbonaceous group.
  • R comprises one to two, one to four, or one to six carbon atoms.
  • the alkyl halide comprises or consists essentially of iodoethane (H 5 C 2 I) or diiodomethane (CH 2 I 2 ).
  • an alkyl halide which consists essentially of a stated species comprises greater than 95%, 98%, 99% or 99.5% of the stated species on a molar basis, excluding any inert diluent gases.
  • the alkyl halide is delivered to the processing chamber as an alkyl halide containing gas.
  • the alkyl halide containing gas may be provided in one or more pulses or continuously.
  • the flow rate of the alkyl halide containing gas can be any suitable flow rate including, but not limited to, flow rates is in the range of about 1 to about 5000 sccm, or in the range of about 2 to about 4000 sccm, or in the range of about 3 to about 3000 sccm or in the range of about 5 to about 2000 sccm.
  • the alkyl halide containing gas can be provided at any suitable pressure including, but not limited to, a pressure in the range of about 5 mTorr to about 25 Torr, or in the range of about 100 mTorr to about 20 Torr, or in the range of about 5 Torr to about 20 Torr, or in the range of about 50 mTorr to about 2000 mTorr, or in the range of about 100 mTorr to about 1000 mTorr, or in the range of about 200 mTorr to about 500 mTorr.
  • the period of time that the substrate is exposed to the alkyl halide containing gas may be any suitable amount of time necessary to allow the alkyl halide to form an adequate adsorption layer atop the substrate surface(s).
  • the process gas may be flowed into the process chamber for a period of about 0.1 seconds to about 90 seconds.
  • the alkyl halide containing gas is exposed the substrate surface for a time in the range of about 0.1 sec to about 90 sec, or in the range of about 0.5 sec to about 60 sec, or in the range of about 1 sec to about 30 sec, or in the range of about 2 sec to about 25 sec, or in the range of about 3 sec to about 20 sec, or in the range of about 4 sec to about 15 sec, or in the range of about 5 sec to about 10 sec.
  • an inert gas may additionally be provided to the process chamber at the same time as the alkyl halide containing gas.
  • the inert gas may be mixed with the alkyl halide containing gas (e.g., as a diluent gas) or be provided separately and can be pulsed or of a constant flow.
  • the inert gas is flowed into the processing chamber at a constant flow in the range of about 1 to about 10000 sccm.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or combinations thereof.
  • the temperature of the substrate during deposition can be controlled, for example, by setting the temperature of the substrate support or susceptor.
  • the substrate is held at a temperature in the range of about 0° C. to about 600° C., or in the range of about 25° C. to about 500° C., or in the range of about 50° C. to about 450° C., or in the range of about 100° C. to about 400° C., or in the range of about 200° C. to about 400° C., or in the range of about 250° C. to about 350° C.
  • the substrate is maintained at a temperature below the decomposition temperature of the metal precursor.
  • the substrate is maintained at a temperature below the decomposition temperature of the alkyl halide. In some embodiments, the substrate is maintained at a temperature between the decomposition temperature of the alkyl halide and the decomposition temperature of the metal precursor.
  • the substrate is maintained at a temperature less than or equal to about 400° C., or less than or equal to about 350° C., or less than about 300° C. In one or more embodiments, the substrate is maintained at a temperature greater than or equal to about 250° C., or greater than or equal to about 300° C., or greater than about 350° C. In some embodiments, the substrate is maintained at a temperature of about 280° C.
  • additional process parameters may be regulated while exposing the substrate to the alkyl halide containing gas.
  • the process chamber may be maintained at a pressure of about 0.2 to about 100 Torr, or in the range of about 0.3 to about 90 Torr, or in the range of about 0.5 to about 80 Torr, or in the range of about 1 to about 50 Torr.
  • the process chamber (especially in time-domain ALD) may be purged using an inert gas.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or the like.
  • the inert gas may be the same, or alternatively, may be different from the inert gas provided to the process chamber during the exposure of the substrate to the alkyl halide containing gas at 706 .
  • the purge may be performed by diverting the first process gas from the process chamber, allowing the inert gas to flow through the process chamber, purging the process chamber of any excess first process gas components or reaction byproducts.
  • the inert gas may be provided at the same flow rate used in conjunction with the first process gas, described above, or in some embodiments, the flow rate may be increased or decreased.
  • the inert gas may be provided to the process chamber at a flow rate of about 0 to about 10000 sccm to purge the process chamber.
  • purge gas curtains are maintained between the flows of reactive gases and purging the process chamber may not be necessary.
  • the process chamber or region of the process chamber may be purged with an inert gas.
  • the flow of inert gas may facilitate removing any excess first process gas components and/or excess reaction byproducts from the process chamber to prevent unwanted gas phase reactions of the first and second process gases.
  • the substrate is exposed to a second process gas for a second period of time.
  • the second process gas comprises a metal precursor which reacts with the adsorbed layer of halogen on the substrate surface to deposit a metal film.
  • the second reactive gas may also be referred to as the metal precursor gas.
  • the metal precursor may be any suitable precursor to react with the adsorbed halogen layer on the substrate.
  • the metal precursor comprises a metal center and one or more ligands.
  • the metal center comprises one or more metal atoms.
  • the metal precursor is one or more of a dimer, trimer or tetramer.
  • the metal precursor can be any suitable precursor with a decomposition temperature above the deposition temperature.
  • the metal precursor comprises substantially no oxygen or nitrogen atoms.
  • the metal precursor comprises no carbonyl, oxo, amine, or imine ligands.
  • the number of ligands and types of ligands on the metal precursor can vary, based on, for example, the oxidation state of the metal atom.
  • the metal precursor can be homoleptic or heteroleptic.
  • the metal precursor comprises at least one ligand comprising an optionally alkyl substituted cyclopentadiene (Cp) ring.
  • the metal precursor comprises at least one ligand comprising an optionally alkyl substituted benzene ring. In some embodiments, the metal precursor comprises at least one p-cymene ligand. In some embodiments, the metal precursor comprises at least one ligand comprising an open or closed diene. In some embodiments, the metal precursor comprises at least one 1,3-butadiene ligand. In some embodiments, the metal precursor comprises at least one 1,5-hexadiene ligand. In some embodiments, the metal precursor comprises at least one aromatic ligand. In some embodiments, the at least one aromatic ligand comprises a benzene ring.
  • the benzene ring comprises at least one organic substituent comprising in the range of 1 to 6 carbon atoms.
  • the aromatic ligand comprises at least one ethylbenzene ligand.
  • the metal precursor comprises or consists essentially of bis(ethylbenzene)molybdenum.
  • the metal precursor comprises or consists essentially of p-cymene ruthenium 1,5-hexadiene.
  • the metal of the metal precursor corresponds to the metal of the deposited metal film.
  • the metal is selected from molybdenum, ruthenium, cobalt, copper, platinum, nickel or tungsten.
  • the metal of the metal precursor has an oxidation state of 0. Stated differently, in some embodiments, the metal precursor comprises a zero-valent metal complex.
  • Additional process parameters may be regulated while exposing the substrate to the metal precursor gas.
  • the process chamber may be maintained at a pressure of about 0.2 to about 100 Torr, or in the range of about 0.3 to about 90 Torr, or in the range of about 0.5 to about 80 Torr, or in the range of about 1 to about 50 Torr.
  • the metal precursor is delivered to the processing chamber as a metal precursor gas.
  • the metal precursor gas may be provided in one or more pulses or continuously.
  • the flow rate of the metal precursor gas can be any suitable flow rate including, but not limited to, flow rates is in the range of about 1 to about 5000 sccm, or in the range of about 2 to about 4000 sccm, or in the range of about 3 to about 3000 sccm or in the range of about 5 to about 2000 sccm.
  • the metal precursor gas can be provided at any suitable pressure including, but not limited to, a pressure in the range of about 5 mTorr to about 25 Torr, or in the range of about 100 mTorr to about 20 Torr, or in the range of about 5 Torr to about 20 Torr, or in the range of about 50 mTorr to about 2000 mTorr, or in the range of about 100 mTorr to about 1000 mTorr, or in the range of about 200 mTorr to about 500 mTorr.
  • a pressure in the range of about 5 mTorr to about 25 Torr, or in the range of about 100 mTorr to about 20 Torr, or in the range of about 5 Torr to about 20 Torr, or in the range of about 50 mTorr to about 2000 mTorr, or in the range of about 100 mTorr to about 1000 mTorr, or in the range of about 200 mTorr to about 500 mTorr.
  • the period of time that the substrate is exposed to the metal precursor gas may be any suitable amount of time necessary to allow the metal precursor to react with the adsorbed halogen on the substrate surface.
  • the process gas may be flowed into the process chamber for a period of about 0.1 seconds to about 90 seconds.
  • the metal precursor gas is exposed the substrate surface for a time in the range of about 0.1 sec to about 90 sec, or in the range of about 0.5 sec to about 60 sec, or in the range of about 1 sec to about 30 sec, or in the range of about 2 sec to about 25 sec, or in the range of about 3 sec to about 20 sec, or in the range of about 4 sec to about 15 sec, or in the range of about 5 sec to about 10 sec.
  • an inert gas may additionally be provided to the process chamber at the same time as the metal precursor gas.
  • the inert gas may be mixed with the metal precursor gas (e.g., as a diluent gas) or be provided separately and can be pulsed or of a constant flow.
  • the inert gas is flowed into the processing chamber at a constant flow in the range of about 1 to about 10000 sccm.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or combinations thereof.
  • the process chamber may be purged using an inert gas.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or the like.
  • the inert gas may be the same, or alternatively, may be different from the inert gas provided to the process chamber during previous process routines.
  • the purge may be performed by diverting the second process gas from the process chamber, allowing the inert gas to flow through the process chamber, purging the process chamber of any excess second process gas components or reaction byproducts.
  • the inert gas may be provided at the same flow rate used in conjunction with the second process gas, described above, or in some embodiments, the flow rate may be increased or decreased.
  • the inert gas may be provided to the process chamber at a flow rate of greater than 0 to about 10,000 sccm to purge the process chamber.
  • the processing method shown in FIG. 7 includes only two pulses of reactive gases, it will be understood that this is merely exemplary and that additional pulses of reactive gases may be used.
  • the method is performed without the use of an oxygen-containing reactive gas.
  • the sub processes of 704 comprise a cycle. A cycle may be performed in any order as long as the reactive gases are separated by a purge of the processing chamber.
  • the metal film is deposited at rate greater than or equal to about 0.2 ⁇ /cycle, greater than or equal to about 0.3 ⁇ /cycle, greater than or equal to about 0.4 ⁇ /cycle, greater than or equal to about 0.5 ⁇ /cycle, greater than or equal to about 0.6 ⁇ /cycle, greater than or equal to about 0.7 ⁇ /cycle, greater than or equal to about 0.8 ⁇ /cycle, greater than or equal to about 0.9 ⁇ /cycle, greater than or equal to about 1.0 ⁇ /cycle, or greater than or equal to about 1.2 ⁇ /cycle.
  • the deposition process is performed as a thermal process without the use of plasma reactants. Stated differently, in some embodiments, the method is performed without plasma.
  • the method 700 determines whether the metal film has achieved a predetermined thickness. If the predetermined thickness has not been achieved, the method 700 returns to 704 to continue forming the metal film until the predetermined thickness is reached. Once the predetermined thickness has been reached, the method 700 can either end or proceed to 716 for optional further processing (e.g., bulk deposition of another metal film).
  • the metal film may be deposited to form a total layer thickness of about 10 ⁇ to about 10,000 ⁇ , or in some embodiments, about 10 ⁇ to about 1000 ⁇ , or in some embodiments, about 50 ⁇ to about 5,000 ⁇ .
  • the metal layer comprises greater than or equal to about 75 atomic % molybdenum, or greater than or equal to about 80 atomic % molybdenum, or greater than or equal to about 85 atomic % molybdenum, or greater than or equal to about 90 atomic % molybdenum, or greater than or equal to about 95 atomic % molybdenum.
  • the metal layer comprises less than or equal to about 10 atomic % oxygen, or less than or equal to about 9 atomic % oxygen, or less than or equal to about 8 atomic % oxygen, or less than or equal to about 7 atomic % oxygen, or less than or equal to about 6 atomic % oxygen, or less than or equal to about 5 atomic % oxygen, or less than or equal to about 4 atomic % oxygen, or less than or equal to about 3 atomic % oxygen.
  • the metal layer comprises in the range of about 0.02 to about 5 atomic % iodine, or less than or equal to about 1 atomic % iodine.
  • the metal layer comprises less than or equal to about 20 atomic % carbon, or less than or equal to about 15 atomic % carbon, or less than or equal to about 10 atomic % carbon, or less than or equal to about 5 atomic % carbon.
  • the metal layer comprises greater than or equal to about 90 atomic % molybdenum, less than or equal to about 3 atomic % oxygen, less than or equal to about 1 atomic % iodine and less than or equal to about 10 atomic % carbon.
  • the metal layer has a resistivity of less than or equal to about 40 ⁇ ohm-cm, or less than or equal to about 35 ⁇ ohm-cm, or less than or equal to about 30 ⁇ ohm-cm, or less than or equal to about 25 ⁇ ohm-cm, or less than or equal to about 20 ⁇ ohm-cm.
  • the metal layer comprises molybdenum and has a resistivity of less than or equal to about 40 ⁇ ohm-cm, or less than or equal to about 35 ⁇ ohm-cm, or less than or equal to about 30 ⁇ ohm-cm, or less than or equal to about 25 ⁇ ohm-cm, or less than or equal to about 20 ⁇ ohm-cm.
  • the metal film is further processed by annealing the metal film. Without being bound by theory, it is believed that annealing the film at a high temperature under an Ar or H 2 atmosphere reduces carbon and halogen impurities in the metal film. In some embodiments, the metal film is annealed under an atmosphere comprising argon or hydrogen gas (H 2 ) to reduce the atomic concentration of carbon and/or halogen impurities.
  • the metal film deposited by some embodiments is smoother than the films deposited by known oxygen-based deposition processes.
  • the metal film has a surface roughness of less than or equal to about 10%, less than or equal to about 8%, less than or equal to about 5%, or less than or equal to about 2%, of a thickness of the metal film.
  • the metal film has a carbon content less than or equal to about 2%, less than or equal to about 1%, or less than or equal to about 0.5% carbon on an atomic basis. In some embodiments, the metal film has a halogen content less than or equal to about 1% or less than or equal to about 0.5% halogen on an atomic basis. In some embodiments, the metal film has a purity of greater than or equal to about 95%, greater than or equal to about 97%, greater than or equal to about 99%, greater than or equal to about 99.5%, or greater than or equal to about 99.9% metal atoms on an atomic basis.
  • Some embodiments of the disclosure selectively deposit a first metal film on a second metal surface over a first dielectric surface. These methods are similar to method 700 as described above, except that the substrate provided comprises a first dielectric surface and a second metal surface.
  • the first metal (of the metal film) and the second metal (of the substrate surface) may be the same metal or may be different metals.
  • the first metal is molybdenum, ruthenium, cobalt, copper, platinum, nickel or tungsten while the second metal is tungsten, cobalt or copper.
  • the first dielectric surface may be formed from any suitable dielectric material.
  • the dielectric material comprises nitrogen or oxygen atoms. Without being bound by theory, it is believed that these materials react with the alkyl halide and prevent the halogen from adsorbing onto the substrate surface so as to catalyze the reaction with the metal precursor. Accordingly, little, if any, metal film is formed on the dielectric surface.
  • the deposition temperature is below the decomposition temperature of the alkyl halide. Again, without being bound by theory, it is believed that if the alkyl halide decomposes, the halogen will be available for reaction with the metal precursor on all surfaces (regardless of composition), leading to metal film deposition on all substrate surfaces, including the dielectric surface. In some embodiments, the deposition temperature is at or above the decomposition temperature of the alkyl halide.
  • Some embodiments of the disclosure selectively deposit a first metal film on a first dielectric surface over a second metal surface. These methods are similar to method 700 as described above, except that the substrate provided comprises a first dielectric surface and a second metal surface and the substrate is exposed to a blocking compound at 703 .
  • a substrate comprising at least a second metal surface and a first dielectric surface is exposed to a blocking compound.
  • the blocking compound may be any suitable compound for blocking deposition on the second metal surface.
  • the blocking compound comprises at least one triple bond between two carbon atoms.
  • the blocking compound comprises an alkyne.
  • the blocking compound has the general formula of R′ ⁇ R′′.
  • R′ and R′′ are identical.
  • R′ and/or R′′ are an alkyl or other carbonaceous group.
  • the blocking compound comprises 4-12 carbon atoms.
  • R′ and/or R′′ are linear.
  • R′ and/or R′′ are branched.
  • the blocking compound comprises 3-hexyne.
  • the first metal (of the metal film) and the second metal (of the substrate surface) may be the same metal or may be different metals.
  • the first metal is molybdenum, ruthenium, cobalt, copper, platinum, nickel or tungsten while the second metal is tungsten, cobalt or copper.
  • the first dielectric surface may be formed from any suitable dielectric material.
  • the dielectric material comprises nitrogen or oxygen atoms.
  • the deposition temperature is at or above the decomposition temperature of the alkyl halide. In some embodiments, the deposition temperature is greater than or equal to about 250° C., greater than or equal to about 260° C., greater than or equal to about 270° C., greater than or equal to about 280° C., greater than or equal to about 290° C., or greater than or equal to about 300° C. In some embodiments, the deposition temperature is in the range of about 250° C. to about 450° C., or in the range of about 300° C. to about 400° C. In some embodiments, the deposition temperature is about 350° C.
  • the deposition temperature is above the decomposition temperature of the alkyl halide, the halogen atoms are deposited on the entire substrate surface, thereby allowing deposition on the dielectric surface.
  • the metal surface is blocked by the blocking compound, so as to allow little, if any, metal film to be formed on the metal surface. Accordingly, deposition of the metal film is selective to the dielectric surface over the metal surface,
  • the deposition of highly pure metal films can be understood as follows.
  • a substrate, maintained at a deposition temperature is exposed to an alkyl halide (R-X) to adsorb R and X on the substrate, where R is a carbonaceous group and X is a halogen.
  • R is desorbed in the form of R-R or R ⁇ , leaving X adsorbed on the substrate.
  • the substrate is exposed to a metal precursor, M-L, where M is the metal and L is a ligand.
  • M-L reacts with the adsorbed X to form M-X on the substrate surface, liberating L.
  • M-X reacts with other M-X moieties on the substrate to form M-M. This reaction may produce either X-X or X.
  • X-X may be desorbed and purged.
  • X ⁇ may remain on the surface to further react with M-L.
  • this general mechanism relies on several premises.
  • the thermal decomposition temperature of the metal precursor is higher than the deposition temperature.
  • the theory here states that if the metal precursor decomposes, the deposited film will contain an appreciable quantity of the precursor ligand L, typically seen as carbon impurities.
  • the inventors have surprisingly found that processes including metal precursors, alkyl halides and process conditions which meet all of the above requirements deposit highly pure metal films.
  • the inventors have surprisingly found that if the deposition temperature is below the thermal decomposition temperature of the alkyl halide, the deposition process is selective to metal surfaces over dielectric surfaces without requiring the use of a blocking layer.
  • the deposition process can be made selective by exposing the metal surface to a small alkyne blocking compound.
  • Some embodiments of the disclosure advantageously provide methods of depositing conformal metal films on substrates comprising high aspect ratio structures.
  • conformal means that the thickness of the metal film is uniform across the substrate surface.
  • substantially conformal means that the thickness of the metal film does not vary by more than about 10%, 5%, 2%, 1%, or 0.5% relative to the average thickness of the film. Stated differently a film which is substantially conformal has a conformality of greater than about 90%, 95%, 98%, 99% or 99.5%.
  • the molybdenum conductive layer comprises greater than or equal to about 90 at. % molybdenum, less than or equal to about 3 at. % oxygen, less than or equal to about 1 at. % iodine and less than or equal to about 10 at. % carbon, and a resistivity less than or equal to about 40 ⁇ ohm-cm.
  • the molybdenum conductive layer is formed on a barrier layer.
  • the barrier layer of some embodiments has a thickness less than or equal to about 10 ⁇ , 20 ⁇ , 30 ⁇ , 40 ⁇ or 50 ⁇ .
  • the molybdenum conductive layer is formed on a substrate without an intervening barrier layer.
  • the above disclosure relates to the deposition of metal films by a sequential pulse of reactants.
  • the following disclosure relates to the deposition of metal films by a simultaneous or constant-flow process.
  • the sequential pulse methods are ALD methods.
  • the simultaneous or constant-flow methods are CVD methods. While the process steps differ, many of the reactants and process parameters are similar.
  • FIG. 8 depicts a generalized method 800 for forming a metal film on a substrate in accordance with one or more embodiment of the disclosure.
  • FIG. 9 depicts an exemplary substrate for processing in accordance with one or more embodiment of the disclosure.
  • the method 800 generally begins at 810 , where a substrate 900 upon which a metal film is to be formed is provided and placed into a processing chamber.
  • the substrate 900 has a substrate surface 905 with at least one feature 910 therein.
  • the feature 910 has a sidewall 912 , 914 and a bottom 916 .
  • a dielectric material 920 forms the sidewall 912 , 914 and a metallic material 930 forms the bottom 16 .
  • the illustrated embodiment refers to two sidewalls, as in a trench-like structure, but that the disclosure is not limited to trenches.
  • the feature comprises a round via with, technically, has a single round sidewall that would appear as two sidewalls in a cross-sectional view, as shown.
  • the substrate 900 may undergo one or more optional pre-processing steps.
  • the substrate may optionally have one or more layers formed on the substrate surface.
  • a metal nitride liner is deposited in the feature 910 .
  • the metal nitride liner comprises titanium nitride.
  • the metal nitride liner has a thickness in a range of about 15 ⁇ to about 40 ⁇ . In some embodiments, the metal nitride liner has a thickness of about 20 ⁇ or about 30 ⁇ .
  • a seed layer is deposited on the substrate surface.
  • the seed layer is a conformal layer.
  • the seed layer is continuous.
  • the thickness of the seed layer is in a range of about 1 nm to about 5 nm, or in a range of about 1 nm to about 4 nm.
  • the seed layer comprises a ruthenium layer deposited by a known atomic layer deposition method.
  • the seed layer is deposited by an ALD cycle comprising a ruthenium precursor exposure and an alkyl halide exposure with intervening purges.
  • the seed layer is deposited by an ALD cycle comprising a ruthenium precursor exposure and an ammonia plasma exposure with intervening purges.
  • the bottom 916 comprises a metal and the optional pre-process comprises a cleaning process.
  • the metal bottom 916 of the feature is cleaned to remove oxides from the metal prior to formation of a metal film in the feature.
  • the substrate is optionally exposed to a blocking compound. This process step is described more fully below and may be useful for controlling the selectivity of the deposition process on a substrate comprising both a metal surface and a dielectric surface.
  • a metal film is formed on the substrate.
  • the metal film of some embodiments is formed by exposing the substrate to a metal precursor and an alkyl halide catalyst while the substrate is maintained at a deposition temperature.
  • the alkyl halide catalyst and the metal precursor are exposed the substrate at the same time.
  • the metal precursor and the alkyl halide catalyst are exposed to the substrate separately and sequentially. In embodiments with separate exposures, each “cycle” is a single exposure to the alkyl halide catalyst and the metal precursor, in either order.
  • the process of forming the metal film at 830 may begin by soaking the substrate with a catalytic gas.
  • the catalytic gas comprises an alkyl halide and is exposed to the substrate for a first period of time, as shown at 840 .
  • the catalytic gas comprises an alkyl halide catalyst that forms a catalyst layer on the metal bottom of the feature.
  • the alkyl halide may be any suitable reactant to adsorb a layer on the substrate for later reaction. Stated differently, soaking the substrate in the alkyl halide forms an activated substrate surface.
  • the alkyl halide is described above and elsewhere herein.
  • the alkyl halide may be provided to the processing chamber in one or more pulses or continuously.
  • the alkyl halide is provided with an inert carrier gas and is referred to the alkyl halide containing gas.
  • the flow rate and pressure of the alkyl halide or alkyl halide containing gas can be any suitable values. Exemplary flow rates and pressures disclosed elsewhere herein for the alkyl halide containing gas are also applicable in this embodiment.
  • the period of time that the substrate is soaked in the alkyl halide may be any suitable amount of time necessary to allow the alkyl halide to form an adequate adsorption layer on the substrate surface(s).
  • the alkyl halide may be allowed to soak the substrate for a period of greater than about 3 seconds or greater than about 5 seconds. In some embodiments, the soak period is in a range of about 3 seconds to about 60 seconds.
  • an inert gas may additionally be provided to the process chamber at the same time as the alkyl halide containing gas.
  • the inert gas may be mixed with the alkyl halide (e.g., as a diluent gas) or be provided separately and can be pulsed or of a constant flow.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or combinations thereof.
  • the substrate is exposed to a second process gas for a second period of time.
  • the second process gas comprises a metal precursor which reacts with the adsorbed layer of alkyl halide or halogen on the substrate surface to deposit a metal film.
  • the second reactive gas may also be referred to as the metal precursor gas.
  • the metal precursor may be any suitable precursor to react with the adsorbed alkyl halide layer or halogen layer on the substrate. Suitable metal precursors are described elsewhere herein.
  • the metal precursor is delivered to the processing chamber as a metal precursor gas.
  • the metal precursor gas may be provided in one or more pulses or continuously.
  • the flow rate and pressure of the metal precursor gas can be any suitable flow rate and pressure. Exemplary values for flow rate and pressure are discussed elsewhere herein.
  • the period of time that the substrate is exposed to the metal precursor gas may be any suitable amount of time necessary to allow the metal precursor to react with the adsorbed halogen on the substrate surface.
  • the process gas may be flowed into the process chamber for a period of greater than or equal to about 60 seconds.
  • the period of exposure to the metal precursor is about 100 seconds, about 200 seconds, about 300 seconds, about 400 seconds or about 500 seconds.
  • the temperature of the substrate during exposure to the metal precursor can be controlled, for example, by setting the temperature of the substrate support or susceptor. This temperature is also referred to as the deposition temperature.
  • the substrate is maintained at a temperature below the decomposition temperature of the metal precursor.
  • the substrate is maintained at a temperature below the decomposition temperature of the alkyl halide.
  • the substrate is maintained at a temperature between the decomposition temperature of the alkyl halide and the decomposition temperature of the metal precursor.
  • the substrate is maintained at a temperature less than or equal to about 400° C., or less than or equal to about 350° C., or less than or equal to about 300° C., or less than or equal to about 250° C., or less than or equal to about 200° C. In one or more embodiments, the substrate is maintained at a temperature greater than or equal to about 150° C., or greater than or equal to about 200° C., or greater than or equal to about 250° C., or greater than or equal to about 300° C., or greater than or equal to about 350° C. In some embodiments, the substrate is maintained at a temperature of about 225° C. or about 280° C.
  • the deposition process is performed as a thermal process without the use of plasma reactants. Stated differently, the method is performed without plasma.
  • the method 800 determines whether the metal film has achieved a predetermined thickness. If the predetermined thickness has not been achieved, the method 800 returns to 850 to continue exposing the substrate to the metal precursor until the predetermined thickness is reached. Once the predetermined thickness has been reached, the method 800 can either end or proceed to 870 for optional further processing.
  • the metal film may be deposited to form a total layer thickness of about 10 ⁇ to about 10,000 ⁇ , or in some embodiments, about 20 ⁇ to about 1000 ⁇ , or in some embodiments, about 50 ⁇ to about 200 ⁇ .
  • Some embodiments of the disclosure selectively deposit a metal film on a metal surface over a first dielectric surface. These methods are similar to method 800 as described above.
  • the substrate provided comprises a dielectric surface and a metal surface.
  • a substrate as shown in FIG. 9 is processed to selectively form bottom up gap fill on the metal surface at the bottom 916 of the feature 910 .
  • the metal of the metal film and the metal of the substrate surface may be the same metal or may be different metals.
  • the dielectric surface may be formed from any suitable dielectric material.
  • the dielectric material comprises nitrogen or oxygen atoms. Without being bound by theory, it is believed that these materials react with the alkyl halide and prevent the halogen from adsorbing onto the substrate surface so as to catalyze the reaction with the metal precursor. Accordingly, little, if any, metal film is formed on the dielectric surface.
  • the deposition temperature is below the decomposition temperature of the alkyl halide. Again, without being bound by theory, it is believed that if the alkyl halide decomposes, the halogen will be available for reaction with the metal precursor on all surfaces (regardless of composition), leading to metal film deposition on all substrate surfaces, including the dielectric surface. In some embodiments, the deposition temperature is at or above the decomposition temperature of the alkyl halide.
  • Some embodiments of this disclosure advantageously provide methods for controlling the deposition of a metal film.
  • the rate of deposition is controlled.
  • the location of deposition is controlled.
  • the methods of various embodiments use methods of atomic layer deposition (ALD) or chemical vapor deposition (CVD) to form the metal films.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • Some embodiments of the disclosure advantageously provide methods of depositing metal films within substrate features or other structures.
  • Exemplary features or structures include, but are not limited to, trenches and vias.
  • Some embodiments of the disclosure advantageously provide deposition control methods for reducing film deposition outside of a target feature and near the feature opening. Without being bound by theory, it is believed that reducing deposition in these areas allows faster gapfill within the target feature and reduces clogging near the feature opening and formation of voids or seams within the feature.
  • both the ALD and CVD processes described above utilize an alkyl halide and a metal precursor to deposit a metal film.
  • the alkyl halide functions as a catalyst in the deposition of the metal film. Accordingly, as particularly evidenced by the CVD process, a single exposure of the substrate surface to an alkyl halide can be used to deposit a thickness of more than 10 nm of metal film.
  • Some embodiments of the disclosure advantageously provide deposition control methods for reducing the activity of the catalyst in predetermined areas of the substrate surface. In some embodiments, the activity of catalyst is reduced. In some embodiments, the activity of the catalyst is eliminated.
  • FIGS. 10A-10D an exemplary substrate 400 is shown during processing according to one or more embodiments of this disclosure.
  • the substrate 1000 illustrated in FIGS. 10A-10D is simplified for explanation.
  • the substrates of this disclosure contain features or structures not depicted in FIGS. 10A-10D .
  • the substrate 1000 contains a substrate surface 1010 .
  • the substrate surface 1010 is exposed to an alkyl halide to form an activated surface 1020 .
  • the alkyl halide 1040 adsorbs to the substrate surface 1010 to form an activated substrate surface 1020 .
  • a predetermined area of the activated surface 1020 is exposed to a deactivation treatment to form a deactivated surface 1030 .
  • the alkyl halide 1040 shown in FIGS. 10B and 10C is shown as circular or ovoid, however no specific molecular shape is intended to be conveyed. Similarly, the difference between the circular shapes shown in FIGS. 10B and 10C and the ovoid shapes shown in FIG. 10C is meant only to convey the activity and/or relative concentration of alkyl halide on the substrate surfaces.
  • the substrate 1000 is exposed to a metal precursor to form a metal film 1050 .
  • the thickness T 1 of the metal film 1050 on the activated surface 1020 is greater than the thickness T 2 of the metal film 1050 on the deactivated surface 1030 .
  • the deactivation treatment reduces the concentration of the alkyl halide on the activated surface 1020 . In some embodiments, the deactivation treatment reduces the catalytic activity of the alkyl halide on the activated surface 1020 .
  • the method described above with respect to FIGS. 10A-10D is modified to include the deactivation treatment before exposure to the alkyl halide.
  • the deactivation treatment may be understood to “superactivate” a predetermined area of the substrate surface 1010 before exposure to the alkyl halide.
  • the “superactivated” surface forms a higher concentration or activity of alkyl halide than a surface not exposed to the deactivation treatment.
  • the difference in concentration and/or activity between the surfaces may be used to control deposition.
  • the surfaces may be further deactivated as described above with respect to FIGS. 10C-10D .
  • the thickness T 1 is greater than the thickness T 2 . Accordingly, some embodiments of the disclosure advantageously provide deposition control methods for controlling the amount of deposition in predetermined areas of the substrate surface.
  • the ratio of T 1 :T 2 is greater than or equal to about 1:1, greater than or equal to about 2:1, greater than or equal to about 3:1, greater than or equal to about 4:1, greater than or equal to about 5:1, or greater than or equal to about 10:1.
  • little to no deposition of metal occurs on the deactivated surface 1030 .
  • thickness T 2 is about 0.
  • the amount of metal film 1050 deposited on the deactivated surface 1030 is essentially none. As used in this regard, “essentially none” means that the metal film on the deactivated surface covers less than 5%, less than 2%, less than 1% or less than 0.5% of the deactivated surface.
  • the thicknesses of the metal film 1050 deposited on the activated surface 1020 and the deactivated surface 1030 is directly proportional to the rates of deposition on the activated surface 1020 and the deactivated surface 1030 . Accordingly, some embodiments of the disclosure advantageously provide deposition control methods for controlling the rate of deposition in predetermined areas of the substrate surface.
  • the entire substrate surface is exposed to the deactivation treatment. Some embodiments of the disclosure may be used to control the amount of deposition on the entire substrate. Some embodiments of the disclosure may be used to control the rate of deposition on the entire substrate.
  • the substrate 1000 comprises one or more features.
  • the deactivated surface 1030 is the surface outside of the one or more feature. In some embodiments, the deactivated surface 1030 is the surface near the top of the sidewall of the one or more feature.
  • the deactivated surface 1030 is the surface near the top of the one or more feature. Further, in some embodiments, the deactivated surface 1030 is the surface near the substrate feature.
  • the metal film deposited within the feature has reduced seams or voids. In some embodiments, the metal film deposited within the feature has substantially no seam or voids. As used in this regard, the term “substantially no seam” means that any gap formed in the film between the sidewalls is less than about 1% of the cross-sectional area of the sidewall.
  • the predetermined areas of the substrate are exposed to hydrogen gas without the use of plasma.
  • a hydrogen gas pulse is introduced into the ALD deposition cycle described above.
  • a substrate may be exposed to a pulse sequence of alkyl halide, purge, hydrogen gas, purge, metal precursor, purge.
  • the substrate is exposed to an additional pulse of hydrogen gas followed by a purge after exposure to the metal precursor.
  • the substrate is exposed to an additional pulse of hydrogen gas followed by a purge after exposure to the alkyl halide.
  • the purge phase between each exposure to the metal precursor and/or the alkyl halide is performed in some, but not all cycles.
  • a hydrogen gas exposure is introduced into the CVD deposition cycle described above.
  • a substrate may be soaked with the alkyl halide, exposed to hydrogen gas and exposed to the metal precursor.
  • the substrate is exposed to the hydrogen gas before exposure to the metal precursor.
  • the hydrogen gas and the metal precursor are flowed simultaneously.
  • the predetermined areas of the substrate are exposed to a plasma comprising one or more of hydrogen (H 2 ), ammonia (NH 3 ) or argon (Ar).
  • the plasma used to deactivate the surface is a low powered plasma.
  • the plasma has a power in a range of about 50 W to about 500 W, in a range of about 50 W to about 300 W, in a range of about 50 W to about 200 W, or in a range of about 50 W to about 100 W.
  • the plasma exposure time is less than or equal to about 30 seconds, less than or equal to about 20 seconds, less than or equal to about 15 seconds, less than or equal to about 10 seconds, less than or equal to about 5 seconds, or less than or equal to about 2 seconds.
  • the plasma is a conductively coupled plasma (CCP). In some embodiments, the plasma is an inductively coupled plasma (ICP). In some embodiments, the plasma is a direct plasma generated within the processing environment. In some embodiments, the plasma is a remote plasma generated outside of the processing environment.
  • CCP conductively coupled plasma
  • ICP inductively coupled plasma
  • the plasma is a direct plasma generated within the processing environment. In some embodiments, the plasma is a remote plasma generated outside of the processing environment.
  • a plasma pulse is introduced into the ALD deposition cycle described above. In some embodiments, the plasma pulse replaces the hydrogen gas pulse described above with respect to the ALD deposition cycle.
  • a plasma pulse is introduced into the CVD deposition cycle described above. In some embodiments, the plasma pulse replaces the hydrogen gas exposure described above with respect to the CVD deposition cycle.
  • FIG. 11 illustrates a process for seam-free gap fill according to one or more embodiment of the disclosure.
  • the substrate 1100 illustrated has at least one feature 1105 with a first metal 1110 at the bottom 1106 of the feature 1105 and at least one dielectric sidewall 1120 .
  • the first metal 1110 has a first metal surface 1111 that is exposed within the feature 1105 .
  • the dielectric sidewall 1120 has a top surface 1121 outside of the feature 1105 and one or more sidewall surface 1122 within the feature 1105 .
  • the substrate 1100 is exposed to an optional cleaning process.
  • the cleaning process cleans the first metal surface 1111 at the bottom 1106 of the feature 1105 .
  • the cleaning process removes oxides from the first metal surface 1111 .
  • the cleaning process of some embodiments comprises degassing the substrate with or without hydrogen, an argon sputter with or without hydrogen, water vapor cleaning or APC clean.
  • a metal film 1130 is deposited using a catalyst-enhanced chemical vapor deposition (CECVD) process.
  • a metal film 1130 e.g., a ruthenium film
  • CECVD catalyst-enhanced chemical vapor deposition
  • a metal film 1130 is selectively deposited on the first metal 1110 to cover the first metal surface 1111 .
  • the metal film 1130 is deposited to a thickness that partially fills the feature 1105 so that the top surface of the metal film 1131 is below the top surface 1121 of the dielectric 1120 .
  • a blocking layer 1140 is optionally formed on the top surface 1131 of the metal film 1130 .
  • the blocking layer 1140 can be any suitable material known to the skilled artisan that can prevent deposition of a liner material on the top surface 1131 of the metal film 1130 .
  • the blocking layer 1140 comprises a self-assembled monolayer (SAM).
  • a conformal liner 1150 is formed on the dielectric sidewall 1122 and the top surface 1121 of the dielectric 1120 . In some embodiments there is substantially no conformal liner 1150 formed on the top surface 1131 of the metal film 1130 or on the optional blocking layer 1140 . As used in this manner, the term “substantially no” means that less than or equal to about 10%, 5%, 2% or 1% of the surface area of the metal film 1130 or the optional blocking layer 1140 has liner material deposited thereon. This does not include the edges of the sidewalls where the sidewall intersects the top surface of the metal film or the optional blocking layer.
  • the conformal liner 1130 has a thickness less than or equal to about 30 ⁇ , 25 ⁇ or 20 ⁇ . In some embodiments, the conformal liner 1130 has a thickness sufficient to form a continuous film. In some embodiments, the conformal liner 1130 comprises titanium nitride (TiN) and/or tantalum nitride (TaN).
  • the blocking layer 1140 is optionally removed from the top surface 1131 of the metal films 1130 .
  • the blocking layer 1140 can be removed by any suitable technique known to the skilled artisan.
  • the feature 1105 is filled with the metal film 1130 to 1130 form an overburden 1133 that covers the conformal liner 1150 on the dielectric sidewall and the top surface of the dielectric.
  • the metal film 1130 is optionally annealed to change some property of the film and form an annealed metal film 1160 .
  • the metal film 1130 is annealed to increase the density of the film. Annealing can be done by any suitable technique under any suitable conditions known to the skilled artisan.
  • a portion of the annealed metal film 1160 (or the metal film 1130 if not annealed) is removed by any suitable technique. In some embodiments, a portion of the annealed metal film 1160 (or metal film 1130 ) and at least some of the conformal liner 1150 is removed to expose the top surface 1121 of the dielectric 1120 . In some embodiments, a portion of the annealed metal film 1160 (or metal film 1130 ) and a portion of the dielectric 1120 , and at least some of the conformal liner 1150 is removed. In some embodiments, all of the conformal liner 1150 is removed. In some embodiments, the annealed metal film 1160 (or metal film 1130 ), the conformal liner 1150 and the portion of the dielectric 1120 is removed by chemical-mechanical planarization.
  • one or more of the blocking layer 1140 or conformal liner 1150 are deposited prior to formation of the metal film 1130 , so that the blocking layer 1140 forms directly on the first metal 1110 .
  • the blocking layer 1140 is removed from the first metal 1110 surface prior to depositing the metal film 1130 .
  • the deposition of the metal film 1130 proceeds with an initial ALD process and then a CECVD process to grow the metal film.
  • a combination of atomic layer deposition and catalyst enhanced chemical vapor deposition is used.
  • the ALD portion of some embodiments follows a sequence of metal precursor (e.g., ruthenium precursor) soaking, a purge, catalyst precursor (e.g., iodine precursor) soak, and purge.
  • the individual exposures during the ALD portion have short durations.
  • the soaking portions are performed for less than 10 seconds, 5 second, 4 seconds, 3 seconds or 2 seconds.
  • the metal precursor soak is longer than the catalyst precursor soak.
  • the purging portions are performed for less than 5 second, 4 seconds, 3 seconds, 2 seconds or 1 second.
  • the CECVD sequence of some embodiments comprises a catalyst precursor soak, followed by a metal precursor soak, then a purge.
  • the catalyst precursor soak of some CECVD embodiments has a duration in the range of 5 seconds to 300 seconds, 10 seconds to 240 seconds, 15 seconds to 210 seconds, 20 seconds to 180 seconds, 25 seconds to 120 seconds or 30 seconds to 60 seconds.
  • the metal precursor soak of some CECVD embodiments has a duration in the range of 20 seconds to 1200 seconds, 30 seconds to 800 seconds, 40 seconds to 600 seconds, 50 seconds to 450 seconds or 60 seconds to 300 seconds.
  • the catalyst soak has a shorter duration than the metal soak.
  • the purge has a duration in the range of 1 second to 100 seconds, 2 seconds to 80 seconds, 3 seconds to 60 seconds, 4 seconds to 30 seconds or 5 seconds to 10 seconds.
  • the process comprises an initial ALD type process to build a thickness of the second metal. Once a predetermined thickness of the second metal has been formed, the CECVD process is performed repeatedly to grow a film with a predetermined thickness. In some embodiments, the CECVD process is not effective for second metal growth without an initial layer of the metal deposited by a selective ALD process.
  • the ALD type sequence of some embodiments deposits the second metal at a faster rate than the CECVD process.
  • the process sequence switches to an ALD type sequence. The metal film can then be further processed as described herein.

Abstract

Methods of depositing a metal film are discussed. A metal film is formed on the bottom of feature having a metal bottom and dielectric sidewalls. Formation of the metal film comprises exposure to a metal precursor and an alkyl halide catalyst while the substrate is maintained at a deposition temperature. The metal precursor has a decomposition temperature above the deposition temperature. The alkyl halide comprises carbon and halogen, and the halogen comprises bromine or iodine.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a Continuation of U.S. application Ser. No. 17/198,576, filed on Mar. 11, 2021, which claims priority to U.S. Provisional Application No. 62/988,091, filed Mar. 11, 2020, the entire disclosures of which are hereby incorporated by reference herein.
  • TECHNICAL FIELD
  • Embodiments of the disclosure generally relate to methods for depositing metal films. Some embodiments of the disclosure are directed to methods for depositing metal films. Some embodiments of the disclosure relate to the selective deposition of metal films. Some embodiments of the disclosure control the location and/or rate of deposition through the use of plasma and/or thermal exposure conditions.
  • BACKGROUND
  • The semiconductor industry continues to strive for continuous device miniaturization that is driven by the need for mobile and high-performance systems in emerging industries such as autonomous vehicles, virtual reality, and future mobile devices. To accomplish this feat, new, high-performance materials are needed to circumvent inherent engineering, chemical and physics issues encountered in the rapid reduction of features in microelectronic devices.
  • Ruthenium is a new proposed material for integration owing to its high melting point (ability to withstand high current densities), exceptional density, and ability to conduct electrical current. Ruthenium and ruthenium containing thin films have attractive material and conductive properties. Ruthenium films have been proposed for applications ranging from front end to back end parts of semiconductor and microelectronic devices.
  • Thin-films of ruthenium would ideally be deposited using thin-film deposition techniques such as Chemical Vapor Deposition (CVD) and Atomic Layer Deposition (ALD) owing to their inherent ability to deposit material in a high-throughput and precise fashion.
  • Yet deposited ruthenium films often differ from bulk ruthenium materials. There is particular challenge in depositing ruthenium films with high purity (>99 atomic % of Ru), especially as gap fill material. Previous solutions utilizing oxygen reactants produced films with greater roughness than bulk materials. Similarly, hydrogen reactants produced greater impurities which required a subsequent annealing step for removal. Finally, plasma deposition processes were unable to deposit gap fill materials without creating a seam and potentially damaging the underlying substrate.
  • In the current state of the art, structures are filled by CVD or electrochemical plating (ECP) processes. In CVD processes where the deposition happens all over the structure, a void is usually formed when the films merge due to roughness, leaving a seam in the gap. ECP processes are restricted by size and whether a process for the required material exists.
  • Therefore there is a need for methods and materials for depositing high purity conformal ruthenium films as gap fill. There is also a need for methods and materials for depositing ruthenium films as gap fill without seams or voids.
  • Additionally, as the design of semiconductor devices evolve, precision material manufacturing in the semiconductor industry has entered an era of atomic scale dimensions. At the atomic scale, with only tens of atoms at stake, there is little margin for error. This unprecedented challenge demands new material processing techniques which have atomic level precision. However, increasing the complexity of the process flow required in atomic scale device manufacturing can significantly lower throughput and increase the cost of manufacturing.
  • Selective deposition technologies offer the potential for chemically-selective atomic-layer precision in semiconductor film patterning. Selective deposition also offers the potential for simpler process flows by eliminating lithography or other processes.
  • Selective deposition of materials can be accomplished in a variety of ways. For instance, some processes may have inherent selectivity to surfaces based on their surface chemistry. These processes are fairly rare and usually need to have surfaces with drastically different surface energies, such as metals and dielectrics.
  • Therefore there is a need for methods of selectively depositing metal films on metallic surfaces over dielectric surfaces, or vice versa.
  • Further, current devices use tungsten films for memory and logic applications. Deposition of tungsten films is frequently performed at relatively high temperatures which can be limited by the thermal budget of the device being formed. Tungsten films are often deposited using fluorine containing compounds. Fluorine is generally not desirable in the deposition process as there can be reactions and adverse effects. To prevent fluorine from reacting with the underlying layers, a relatively thick barrier layer is used. The barrier layer deposition decreases thermal budget and throughput.
  • Therefore there is a need in the art for conductive materials that do not use fluorine and/or can be deposited at low temperatures.
  • Additionally, during middle-of-line (MOL) processes, a minimum via resistance for the MOL structures are targeted. A liner material is often required to improve adhesion of metals (e.g., ruthenium) to dielectric materials to pass post-processing steps such as chemical-mechanical planarization (CMP). However, the presence of the liner adds to the via resistance. Therefore, there is a need in the art for gap fill processes for MOL applications with decreased via resistance.
  • SUMMARY
  • One or more embodiments of the disclosure are directed to metal deposition methods. A substrate having at least one feature comprising a bottom and sidewalls is exposed to alternating pulses of a metal precursor and an alkyl halide catalyst. The substrate is maintained at a deposition temperature to form a metal film on the bottom of the feature. The bottom of the feature comprises a metal and the sidewalls of the feature comprise a dielectric. The metal precursor has a decomposition temperature above the deposition temperature. The alkyl halide comprises carbon and halogen, and the halogen comprises bromine or iodine.
  • Additional embodiments of the disclosure are directed to methods of forming a seam-free gap fill. A second metal film is deposited in a feature on a substrate to partially fill the feature with the second metal film. The feature comprises a bottom and at least one sidewall. The bottom comprises a first metal and the at least one sidewall comprises a dielectric. The second metal film is formed selectively on the bottom relative to the at least one sidewall and has a top surface below a top surface of the dielectric. A liner is deposited on the sidewall of the feature above the second metal film. The feature is filled with the second metal film to cover the liner and the top surface of the dielectric. The second metal film and at least some of the liner are removed from the top surface of the dielectric and at least some of the dielectric to form a seam-free gap fill.
  • Further embodiments of the disclosure are directed to methods of forming a seam-free gap fill. The methods comprise: (a) optionally cleaning a surface of a first metal at a bottom of a feature in a substrate, the feature comprising at least one dielectric sidewall; (b) selectively depositing a ruthenium film in the feature on first metal relative the dielectric sidewall, the ruthenium film partially filling the feature so that a top surface of the ruthenium film is below a top surface of the dielectric; (c) optionally, selectively forming a blocking layer on the top surface of the ruthenium film; (d) forming a conformal liner on the dielectric sidewall and the top surface of the dielectric, the conformal liner substantially not forming on the top surface of the ruthenium film; (e) optionally removing the blocking layer from the top surface of the ruthenium film; (f) filling the feature with the ruthenium film to cover the conformal liner on the dielectric sidewall and the top surface of the dielectric; (g) annealing the ruthenium film; and (h) removing a portion of the ruthenium film and the dielectric, and at least some of the liner to form a ruthenium seam-free gap fill.
  • BRIEF DESCRIPTION OF THE DRAWING
  • So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
  • FIG. 1 shows a schematic view of a processing platform in accordance with one or more embodiment of the disclosure;
  • FIG. 2 shows a cross-sectional view of a batch processing chamber in accordance with one or more embodiment of the disclosure;
  • FIG. 3 shows a partial perspective view of a batch processing chamber in accordance with one or more embodiment of the disclosure;
  • FIG. 4 shows a schematic view of a batch processing chamber in accordance with one or more embodiment of the disclosure;
  • FIG. 5 shows a schematic view of a portion of a wedge shaped gas distribution assembly for use in a batch processing chamber in accordance with one or more embodiment of the disclosure;
  • FIG. 6 shows a schematic view of a batch processing chamber in accordance with one or more embodiment of the disclosure;
  • FIG. 7 illustrates an exemplary process sequence for the formation of a metal layer using a two pulse cyclical deposition technique according to one or more embodiment of the disclosure;
  • FIG. 8 illustrates an exemplary process sequence for the formation of a ruthenium layer according to one or more embodiment of the disclosure;
  • FIG. 9 shows a cross-sectional view of an exemplary substrate in accordance with one or more embodiment of the disclosure;
  • FIGS. 10A-10D illustrate an exemplary substrate during processing according to one or more embodiment of the disclosure; and
  • FIG. 11 illustrates an exemplary method for seam-free gap filling according to one or more embodiment of the disclosure.
  • In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.
  • DETAILED DESCRIPTION
  • Before describing several exemplary embodiments of the disclosure, it is to be understood that the disclosure is not limited to the details of construction or process routines set forth in the following description. The disclosure is capable of other embodiments and of being practiced or being carried out in various ways.
  • A “substrate”, “substrate surface”, or the like, as used herein, refers to any substrate or material surface formed on a substrate upon which processing is performed. For example, a substrate surface on which processing can be performed include, but are not limited to, materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate (or otherwise generate or graft target chemical moieties to impart chemical functionality), anneal and/or bake the substrate surface. In addition to processing directly on the surface of the substrate itself, in the present disclosure, any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such underlayer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface. What a given substrate surface comprises will depend on what materials are to be deposited, as well as the particular chemistry used.
  • “Atomic layer deposition” or “cyclical deposition” as used herein refers to the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface. As used in this specification and the appended claims, the terms “reactive compound”, “reactive gas”, “reactive species”, “precursor”, “process gas” and the like are used interchangeably to mean a substance with a species capable of reacting with the substrate surface or material on the substrate surface in a surface reaction (e.g., chemisorption, oxidation, reduction). The substrate, or portion of the substrate, is exposed separately to the two or more reactive compounds which are introduced into a reaction zone of a processing chamber. In a time-domain ALD process, exposure to each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface and then be purged from the processing chamber. These reactive compounds are said to be exposed to the substrate sequentially. In a spatial ALD process, different portions of the substrate surface, or material on the substrate surface, are exposed simultaneously to the two or more reactive compounds so that any given point on the substrate is substantially not exposed to more than one reactive compound simultaneously. As used in this specification and the appended claims, the term “substantially” used in this respect means, as will be understood by those skilled in the art, that there is the possibility that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to diffusion, and that the simultaneous exposure is unintended.
  • In one aspect of a time-domain ALD process, a first reactive gas (i.e., a first precursor or compound A) is pulsed into the reaction zone followed by a first time delay. Next, a second precursor or compound B is pulsed into the reaction zone followed by a second delay. During each time delay, a purge gas, such as argon, is introduced into the processing chamber to purge the reaction zone or otherwise remove any residual reactive compound or reaction by-products from the reaction zone. Alternatively, the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds. The reactive compounds are alternatively pulsed until a desired film or film thickness is formed on the substrate surface. In either scenario, the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle. A cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the predetermined thickness.
  • In an embodiment of a spatial ALD process, a first reactive gas and second reactive gas (e.g., metal precursor gas) are delivered simultaneously to the reaction zone but are separated by an inert gas curtain and/or a vacuum curtain. The substrate is moved relative to the gas delivery apparatus so that any given point on the substrate is exposed to the first reactive gas and the second reactive gas.
  • As used in this specification and the appended claims, the terms “precursor”, “reactant”, “reactive gas” and the like are used interchangeably to refer to any gaseous species that can react with the substrate surface.
  • Some embodiments of the disclosure are directed to processes that use a reaction chamber with multiple gas ports that can be used for introduction of different chemicals or plasma gases. Spatially, these gas ports (also referred to as channels) are separated by inert purging gases and/or vacuum pumping holes to create a gas curtain that minimizes or eliminates mixing of gases from different gas ports to avoid unwanted gas phase reactions. Wafers moving through these different spatially separated ports get sequential and multiple surface exposures to different chemical or plasma environment so that layer by layer film growth in spatial ALD mode or surface etching process occur. In some embodiments, the processing chamber has modular architectures on gas distribution components and each modular component has independent parameter control (e.g., RF or gas flow) to provide flexibility to control, for example, gas flow and/or RF exposure.
  • Some embodiments of the disclosure provide methods for depositing a high purity metal film. The methods of various embodiments use atomic layer deposition (ALD) to provide pure or nearly pure metal films. While exemplary embodiments of this disclosure refer to the deposition of ruthenium, it is conceived that the principles of this disclosure enable the deposition of highly pure metal films regardless of metal.
  • Some embodiments of the disclosure provide methods of selectively depositing metal films on a metal surface over a dielectric surface. Some embodiments of the disclosure provide methods of selectively depositing metal films on a dielectric surface over a metal surface. As used in this specification and the appended claims, the term “selectively depositing a film on one surface over another surface”, and the like, means that a first amount of the film is deposited on the first surface and a second amount of film is deposited on the second surface, where the second amount of film is less than the first amount of film, or no film is deposited on the second surface.
  • The term “over” used in this regard does not imply a physical orientation of one surface on top of another surface, rather a relationship of the thermodynamic or kinetic properties of the chemical reaction with one surface relative to the other surface. For example, selectively depositing a metal film onto a metal surface over a dielectric surface means that the metal film deposits on the metal surface and less or no metal film deposits on the dielectric surface; or that the formation of a metal film on the metal surface is thermodynamically or kinetically favorable relative to the formation of a metal film on the dielectric surface.
  • The selectivity of a deposition process is generally expressed as a multiple of growth rate. For example, if one surface is grown (or deposited on) 25 times faster than a different surface, the process would be described as having a selectivity of 25:1. In this regard, higher ratios indicate more selective processes.
  • Some embodiments of the disclosure advantageously provide methods for depositing metal films with high purity. Accordingly, these highly pure films exhibit similar properties to their associated bulk metallic materials. For example, some embodiments of this disclosure provide ruthenium films which are smoother and have lower resistance than ruthenium films deposited by conventional oxygen or hydrogen reactant processes. Some embodiments of this disclosure advantageously provide metal films which conformally fill gaps without a seam.
  • Some embodiments of the disclosure advantageously provide for the selective deposition of metal films with high purity on metallic surfaces over dielectric surfaces. For example, selectively depositing metal (e.g., ruthenium) on copper over dielectrics advantageously provides copper capping layers without additional etch or lithography steps. Additionally, selective deposition may also enable bottom-up gapfill for features (e.g., trenches, vias) with metal contacts at the bottom and dielectric sidewalls.
  • Some embodiments of the disclosure advantageously provide for the selective deposition of metal films with high purity on dielectric surfaces over metallic surfaces. For example, selectively depositing metals over dielectrics advantageously provides metal layers on barriers or other dielectrics in back end applications.
  • Some embodiments of the disclosure utilize a spatial ALD process which is performed on a processing platform as disclosed herein. Referring to the Figures, FIG. 1 shows a processing platform 100 in accordance with one or more embodiment of the disclosure. The embodiment shown in FIG. 1 is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure. For example, in some embodiments, the processing platform 100 has different numbers of process chambers, buffer chambers and robot configurations.
  • The processing platform 100 includes a central transfer station 110 which has a plurality of sides 111, 112, 113, 114, 115, 116. The central transfer station 110 shown has a first side 111, a second side 112, a third side 113, a fourth side 114, a fifth side 115 and a sixth side 116. Although six sides are shown, those skilled in the art will understand that there can be any suitable number of sides to the central transfer station 110 depending on, for example, the overall configuration of the processing platform 100.
  • The transfer station 110 has a robot 117 positioned therein. The robot 117 can be any suitable robot capable of moving a wafer during processing. In some embodiments, the robot 117 has a first arm 118 and a second arm 119. The first arm 118 and second arm 119 can be moved independently of the other arm. The first arm 118 and second arm 119 can move in the x-y plane and/or along the z-axis. In some embodiments, the robot 117 includes a third arm or a fourth arm (not shown). Each of the arms can move independently of other arms.
  • A first batch processing chamber 120 can be connected to a first side 111 of the central transfer station 110. The first batch processing chamber 120 can be configured to process x wafers at a time for a batch time. In some embodiments, the first batch processing chamber 120 can be configured to process in the range of about four (x=4) to about 12 (x=12) wafers at the same time. In some embodiments, the first batch processing chamber 120 is configured to process six (x=6) wafers at the same time. As will be understood by the skilled artisan, while the first batch processing chamber 120 can process multiple wafers between loading/unloading of an individual wafer, each wafer may be subjected to different process conditions at any given time. For example, a spatial atomic layer deposition chamber, like that shown in FIGS. 2 through 6, expose the wafers to different process conditions in different processing regions so that as a wafer is moved through each of the regions, the process is completed.
  • FIG. 2 shows a cross-section of a processing chamber 200 including a gas distribution assembly 220, also referred to as injectors or an injector assembly, and a susceptor assembly 240. The gas distribution assembly 220 is any type of gas delivery device used in a processing chamber. The gas distribution assembly 220 includes a front surface 221 which faces the susceptor assembly 240. The front surface 221 can have any number or variety of openings to deliver a flow of gases toward the susceptor assembly 240. The gas distribution assembly 220 also includes an outer peripheral edge 224 which in the embodiments shown, is substantially round.
  • The specific type of gas distribution assembly 220 used can vary depending on the particular process being used. Embodiments of the disclosure can be used with any type of processing system where the gap between the susceptor and the gas distribution assembly is controlled. While various types of gas distribution assemblies can be employed (e.g., showerheads), embodiments of the disclosure may be particularly useful with spatial gas distribution assemblies which have a plurality of substantially parallel gas channels. As used in this specification and the appended claims, the term “substantially parallel” means that the elongate axis of the gas channels extend in the same general direction. There can be slight imperfections in the parallelism of the gas channels. In a binary reaction, the plurality of substantially parallel gas channels can include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel and/or at least one vacuum V channel. The gases flowing from the first reactive gas A channel(s), the second reactive gas B channel(s) and the purge gas P channel(s) are directed toward the top surface of the wafer. Some of the gas flow moves horizontally across the surface of the wafer and out of the process region through the purge gas P channel(s). A substrate moving from one end of the gas distribution assembly to the other end will be exposed to each of the process gases in turn, forming a layer on the substrate surface.
  • In some embodiments, the gas distribution assembly 220 is a rigid stationary body made of a single injector unit. In one or more embodiments, the gas distribution assembly 220 is made up of a plurality of individual sectors (e.g., injector units 222), as shown in FIG. 3. Either a single piece body or a multi-sector body can be used with the various embodiments of the disclosure described.
  • A susceptor assembly 240 is positioned beneath the gas distribution assembly 220. The susceptor assembly 240 includes a top surface 241 and at least one recess 242 in the top surface 241. The susceptor assembly 240 also has a bottom surface 243 and an edge 244. The at least one recess 242 can be any suitable shape and size depending on the shape and size of the substrates 60 being processed. In the embodiment shown in FIG. 2, the recess 242 has a flat bottom to support the bottom of the wafer; however, the bottom of the recess can vary. In some embodiments, the recess has step regions around the outer peripheral edge of the recess which are sized to support the outer peripheral edge of the wafer. The amount of the outer peripheral edge of the wafer that is supported by the steps can vary depending on, for example, the thickness of the wafer and the presence of features already present on the back side of the wafer.
  • In some embodiments, as shown in FIG. 2, the recess 242 in the top surface 241 of the susceptor assembly 240 is sized so that a substrate 60 supported in the recess 242 has a top surface 61 substantially coplanar with the top surface 241 of the susceptor 240. As used in this specification and the appended claims, the term “substantially coplanar” means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar within ±0.2 mm. In some embodiments, the top surfaces are coplanar within 0.5 mm, ±0.4 mm, ±0.35 mm, ±0.30 mm, ±0.25 mm, ±0.20 mm, ±0.15 mm, ±0.10 mm or ±0.05 mm.
  • The susceptor assembly 240 of FIG. 2 includes a support post 260 which is capable of lifting, lowering and rotating the susceptor assembly 240. The susceptor assembly may include a heater, or gas lines, or electrical components within the center of the support post 260. The support post 260 may be the primary means of increasing or decreasing the gap between the susceptor assembly 240 and the gas distribution assembly 220, moving the susceptor assembly 240 into proper position. The susceptor assembly 240 may also include fine tuning actuators 262 which can make micro-adjustments to susceptor assembly 240 to create a predetermined gap 270 between the susceptor assembly 240 and the gas distribution assembly 220.
  • In some embodiments, the gap 270 distance is in the range of about 0.1 mm to about 5.0 mm, or in the range of about 0.1 mm to about 3.0 mm, or in the range of about 0.1 mm to about 2.0 mm, or in the range of about 0.2 mm to about 1.8 mm, or in the range of about 0.3 mm to about 1.7 mm, or in the range of about 0.4 mm to about 1.6 mm, or in the range of about 0.5 mm to about 1.5 mm, or in the range of about 0.6 mm to about 1.4 mm, or in the range of about 0.7 mm to about 1.3 mm, or in the range of about 0.8 mm to about 1.2 mm, or in the range of about 0.9 mm to about 1.1 mm, or about 1 mm.
  • The processing chamber 200 shown in the Figures is a carousel-type chamber in which the susceptor assembly 240 can hold a plurality of substrates 60. As shown in FIG. 3, the gas distribution assembly 220 may include a plurality of separate injector units 222, each injector unit 222 being capable of depositing a film on the wafer, as the wafer is moved beneath the injector unit. Two pie-shaped injector units 222 are shown positioned on approximately opposite sides of and above the susceptor assembly 240. This number of injector units 222 is shown for illustrative purposes only. It will be understood that more or less injector units 222 can be included. In some embodiments, there are a sufficient number of pie-shaped injector units 222 to form a shape conforming to the shape of the susceptor assembly 240. In some embodiments, each of the individual pie-shaped injector units 222 may be independently moved, removed and/or replaced without affecting any of the other injector units 222. For example, one segment may be raised to permit a robot to access the region between the susceptor assembly 240 and gas distribution assembly 220 to load/unload substrates 60.
  • Processing chambers having multiple gas injectors can be used to process multiple wafers simultaneously so that the wafers experience the same process flow. For example, as shown in FIG. 4, the processing chamber 200 has four gas injector assemblies and four substrates 60. At the outset of processing, the substrates 60 can be positioned between the gas distribution assemblies 220. Rotating 17 the susceptor assembly 240 by 45° will result in each substrate 60 which is between gas distribution assemblies 220 to be moved to a gas distribution assembly 220 for film deposition, as illustrated by the dotted circle under the gas distribution assemblies 220. An additional 45° rotation would move the substrates 60 away from the gas distribution assemblies 220. The number of substrates 60 and gas distribution assemblies 220 can be the same or different. In some embodiments, there are the same numbers of wafers being processed as there are gas distribution assemblies. In one or more embodiments, the number of wafers being processed are fraction of or an integer multiple of the number of gas distribution assemblies. For example, if there are four gas distribution assemblies, there are 4x wafers being processed, where x is an integer value greater than or equal to one. In an exemplary embodiment, the gas distribution assembly 220 includes eight process regions separated by gas curtains and the susceptor assembly 240 can hold six wafers.
  • The processing chamber 200 shown in FIG. 4 is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure. Here, the processing chamber 200 includes a plurality of gas distribution assemblies 220. In the embodiment shown, there are four gas distribution assemblies 220 (also called injector assemblies) evenly spaced about the processing chamber 200. The processing chamber 200 shown is octagonal; however, those skilled in the art will understand that this is one possible shape and should not be taken as limiting the scope of the disclosure. The gas distribution assemblies 220 shown are trapezoidal, but can be a single circular component or made up of a plurality of pie-shaped segments, like that shown in FIG. 3.
  • The embodiment shown in FIG. 4 includes a load lock chamber 280, or an auxiliary chamber like a buffer station. This chamber 280 is connected to a side of the processing chamber 200 to allow, for example the substrates (also referred to as substrates 60) to be loaded/unloaded from the processing chamber 200. A wafer robot may be positioned in the chamber 280 to move the substrate onto the susceptor.
  • Rotation of the carousel (e.g., the susceptor assembly 240) can be continuous or intermittent (discontinuous). In continuous processing, the wafers are constantly rotating so that they are exposed to each of the injectors in turn. In discontinuous processing, the wafers can be moved to the injector region and stopped, and then to the region 84 between the injectors and stopped. For example, the carousel can rotate so that the wafers move from an inter-injector region across the injector (or stop adjacent the injector) and on to the next inter-injector region where the carousel can pause again. Pausing between the injectors may provide time for additional processing routines between each layer deposition (e.g., exposure to plasma).
  • FIG. 5 shows a sector or portion of a gas distribution assembly 220, which may be referred to as an injector unit. The injector units 222 can be used individually or in combination with other injector units. For example, as shown in FIG. 6, four of the injector units 222 of FIG. 5 are combined to form a single gas distribution assembly 220. (The lines separating the four injector units are not shown for clarity.) While the injector unit 222 of FIG. 5 has both a first reactive gas port 225 and a second gas port 235 in addition to purge gas ports 255 and vacuum ports 245, an injector unit 222 does not need all of these components.
  • Referring to both FIGS. 5 and 6, a gas distribution assembly 220 in accordance with one or more embodiment may comprise a plurality of sectors (or injector units 222) with each sector being identical or different. The gas distribution assembly 220 is positioned within the processing chamber and comprises a plurality of elongate gas ports 225, 235, 245 in a front surface 221 of the gas distribution assembly 220. The plurality of elongate gas ports 225, 235, 245, 255 extend from an area adjacent the inner peripheral edge 223 toward an area adjacent the outer peripheral edge 224 of the gas distribution assembly 220. The plurality of gas ports shown include a first reactive gas port 225, a second gas port 235, a vacuum port 245 which surrounds each of the first reactive gas ports and the second reactive gas ports and a purge gas port 255.
  • With reference to the embodiments shown in FIG. 5 or 6, when stating that the ports extend from at least about an inner peripheral region to at least about an outer peripheral region, however, the ports can extend more than just radially from inner to outer regions. The ports can extend tangentially as vacuum port 245 surrounds reactive gas port 225 and reactive gas port 235. In the embodiment shown in FIGS. 5 and 6, the wedge shaped reactive gas ports 225, 235 are surrounded on all edges, including adjacent the inner peripheral region and outer peripheral region, by a vacuum port 245.
  • Referring to FIG. 5, as a substrate moves along path 227, each portion of the substrate surface is exposed to the various reactive gases. To follow the path 227, the substrate will be exposed to, or “see”, a purge gas port 255, a vacuum port 245, a first reactive gas port 225, a vacuum port 245, a purge gas port 255, a vacuum port 245, a second gas port 235 and a vacuum port 245. Thus, at the end of the path 227 shown in FIG. 5, the substrate has been exposed to the first reactive gas and the second reactive gas to form a layer. The injector unit 222 shown makes a quarter circle but could be larger or smaller. The gas distribution assembly 220 shown in FIG. 6 can be considered a combination of four of the injector units 222 of FIG. 3 connected in series.
  • The injector unit 222 of FIG. 5 shows a gas curtain 250 that separates the reactive gases. The term “gas curtain” is used to describe any combination of gas flows or vacuum that separate reactive gases from mixing. The gas curtain 250 shown in FIG. 5 comprises the portion of the vacuum port 245 next to the first reactive gas port 225, the purge gas port 255 in the middle and a portion of the vacuum port 245 next to the second gas port 235. This combination of gas flow and vacuum can be used to prevent or minimize gas phase reactions of the first reactive gas and the second reactive gas.
  • Referring to FIG. 6, the combination of gas flows and vacuum from the gas distribution assembly 220 form a separation into a plurality of process regions 350. The process regions are roughly defined around the individual gas ports 225, 235 with the gas curtain 250 between 350. The embodiment shown in FIG. 6 makes up eight separate process regions 350 with eight separate gas curtains 250 between. A processing chamber can have at least two process regions. In some embodiments, there are at least three, four, five, six, seven, eight, nine, 10, 11 or 12 process regions.
  • During processing a substrate may be exposed to more than one process region 350 at any given time. However, the portions that are exposed to the different process regions will have a gas curtain separating the two. For example, if the leading edge of a substrate enters a process region including the second gas port 235, a middle portion of the substrate will be under a gas curtain 250 and the trailing edge of the substrate will be in a process region including the first reactive gas port 225.
  • A factory interface (as shown in FIG. 4), which can be, for example, a load lock chamber 280, is shown connected to the processing chamber 200. A substrate 60 is shown superimposed over the gas distribution assembly 220 to provide a frame of reference. The substrate 60 may often sit on a susceptor assembly to be held near the front surface 221 of the gas distribution assembly 220. The substrate 60 is loaded via the factory interface into the processing chamber 200 onto a substrate support or susceptor assembly (see FIG. 4). The substrate 60 can be shown positioned within a process region because the substrate is located adjacent the first reactive gas port 225 and between two gas curtains 250 a, 250 b. Rotating the substrate 60 along path 227 will move the substrate counter-clockwise around the processing chamber 200. Thus, the substrate 60 will be exposed to the first process region 350 a through the eighth process region 350 h, including all process regions between.
  • Some embodiments of the disclosure are directed to a processing chamber 200 with a plurality of process regions 350 a-350 h with each process region separated from an adjacent region by a gas curtain 250. For example, the processing chamber shown in FIG. 6. The number of gas curtains and process regions within the processing chamber can be any suitable number depending on the arrangement of gas flows. The embodiment shown in FIG. 6 has eight gas curtains 250 and eight process regions 350 a-350 h.
  • Referring back to FIG. 1, the processing platform 100 includes a treatment chamber 140 connected to a second side 112 of the central transfer station 110. The treatment chamber 140 of some embodiments is configured to expose the wafers to a process to treat the wafers before and/or after processing in first batch processing chamber 120. The treatment chamber 140 of some embodiments comprises an annealing chamber. The annealing chamber can be a furnace annealing chamber or a rapid thermal annealing chamber, or a different chamber configured to hold a wafer at a predetermined temperature and pressure and provide a flow of gas to the chamber.
  • In some embodiments, the processing platform further comprises a second batch processing chamber 130 connected to a third side 113 of the central transfer station 110. The second batch processing chamber 130 can be configured similarly to the first batch processing chamber 120, or can be configured to perform a different process or to process different numbers of substrates.
  • The second batch processing chamber 130 can be the same as the first batch processing chamber 120 or different. In some embodiments, the first batch processing chamber 120 and the second batch processing chamber 130 are configured to perform the same process with the same number of wafers in the same batch time so that x (the number of wafers in the first batch processing chamber 120) and y (the number of wafers in the second batch processing chamber 130) are the same and the first batch time and second batch time (of the second batch processing chamber 130) are the same. In some embodiments, the first batch processing chamber 120 and the second batch processing chamber 130 are configured to have one or more of different numbers of wafers (x not equal to y), different batch times, or both.
  • In the embodiment shown in FIG. 1, the processing platform 100 includes a second treatment chamber 150 connected to a fourth side 114 of the central transfer station 110. The second treatment chamber 150 can be the same as the treatment chamber 140 or different.
  • The processing platform 100 can include a controller 195 connected to the robot 117 (the connection is not shown). The controller 195 can be configured to move wafers between the treatment chamber 140 and the first batch processing chamber 120 with a first arm 118 of the robot 117. In some embodiments, the controller 195 is also configured to move wafers between the second treatment chamber 150 and the second batch processing chamber 130 with a second arm 119 of the robot 117.
  • In some embodiments, the controller 195 is connected to the susceptor assembly 240 and the gas distribution assembly 220 of a processing chamber 200. The controller 195 can be configured to rotate 17 the susceptor assembly 240 about a central axis. The controller can also be configured to control the gas flows in the gas ports 225, 235, 245, 255. In some embodiments, the first reactive gas port 225 provides a flow of a metal precursor. In some embodiments, the second reactive gas port 235 provides a flow of a reactant. In some embodiments, other gas ports (not labelled) may provide a flow of a plasma. The first reactive gas port 225, the second reactive gas port 235 and the other reactive gas ports (not labelled) may be arranged in any processing order.
  • The processing platform 100 can also include a first buffer station 151 connected to a fifth side 115 of the central transfer station 110 and/or a second buffer station 152 connected to a sixth side 116 of the central transfer station 110. The first buffer station 151 and second buffer station 152 can perform the same or different functions. For example, the buffer stations may hold a cassette of wafers which are processed and returned to the original cassette, or the first buffer station 151 may hold unprocessed wafers which are moved to the second buffer station 152 after processing. In some embodiments, one or more of the buffer stations are configured to pre-treat, pre-heat or clean the wafers before and/or after processing.
  • In some embodiments, the controller 195 is configured to move wafers between the first buffer station 151 and one or more of the treatment chamber 140 and the first batch processing chamber 120 using the first arm 118 of the robot 117. In some embodiments, the controller 195 is configured to move wafers between the second buffer station 152 and one or more of the second treatment chamber 150 or the second batch processing chamber 130 using the second arm 119 of the robot 117.
  • The processing platform 100 may also include one or more slit valves 160 between the central transfer station 110 and any of the processing chambers. In the embodiment shown, there is a slit valve 160 between each of the processing chambers 120, 130, 140, 150 and the central transfer station 110. The slit valves 160 can open and close to isolate the environment within the processing chamber from the environment within the central transfer station 110. For example, if the processing chamber will generate plasma during processing, it may be helpful to close the slit valve for that processing chamber to prevent stray plasma from damaging the robot in the transfer station.
  • In some embodiments, the processing chambers are not readily removable from the central transfer station 110. To allow maintenance to be performed on any of the processing chambers, each of the processing chambers may further include a plurality of access doors 170 on sides of the processing chambers. The access doors 170 allow manual access to the processing chamber without removing the processing chamber from the central transfer station 110. In the embodiment shown, each side of each of the processing chamber, except the side connected to the transfer station, have an access door 170. The inclusion of so many access doors 170 can complicate the construction of the processing chambers employed because the hardware within the chambers would need to be configured to be accessible through the doors.
  • The processing platform of some embodiments includes a water box 180 connected to the central transfer station 110. The water box 180 can be configured to provide a coolant to any or all of the processing chambers. Although referred to as a “water” box, those skilled in the art will understand that any coolant can be used.
  • In some embodiments, the size of the processing platform 100 allows for the connection to house power through a single power connector 190. The single power connector 190 attaches to the processing platform 100 to provide power to each of the processing chambers and the central transfer station 110.
  • The processing platform 100 can be connected to a factory interface 102 to allow wafers or cassettes of wafers to be loaded into the processing platform 100. A robot 103 within the factory interface 102 can be moved the wafers or cassettes into and out of the buffer stations 151, 152. The wafers or cassettes can be moved within the processing platform 100 by the robot 117 in the central transfer station 110. In some embodiments, the factory interface 102 is a transfer station of another cluster tool.
  • In some embodiments, the processing platform 100 or first batch processing chamber 120 is connected to a controller. The controller can be the same controller 195 or a different controller. The controller can be coupled to the susceptor assembly and the gas distribution assembly of the first batch processing chamber 120 and has one or more configurations. The configurations can include, but are not limited to, a first configuration to rotate the susceptor assembly about the central axis, a second configuration to provide a flow of a metal precursor to a process region, a third configuration to provide a flow of a reactant to a process region, a fourth configuration to provide a plasma in a process region.
  • FIG. 7 depicts a generalized method for forming a metal film on a substrate in accordance with one or more embodiment of the disclosure. The method 700 generally begins at 702, where a substrate upon which a metal film is to be formed is provided and placed into a processing chamber. As used herein, a “substrate surface” refers to any substrate surface upon which a layer may be formed. The substrate surface may have one or more features formed therein, one or more layers formed thereon, and combinations thereof. The substrate (or substrate surface) may be pretreated prior to the deposition of the metal film, for example, by polishing, etching, reduction, oxidation, halogenation, hydroxylation, annealing, baking, or the like.
  • The substrate may be any substrate capable of having material deposited thereon, such as a silicon substrate, a III-V compound substrate, a silicon germanium (SiGe) substrate, an epi-substrate, a silicon-on-insulator (SOI) substrate, a display substrate such as a liquid crystal display (LCD), a plasma display, an electro luminescence (EL) lamp display, a solar array, solar panel, a light emitting diode (LED) substrate, a semiconductor wafer, or the like. In some embodiments, one or more additional layers may be disposed on the substrate such that the metal film may be, at least partially, formed thereon. For example, in some embodiments, a layer comprising a metal, a nitride, an oxide, or the like, or combinations thereof may be disposed on the substrate and may have the metal film formed upon such layer or layers.
  • At 703, the substrate is optionally exposed to a blocking compound. This process step is described more fully below and may be useful for controlling the selectivity of the deposition process on a substrate comprising both a metal surface and a dielectric surface.
  • At 704, a metal film is formed on the substrate. The metal film may be formed via a cyclical deposition process, such as atomic layer deposition (ALD), or the like. In some embodiments, the forming of a metal film via a cyclical deposition process may generally comprise exposing the substrate to two or more process gases separately. In time-domain ALD embodiments, exposure to each of the process gases are separated by a time delay/pause to allow the components of the process gases to adhere and/or react on the substrate surface. Alternatively, or in combination, in some embodiments, a purge may be performed before and/or after the exposure of the substrate to the process gases, wherein an inert gas is used to perform the purge. For example, a first process gas may be provided to the process chamber followed by a purge with an inert gas. Next, a second process gas may be provided to the process chamber followed by a purge with an inert gas. In some embodiments, the inert gas may be continuously provided to the process chamber and the first process gas may be dosed or pulsed into the process chamber followed by a dose or pulse of the second process gas into the process chamber. In such embodiments, a delay or pause may occur between the dose of the first process gas and the second process gas, allowing the continuous flow of inert gas to purge the process chamber between doses of the process gases.
  • In spatial ALD embodiments, exposure to each of the process gases occurs simultaneously to different parts of the substrate so that one part of the substrate is exposed to the first reactive gas while a different part of the substrate is exposed to the second reactive gas (if only two reactive gases are used). The substrate is moved relative to the gas delivery system so that each point on the substrate is sequentially exposed to both the first and second reactive gases. In any embodiment of a time-domain ALD or spatial ALD process, the sequence may be repeated until a predetermined layer thickness is formed on the substrate surface.
  • A “pulse” or “dose” as used herein is intended to refer to a quantity of a source gas that is intermittently or non-continuously introduced into the process chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. A particular process gas may include a single compound or a mixture/combination of two or more compounds, for example, the process gases described below.
  • The durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a process gas may vary according to the flow rate of the process gas, the temperature of the process gas, the type of control valve, the type of process chamber employed, as well as the ability of the components of the process gas to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed. A dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto substantially the entire surface of the substrate and form a layer of a process gas component thereon.
  • The process of forming the metal film at 704 may begin by exposing the substrate to a first reactive gas. The first reactive gas comprises an alkyl halide and is exposed to the substrate for a first period of time, as shown at 706.
  • The alkyl halide may be any suitable reactant to adsorb a layer of halogen on the substrate for later reaction. In some embodiments, the alkyl halide comprises carbon and halogen. In some embodiments, the halogen comprises bromine or iodine. In some embodiments, the halogen is insoluble in the metal film. As used in this regard, a halogen which is insoluble in a metal film comprises less than or equal to about 2%, less than or equal to about 1%, or less than or equal to about 0.5% of the metal film on an atomic basis. In some embodiments, the alkyl halide has the general formula R-X, where R is an alkyl, alkenyl, aryl, or other carbonaceous group. In some embodiments, R comprises one to two, one to four, or one to six carbon atoms. In some embodiments, the alkyl halide comprises or consists essentially of iodoethane (H5C2I) or diiodomethane (CH2I2). As used in this regard, an alkyl halide which consists essentially of a stated species comprises greater than 95%, 98%, 99% or 99.5% of the stated species on a molar basis, excluding any inert diluent gases.
  • The alkyl halide is delivered to the processing chamber as an alkyl halide containing gas. The alkyl halide containing gas may be provided in one or more pulses or continuously. The flow rate of the alkyl halide containing gas can be any suitable flow rate including, but not limited to, flow rates is in the range of about 1 to about 5000 sccm, or in the range of about 2 to about 4000 sccm, or in the range of about 3 to about 3000 sccm or in the range of about 5 to about 2000 sccm. The alkyl halide containing gas can be provided at any suitable pressure including, but not limited to, a pressure in the range of about 5 mTorr to about 25 Torr, or in the range of about 100 mTorr to about 20 Torr, or in the range of about 5 Torr to about 20 Torr, or in the range of about 50 mTorr to about 2000 mTorr, or in the range of about 100 mTorr to about 1000 mTorr, or in the range of about 200 mTorr to about 500 mTorr.
  • The period of time that the substrate is exposed to the alkyl halide containing gas may be any suitable amount of time necessary to allow the alkyl halide to form an adequate adsorption layer atop the substrate surface(s). For example, the process gas may be flowed into the process chamber for a period of about 0.1 seconds to about 90 seconds. In some time-domain ALD processes, the alkyl halide containing gas is exposed the substrate surface for a time in the range of about 0.1 sec to about 90 sec, or in the range of about 0.5 sec to about 60 sec, or in the range of about 1 sec to about 30 sec, or in the range of about 2 sec to about 25 sec, or in the range of about 3 sec to about 20 sec, or in the range of about 4 sec to about 15 sec, or in the range of about 5 sec to about 10 sec.
  • In some embodiments, an inert gas may additionally be provided to the process chamber at the same time as the alkyl halide containing gas. The inert gas may be mixed with the alkyl halide containing gas (e.g., as a diluent gas) or be provided separately and can be pulsed or of a constant flow. In some embodiments, the inert gas is flowed into the processing chamber at a constant flow in the range of about 1 to about 10000 sccm. The inert gas may be any inert gas, for example, such as argon, helium, neon, or combinations thereof.
  • The temperature of the substrate during deposition can be controlled, for example, by setting the temperature of the substrate support or susceptor. In some embodiments the substrate is held at a temperature in the range of about 0° C. to about 600° C., or in the range of about 25° C. to about 500° C., or in the range of about 50° C. to about 450° C., or in the range of about 100° C. to about 400° C., or in the range of about 200° C. to about 400° C., or in the range of about 250° C. to about 350° C. In some embodiments, the substrate is maintained at a temperature below the decomposition temperature of the metal precursor. In some embodiments, the substrate is maintained at a temperature below the decomposition temperature of the alkyl halide. In some embodiments, the substrate is maintained at a temperature between the decomposition temperature of the alkyl halide and the decomposition temperature of the metal precursor.
  • In one or more embodiments, the substrate is maintained at a temperature less than or equal to about 400° C., or less than or equal to about 350° C., or less than about 300° C. In one or more embodiments, the substrate is maintained at a temperature greater than or equal to about 250° C., or greater than or equal to about 300° C., or greater than about 350° C. In some embodiments, the substrate is maintained at a temperature of about 280° C.
  • In addition to the foregoing, additional process parameters may be regulated while exposing the substrate to the alkyl halide containing gas. For example, in some embodiments, the process chamber may be maintained at a pressure of about 0.2 to about 100 Torr, or in the range of about 0.3 to about 90 Torr, or in the range of about 0.5 to about 80 Torr, or in the range of about 1 to about 50 Torr.
  • Next, at 708, the process chamber (especially in time-domain ALD) may be purged using an inert gas. (This may not be needed in spatial ALD processes as there are gas curtains separating the reactive gases.) The inert gas may be any inert gas, for example, such as argon, helium, neon, or the like. In some embodiments, the inert gas may be the same, or alternatively, may be different from the inert gas provided to the process chamber during the exposure of the substrate to the alkyl halide containing gas at 706. In embodiments where the inert gas is the same, the purge may be performed by diverting the first process gas from the process chamber, allowing the inert gas to flow through the process chamber, purging the process chamber of any excess first process gas components or reaction byproducts. In some embodiments, the inert gas may be provided at the same flow rate used in conjunction with the first process gas, described above, or in some embodiments, the flow rate may be increased or decreased. For example, in some embodiments, the inert gas may be provided to the process chamber at a flow rate of about 0 to about 10000 sccm to purge the process chamber. In spatial ALD, purge gas curtains are maintained between the flows of reactive gases and purging the process chamber may not be necessary. In some embodiments of a spatial ALD process, the process chamber or region of the process chamber may be purged with an inert gas.
  • The flow of inert gas may facilitate removing any excess first process gas components and/or excess reaction byproducts from the process chamber to prevent unwanted gas phase reactions of the first and second process gases.
  • Next, at 710, the substrate is exposed to a second process gas for a second period of time. The second process gas comprises a metal precursor which reacts with the adsorbed layer of halogen on the substrate surface to deposit a metal film. The second reactive gas may also be referred to as the metal precursor gas.
  • The metal precursor may be any suitable precursor to react with the adsorbed halogen layer on the substrate. In some embodiments, the metal precursor comprises a metal center and one or more ligands. In some embodiments, the metal center comprises one or more metal atoms. Stated differently, in some embodiments, the metal precursor is one or more of a dimer, trimer or tetramer.
  • The metal precursor can be any suitable precursor with a decomposition temperature above the deposition temperature. In some embodiments, the metal precursor comprises substantially no oxygen or nitrogen atoms. Accordingly, in these embodiments, the metal precursor comprises no carbonyl, oxo, amine, or imine ligands. Within these parameters, the number of ligands and types of ligands on the metal precursor can vary, based on, for example, the oxidation state of the metal atom. The metal precursor can be homoleptic or heteroleptic. In some embodiments, the metal precursor comprises at least one ligand comprising an optionally alkyl substituted cyclopentadiene (Cp) ring. In some embodiments, the metal precursor comprises at least one ligand comprising an optionally alkyl substituted benzene ring. In some embodiments, the metal precursor comprises at least one p-cymene ligand. In some embodiments, the metal precursor comprises at least one ligand comprising an open or closed diene. In some embodiments, the metal precursor comprises at least one 1,3-butadiene ligand. In some embodiments, the metal precursor comprises at least one 1,5-hexadiene ligand. In some embodiments, the metal precursor comprises at least one aromatic ligand. In some embodiments, the at least one aromatic ligand comprises a benzene ring. In some embodiments, the benzene ring comprises at least one organic substituent comprising in the range of 1 to 6 carbon atoms. In some embodiments, the aromatic ligand comprises at least one ethylbenzene ligand. In some embodiments, the metal precursor comprises or consists essentially of bis(ethylbenzene)molybdenum. In some embodiments, the metal precursor comprises or consists essentially of p-cymene ruthenium 1,5-hexadiene.
  • The metal of the metal precursor corresponds to the metal of the deposited metal film. In some embodiments, the metal is selected from molybdenum, ruthenium, cobalt, copper, platinum, nickel or tungsten. In some embodiments, the metal of the metal precursor has an oxidation state of 0. Stated differently, in some embodiments, the metal precursor comprises a zero-valent metal complex.
  • Additional process parameters may be regulated while exposing the substrate to the metal precursor gas. For example, in some embodiments, the process chamber may be maintained at a pressure of about 0.2 to about 100 Torr, or in the range of about 0.3 to about 90 Torr, or in the range of about 0.5 to about 80 Torr, or in the range of about 1 to about 50 Torr.
  • The metal precursor is delivered to the processing chamber as a metal precursor gas. The metal precursor gas may be provided in one or more pulses or continuously. The flow rate of the metal precursor gas can be any suitable flow rate including, but not limited to, flow rates is in the range of about 1 to about 5000 sccm, or in the range of about 2 to about 4000 sccm, or in the range of about 3 to about 3000 sccm or in the range of about 5 to about 2000 sccm. The metal precursor gas can be provided at any suitable pressure including, but not limited to, a pressure in the range of about 5 mTorr to about 25 Torr, or in the range of about 100 mTorr to about 20 Torr, or in the range of about 5 Torr to about 20 Torr, or in the range of about 50 mTorr to about 2000 mTorr, or in the range of about 100 mTorr to about 1000 mTorr, or in the range of about 200 mTorr to about 500 mTorr.
  • The period of time that the substrate is exposed to the metal precursor gas may be any suitable amount of time necessary to allow the metal precursor to react with the adsorbed halogen on the substrate surface. For example, the process gas may be flowed into the process chamber for a period of about 0.1 seconds to about 90 seconds. In some time-domain ALD processes, the metal precursor gas is exposed the substrate surface for a time in the range of about 0.1 sec to about 90 sec, or in the range of about 0.5 sec to about 60 sec, or in the range of about 1 sec to about 30 sec, or in the range of about 2 sec to about 25 sec, or in the range of about 3 sec to about 20 sec, or in the range of about 4 sec to about 15 sec, or in the range of about 5 sec to about 10 sec.
  • In some embodiments, an inert gas may additionally be provided to the process chamber at the same time as the metal precursor gas. The inert gas may be mixed with the metal precursor gas (e.g., as a diluent gas) or be provided separately and can be pulsed or of a constant flow. In some embodiments, the inert gas is flowed into the processing chamber at a constant flow in the range of about 1 to about 10000 sccm. The inert gas may be any inert gas, for example, such as argon, helium, neon, or combinations thereof.
  • Next, at 712, the process chamber may be purged using an inert gas. The inert gas may be any inert gas, for example, such as argon, helium, neon, or the like. In some embodiments, the inert gas may be the same, or alternatively, may be different from the inert gas provided to the process chamber during previous process routines. In embodiments where the inert gas is the same, the purge may be performed by diverting the second process gas from the process chamber, allowing the inert gas to flow through the process chamber, purging the process chamber of any excess second process gas components or reaction byproducts. In some embodiments, the inert gas may be provided at the same flow rate used in conjunction with the second process gas, described above, or in some embodiments, the flow rate may be increased or decreased. For example, in some embodiments, the inert gas may be provided to the process chamber at a flow rate of greater than 0 to about 10,000 sccm to purge the process chamber.
  • While the generic embodiment of the processing method shown in FIG. 7 includes only two pulses of reactive gases, it will be understood that this is merely exemplary and that additional pulses of reactive gases may be used. In some embodiments, the method is performed without the use of an oxygen-containing reactive gas. The sub processes of 704 comprise a cycle. A cycle may be performed in any order as long as the reactive gases are separated by a purge of the processing chamber. In some embodiments, the metal film is deposited at rate greater than or equal to about 0.2 Å/cycle, greater than or equal to about 0.3 Å/cycle, greater than or equal to about 0.4 Å/cycle, greater than or equal to about 0.5 Å/cycle, greater than or equal to about 0.6 Å/cycle, greater than or equal to about 0.7 Å/cycle, greater than or equal to about 0.8 Å/cycle, greater than or equal to about 0.9 Å/cycle, greater than or equal to about 1.0 Å/cycle, or greater than or equal to about 1.2 Å/cycle.
  • The deposition process is performed as a thermal process without the use of plasma reactants. Stated differently, in some embodiments, the method is performed without plasma.
  • Next, at 714, it is determined whether the metal film has achieved a predetermined thickness. If the predetermined thickness has not been achieved, the method 700 returns to 704 to continue forming the metal film until the predetermined thickness is reached. Once the predetermined thickness has been reached, the method 700 can either end or proceed to 716 for optional further processing (e.g., bulk deposition of another metal film). In some embodiments, the metal film may be deposited to form a total layer thickness of about 10 Å to about 10,000 Å, or in some embodiments, about 10 Å to about 1000 Å, or in some embodiments, about 50 Å to about 5,000 Å.
  • In some embodiments, the metal layer comprises greater than or equal to about 75 atomic % molybdenum, or greater than or equal to about 80 atomic % molybdenum, or greater than or equal to about 85 atomic % molybdenum, or greater than or equal to about 90 atomic % molybdenum, or greater than or equal to about 95 atomic % molybdenum.
  • In some embodiments, the metal layer comprises less than or equal to about 10 atomic % oxygen, or less than or equal to about 9 atomic % oxygen, or less than or equal to about 8 atomic % oxygen, or less than or equal to about 7 atomic % oxygen, or less than or equal to about 6 atomic % oxygen, or less than or equal to about 5 atomic % oxygen, or less than or equal to about 4 atomic % oxygen, or less than or equal to about 3 atomic % oxygen.
  • In some embodiments, the metal layer comprises in the range of about 0.02 to about 5 atomic % iodine, or less than or equal to about 1 atomic % iodine.
  • In some embodiments, the metal layer comprises less than or equal to about 20 atomic % carbon, or less than or equal to about 15 atomic % carbon, or less than or equal to about 10 atomic % carbon, or less than or equal to about 5 atomic % carbon.
  • In some embodiments, the metal layer comprises greater than or equal to about 90 atomic % molybdenum, less than or equal to about 3 atomic % oxygen, less than or equal to about 1 atomic % iodine and less than or equal to about 10 atomic % carbon.
  • In some embodiments, the metal layer has a resistivity of less than or equal to about 40 μohm-cm, or less than or equal to about 35 μohm-cm, or less than or equal to about 30 μohm-cm, or less than or equal to about 25 μohm-cm, or less than or equal to about 20 μohm-cm. In some embodiments, the metal layer comprises molybdenum and has a resistivity of less than or equal to about 40 μohm-cm, or less than or equal to about 35 μohm-cm, or less than or equal to about 30 μohm-cm, or less than or equal to about 25 μohm-cm, or less than or equal to about 20 μohm-cm.
  • In some embodiments, the metal film is further processed by annealing the metal film. Without being bound by theory, it is believed that annealing the film at a high temperature under an Ar or H2 atmosphere reduces carbon and halogen impurities in the metal film. In some embodiments, the metal film is annealed under an atmosphere comprising argon or hydrogen gas (H2) to reduce the atomic concentration of carbon and/or halogen impurities.
  • The metal film deposited by some embodiments is smoother than the films deposited by known oxygen-based deposition processes. In some embodiments, the metal film has a surface roughness of less than or equal to about 10%, less than or equal to about 8%, less than or equal to about 5%, or less than or equal to about 2%, of a thickness of the metal film.
  • The purity of the metal film is high. In some embodiments, the metal film has a carbon content less than or equal to about 2%, less than or equal to about 1%, or less than or equal to about 0.5% carbon on an atomic basis. In some embodiments, the metal film has a halogen content less than or equal to about 1% or less than or equal to about 0.5% halogen on an atomic basis. In some embodiments, the metal film has a purity of greater than or equal to about 95%, greater than or equal to about 97%, greater than or equal to about 99%, greater than or equal to about 99.5%, or greater than or equal to about 99.9% metal atoms on an atomic basis.
  • Some embodiments of the disclosure selectively deposit a first metal film on a second metal surface over a first dielectric surface. These methods are similar to method 700 as described above, except that the substrate provided comprises a first dielectric surface and a second metal surface. The first metal (of the metal film) and the second metal (of the substrate surface) may be the same metal or may be different metals. In some embodiments, the first metal is molybdenum, ruthenium, cobalt, copper, platinum, nickel or tungsten while the second metal is tungsten, cobalt or copper.
  • The first dielectric surface may be formed from any suitable dielectric material. In some embodiments, the dielectric material comprises nitrogen or oxygen atoms. Without being bound by theory, it is believed that these materials react with the alkyl halide and prevent the halogen from adsorbing onto the substrate surface so as to catalyze the reaction with the metal precursor. Accordingly, little, if any, metal film is formed on the dielectric surface.
  • In some embodiments, the deposition temperature is below the decomposition temperature of the alkyl halide. Again, without being bound by theory, it is believed that if the alkyl halide decomposes, the halogen will be available for reaction with the metal precursor on all surfaces (regardless of composition), leading to metal film deposition on all substrate surfaces, including the dielectric surface. In some embodiments, the deposition temperature is at or above the decomposition temperature of the alkyl halide.
  • Some embodiments of the disclosure selectively deposit a first metal film on a first dielectric surface over a second metal surface. These methods are similar to method 700 as described above, except that the substrate provided comprises a first dielectric surface and a second metal surface and the substrate is exposed to a blocking compound at 703.
  • At 703, a substrate comprising at least a second metal surface and a first dielectric surface is exposed to a blocking compound. The blocking compound may be any suitable compound for blocking deposition on the second metal surface. In some embodiments, the blocking compound comprises at least one triple bond between two carbon atoms. Stated differently, in some embodiments, the blocking compound comprises an alkyne. In some embodiments, the blocking compound has the general formula of R′≡R″. In some embodiments, R′ and R″ are identical. In some embodiments, R′ and/or R″ are an alkyl or other carbonaceous group. In some embodiments, the blocking compound comprises 4-12 carbon atoms. In some embodiments, R′ and/or R″ are linear. In some embodiments, R′ and/or R″ are branched. In some embodiments, the blocking compound comprises 3-hexyne.
  • The first metal (of the metal film) and the second metal (of the substrate surface) may be the same metal or may be different metals. In some embodiments, the first metal is molybdenum, ruthenium, cobalt, copper, platinum, nickel or tungsten while the second metal is tungsten, cobalt or copper.
  • The first dielectric surface may be formed from any suitable dielectric material. In some embodiments, the dielectric material comprises nitrogen or oxygen atoms.
  • As mentioned previously, in some embodiments, the deposition temperature is at or above the decomposition temperature of the alkyl halide. In some embodiments, the deposition temperature is greater than or equal to about 250° C., greater than or equal to about 260° C., greater than or equal to about 270° C., greater than or equal to about 280° C., greater than or equal to about 290° C., or greater than or equal to about 300° C. In some embodiments, the deposition temperature is in the range of about 250° C. to about 450° C., or in the range of about 300° C. to about 400° C. In some embodiments, the deposition temperature is about 350° C.
  • As stated previously, without being bound by theory, it is believed that these materials react with the alkyl halide and prevent the halogen from adsorbing onto the substrate surface so as to catalyze the reaction with the metal precursor. Accordingly, little, if any, metal film is formed on the dielectric surface.
  • However, when the deposition temperature is above the decomposition temperature of the alkyl halide, the halogen atoms are deposited on the entire substrate surface, thereby allowing deposition on the dielectric surface. In some embodiments, the metal surface is blocked by the blocking compound, so as to allow little, if any, metal film to be formed on the metal surface. Accordingly, deposition of the metal film is selective to the dielectric surface over the metal surface,
  • In general terms, the deposition of highly pure metal films can be understood as follows. A substrate, maintained at a deposition temperature, is exposed to an alkyl halide (R-X) to adsorb R and X on the substrate, where R is a carbonaceous group and X is a halogen. R is desorbed in the form of R-R or R, leaving X adsorbed on the substrate. The substrate is exposed to a metal precursor, M-L, where M is the metal and L is a ligand. M-L reacts with the adsorbed X to form M-X on the substrate surface, liberating L. M-X reacts with other M-X moieties on the substrate to form M-M. This reaction may produce either X-X or X. X-X may be desorbed and purged. X may remain on the surface to further react with M-L.
  • According to the inventors, this general mechanism relies on several premises. First, X is not soluble in M. Without being bound by theory, the insolubility of X confers that X will not be found in appreciable quantity within the final metal film. While it is possible to ignore this premise (e.g., utilize a halogen soluble in M), using a halogen (X) which is soluble in M is believed to provide metal films with lower purity. Second, in terms of bond strength, M-L is weaker than M-X which is weaker than M-M. Again, without being bound by theory, these thermodynamic relationships ensure that the reactions identified above are thermodynamically favorable. Finally, M-L is thermally stable at the deposition temperature. Stated differently, the thermal decomposition temperature of the metal precursor is higher than the deposition temperature. The theory here states that if the metal precursor decomposes, the deposited film will contain an appreciable quantity of the precursor ligand L, typically seen as carbon impurities.
  • The inventors have surprisingly found that processes including metal precursors, alkyl halides and process conditions which meet all of the above requirements deposit highly pure metal films.
  • Additionally, the inventors have surprisingly found that if the deposition temperature is below the thermal decomposition temperature of the alkyl halide, the deposition process is selective to metal surfaces over dielectric surfaces without requiring the use of a blocking layer.
  • Further, the inventors have surprisingly found that if the deposition temperature is at or above the thermal decomposition temperature of the alkyl halide, the deposition process can be made selective by exposing the metal surface to a small alkyne blocking compound.
  • Some embodiments of the disclosure advantageously provide methods of depositing conformal metal films on substrates comprising high aspect ratio structures. As used in this regard, the term “conformal” means that the thickness of the metal film is uniform across the substrate surface. As used in this specification and the appended claims, the term “substantially conformal” means that the thickness of the metal film does not vary by more than about 10%, 5%, 2%, 1%, or 0.5% relative to the average thickness of the film. Stated differently a film which is substantially conformal has a conformality of greater than about 90%, 95%, 98%, 99% or 99.5%.
  • One or more embodiments of the disclosure are directed to memory devices comprising a molybdenum conductive layer. In some embodiments, the molybdenum conductive layer comprises greater than or equal to about 90 at. % molybdenum, less than or equal to about 3 at. % oxygen, less than or equal to about 1 at. % iodine and less than or equal to about 10 at. % carbon, and a resistivity less than or equal to about 40 μohm-cm.
  • In some embodiments, the molybdenum conductive layer is formed on a barrier layer. The barrier layer of some embodiments has a thickness less than or equal to about 10 Å, 20 Å, 30 Å, 40 Å or 50 Å. In some embodiments, the molybdenum conductive layer is formed on a substrate without an intervening barrier layer.
  • The above disclosure relates to the deposition of metal films by a sequential pulse of reactants. The following disclosure relates to the deposition of metal films by a simultaneous or constant-flow process. In some embodiments, the sequential pulse methods are ALD methods. In some embodiments, the simultaneous or constant-flow methods are CVD methods. While the process steps differ, many of the reactants and process parameters are similar.
  • FIG. 8 depicts a generalized method 800 for forming a metal film on a substrate in accordance with one or more embodiment of the disclosure. FIG. 9 depicts an exemplary substrate for processing in accordance with one or more embodiment of the disclosure. The method 800 generally begins at 810, where a substrate 900 upon which a metal film is to be formed is provided and placed into a processing chamber.
  • Referring to FIG. 9, an exemplary substrate 900 is shown. In some embodiments, the substrate 900 has a substrate surface 905 with at least one feature 910 therein. The feature 910 has a sidewall 912, 914 and a bottom 916. In some embodiments, a dielectric material 920 forms the sidewall 912, 914 and a metallic material 930 forms the bottom 16. The skilled artisan will recognize that the illustrated embodiment refers to two sidewalls, as in a trench-like structure, but that the disclosure is not limited to trenches. In some embodiments, the feature comprises a round via with, technically, has a single round sidewall that would appear as two sidewalls in a cross-sectional view, as shown.
  • In some embodiments, the substrate 900 may undergo one or more optional pre-processing steps. At 815, the substrate may optionally have one or more layers formed on the substrate surface.
  • In some embodiments, a metal nitride liner is deposited in the feature 910. In some embodiments, the metal nitride liner comprises titanium nitride. In some embodiments, the metal nitride liner has a thickness in a range of about 15 Å to about 40 Å. In some embodiments, the metal nitride liner has a thickness of about 20 Å or about 30 Å. In some embodiments, there is no liner formed in the feature prior metal film formation. In some embodiments, there is no liner between the metal film and the bottom of the feature.
  • In some embodiments, a seed layer is deposited on the substrate surface. In some embodiments, the seed layer is a conformal layer. In some embodiments, the seed layer is continuous. In some embodiments, the thickness of the seed layer is in a range of about 1 nm to about 5 nm, or in a range of about 1 nm to about 4 nm. In some embodiments, the seed layer comprises a ruthenium layer deposited by a known atomic layer deposition method. In some embodiments, the seed layer is deposited by an ALD cycle comprising a ruthenium precursor exposure and an alkyl halide exposure with intervening purges. In some embodiments, the seed layer is deposited by an ALD cycle comprising a ruthenium precursor exposure and an ammonia plasma exposure with intervening purges.
  • In some embodiments, the bottom 916 comprises a metal and the optional pre-process comprises a cleaning process. In some embodiments, the metal bottom 916 of the feature is cleaned to remove oxides from the metal prior to formation of a metal film in the feature.
  • At 820, the substrate is optionally exposed to a blocking compound. This process step is described more fully below and may be useful for controlling the selectivity of the deposition process on a substrate comprising both a metal surface and a dielectric surface.
  • At 830, a metal film is formed on the substrate. The metal film of some embodiments is formed by exposing the substrate to a metal precursor and an alkyl halide catalyst while the substrate is maintained at a deposition temperature. In some embodiments, the alkyl halide catalyst and the metal precursor are exposed the substrate at the same time. In some embodiments, the metal precursor and the alkyl halide catalyst are exposed to the substrate separately and sequentially. In embodiments with separate exposures, each “cycle” is a single exposure to the alkyl halide catalyst and the metal precursor, in either order. The process of forming the metal film at 830 may begin by soaking the substrate with a catalytic gas. The catalytic gas comprises an alkyl halide and is exposed to the substrate for a first period of time, as shown at 840. In some embodiments, the catalytic gas comprises an alkyl halide catalyst that forms a catalyst layer on the metal bottom of the feature.
  • The alkyl halide may be any suitable reactant to adsorb a layer on the substrate for later reaction. Stated differently, soaking the substrate in the alkyl halide forms an activated substrate surface. The alkyl halide is described above and elsewhere herein.
  • The alkyl halide may be provided to the processing chamber in one or more pulses or continuously. In some embodiments, the alkyl halide is provided with an inert carrier gas and is referred to the alkyl halide containing gas. The flow rate and pressure of the alkyl halide or alkyl halide containing gas can be any suitable values. Exemplary flow rates and pressures disclosed elsewhere herein for the alkyl halide containing gas are also applicable in this embodiment.
  • The period of time that the substrate is soaked in the alkyl halide may be any suitable amount of time necessary to allow the alkyl halide to form an adequate adsorption layer on the substrate surface(s). For example, the alkyl halide may be allowed to soak the substrate for a period of greater than about 3 seconds or greater than about 5 seconds. In some embodiments, the soak period is in a range of about 3 seconds to about 60 seconds.
  • In some embodiments, an inert gas may additionally be provided to the process chamber at the same time as the alkyl halide containing gas. The inert gas may be mixed with the alkyl halide (e.g., as a diluent gas) or be provided separately and can be pulsed or of a constant flow. The inert gas may be any inert gas, for example, such as argon, helium, neon, or combinations thereof.
  • Next, at 850, the substrate is exposed to a second process gas for a second period of time. The second process gas comprises a metal precursor which reacts with the adsorbed layer of alkyl halide or halogen on the substrate surface to deposit a metal film. The second reactive gas may also be referred to as the metal precursor gas.
  • The metal precursor may be any suitable precursor to react with the adsorbed alkyl halide layer or halogen layer on the substrate. Suitable metal precursors are described elsewhere herein.
  • The metal precursor is delivered to the processing chamber as a metal precursor gas. The metal precursor gas may be provided in one or more pulses or continuously. The flow rate and pressure of the metal precursor gas can be any suitable flow rate and pressure. Exemplary values for flow rate and pressure are discussed elsewhere herein.
  • The period of time that the substrate is exposed to the metal precursor gas may be any suitable amount of time necessary to allow the metal precursor to react with the adsorbed halogen on the substrate surface. For example, the process gas may be flowed into the process chamber for a period of greater than or equal to about 60 seconds. In some embodiments, the period of exposure to the metal precursor is about 100 seconds, about 200 seconds, about 300 seconds, about 400 seconds or about 500 seconds.
  • The temperature of the substrate during exposure to the metal precursor can be controlled, for example, by setting the temperature of the substrate support or susceptor. This temperature is also referred to as the deposition temperature. In some embodiments, the substrate is maintained at a temperature below the decomposition temperature of the metal precursor. In some embodiments, the substrate is maintained at a temperature below the decomposition temperature of the alkyl halide. In some embodiments, the substrate is maintained at a temperature between the decomposition temperature of the alkyl halide and the decomposition temperature of the metal precursor.
  • In one or more embodiments, the substrate is maintained at a temperature less than or equal to about 400° C., or less than or equal to about 350° C., or less than or equal to about 300° C., or less than or equal to about 250° C., or less than or equal to about 200° C. In one or more embodiments, the substrate is maintained at a temperature greater than or equal to about 150° C., or greater than or equal to about 200° C., or greater than or equal to about 250° C., or greater than or equal to about 300° C., or greater than or equal to about 350° C. In some embodiments, the substrate is maintained at a temperature of about 225° C. or about 280° C.
  • The deposition process is performed as a thermal process without the use of plasma reactants. Stated differently, the method is performed without plasma.
  • Next, at 860, it is determined whether the metal film has achieved a predetermined thickness. If the predetermined thickness has not been achieved, the method 800 returns to 850 to continue exposing the substrate to the metal precursor until the predetermined thickness is reached. Once the predetermined thickness has been reached, the method 800 can either end or proceed to 870 for optional further processing. In some embodiments, the metal film may be deposited to form a total layer thickness of about 10 Å to about 10,000 Å, or in some embodiments, about 20 Å to about 1000 Å, or in some embodiments, about 50 Å to about 200 Å.
  • Some embodiments of the disclosure selectively deposit a metal film on a metal surface over a first dielectric surface. These methods are similar to method 800 as described above. The substrate provided comprises a dielectric surface and a metal surface. In some embodiments, a substrate as shown in FIG. 9 is processed to selectively form bottom up gap fill on the metal surface at the bottom 916 of the feature 910.
  • The metal of the metal film and the metal of the substrate surface may be the same metal or may be different metals. The dielectric surface may be formed from any suitable dielectric material. In some embodiments, the dielectric material comprises nitrogen or oxygen atoms. Without being bound by theory, it is believed that these materials react with the alkyl halide and prevent the halogen from adsorbing onto the substrate surface so as to catalyze the reaction with the metal precursor. Accordingly, little, if any, metal film is formed on the dielectric surface.
  • In some embodiments, the deposition temperature is below the decomposition temperature of the alkyl halide. Again, without being bound by theory, it is believed that if the alkyl halide decomposes, the halogen will be available for reaction with the metal precursor on all surfaces (regardless of composition), leading to metal film deposition on all substrate surfaces, including the dielectric surface. In some embodiments, the deposition temperature is at or above the decomposition temperature of the alkyl halide.
  • Some embodiments of this disclosure advantageously provide methods for controlling the deposition of a metal film. In some embodiments, the rate of deposition is controlled. In some embodiments, the location of deposition is controlled.
  • The methods of various embodiments use methods of atomic layer deposition (ALD) or chemical vapor deposition (CVD) to form the metal films. The above disclosure describes an exemplary ALD process with respect to FIG. 7 and an exemplary CVD process with respect to FIG. 8.
  • As stated previously, the generalized deposition processes shown in FIGS. 7 and 8 are performed as thermal processes without the use of plasma reactants. The use and effect of plasmas and other additional reactants is discussed further below.
  • Some embodiments of the disclosure advantageously provide methods of depositing metal films within substrate features or other structures. Exemplary features or structures include, but are not limited to, trenches and vias.
  • Some embodiments of the disclosure advantageously provide deposition control methods for reducing film deposition outside of a target feature and near the feature opening. Without being bound by theory, it is believed that reducing deposition in these areas allows faster gapfill within the target feature and reduces clogging near the feature opening and formation of voids or seams within the feature.
  • Referring to FIGS. 7 and 8, without limiting the scope of the above disclosure, both the ALD and CVD processes described above utilize an alkyl halide and a metal precursor to deposit a metal film. Without being bound by theory, it is believed that the alkyl halide functions as a catalyst in the deposition of the metal film. Accordingly, as particularly evidenced by the CVD process, a single exposure of the substrate surface to an alkyl halide can be used to deposit a thickness of more than 10 nm of metal film.
  • Some embodiments of the disclosure advantageously provide deposition control methods for reducing the activity of the catalyst in predetermined areas of the substrate surface. In some embodiments, the activity of catalyst is reduced. In some embodiments, the activity of the catalyst is eliminated.
  • Referring to FIGS. 10A-10D, an exemplary substrate 400 is shown during processing according to one or more embodiments of this disclosure. The substrate 1000 illustrated in FIGS. 10A-10D is simplified for explanation. As mentioned above, and shown in FIG. 9, in some embodiments, the substrates of this disclosure contain features or structures not depicted in FIGS. 10A-10D.
  • In FIG. 10A, the substrate 1000 contains a substrate surface 1010. In FIG. 4B, the substrate surface 1010 is exposed to an alkyl halide to form an activated surface 1020. As described above, the alkyl halide 1040 adsorbs to the substrate surface 1010 to form an activated substrate surface 1020.
  • In FIG. 10C, a predetermined area of the activated surface 1020 is exposed to a deactivation treatment to form a deactivated surface 1030. The alkyl halide 1040 shown in FIGS. 10B and 10C is shown as circular or ovoid, however no specific molecular shape is intended to be conveyed. Similarly, the difference between the circular shapes shown in FIGS. 10B and 10C and the ovoid shapes shown in FIG. 10C is meant only to convey the activity and/or relative concentration of alkyl halide on the substrate surfaces.
  • In FIG. 10D, the substrate 1000 is exposed to a metal precursor to form a metal film 1050. As shown in FIG. 10D, the thickness T1 of the metal film 1050 on the activated surface 1020 is greater than the thickness T2 of the metal film 1050 on the deactivated surface 1030.
  • In some embodiments, the deactivation treatment reduces the concentration of the alkyl halide on the activated surface 1020. In some embodiments, the deactivation treatment reduces the catalytic activity of the alkyl halide on the activated surface 1020.
  • In some embodiments, the method described above with respect to FIGS. 10A-10D is modified to include the deactivation treatment before exposure to the alkyl halide. In this regard, the deactivation treatment may be understood to “superactivate” a predetermined area of the substrate surface 1010 before exposure to the alkyl halide. Upon exposure to the alkyl halide, the “superactivated” surface forms a higher concentration or activity of alkyl halide than a surface not exposed to the deactivation treatment. The difference in concentration and/or activity between the surfaces may be used to control deposition. In some embodiments, the surfaces may be further deactivated as described above with respect to FIGS. 10C-10D.
  • The thickness T1 is greater than the thickness T2. Accordingly, some embodiments of the disclosure advantageously provide deposition control methods for controlling the amount of deposition in predetermined areas of the substrate surface.
  • In some embodiments, the ratio of T1:T2 is greater than or equal to about 1:1, greater than or equal to about 2:1, greater than or equal to about 3:1, greater than or equal to about 4:1, greater than or equal to about 5:1, or greater than or equal to about 10:1. In some embodiments, little to no deposition of metal occurs on the deactivated surface 1030. Stated differently, in some embodiments, thickness T2 is about 0. Stated differently, the amount of metal film 1050 deposited on the deactivated surface 1030 is essentially none. As used in this regard, “essentially none” means that the metal film on the deactivated surface covers less than 5%, less than 2%, less than 1% or less than 0.5% of the deactivated surface.
  • The thicknesses of the metal film 1050 deposited on the activated surface 1020 and the deactivated surface 1030 is directly proportional to the rates of deposition on the activated surface 1020 and the deactivated surface 1030. Accordingly, some embodiments of the disclosure advantageously provide deposition control methods for controlling the rate of deposition in predetermined areas of the substrate surface.
  • In some embodiments, the entire substrate surface is exposed to the deactivation treatment. Some embodiments of the disclosure may be used to control the amount of deposition on the entire substrate. Some embodiments of the disclosure may be used to control the rate of deposition on the entire substrate.
  • In some embodiments, not shown, the substrate 1000 comprises one or more features. In some embodiments, the deactivated surface 1030 is the surface outside of the one or more feature. In some embodiments, the deactivated surface 1030 is the surface near the top of the sidewall of the one or more feature.
  • Without being bound by theory, it is believed that the surface near substrate features and the top surfaces of the sidewalls of those features are more highly activated (exhibits greater deposition) due to multiple exposed faces within close proximity. The greater deposition on these surfaces increases the likelihood that the feature will close before a sufficient amount of film is formed inside of the feature. When features close a seam or void is often formed. Accordingly, in some embodiments, the deactivated surface 1030 is the surface near the top of the one or more feature. Further, in some embodiments, the deactivated surface 1030 is the surface near the substrate feature. In some embodiments, the metal film deposited within the feature has reduced seams or voids. In some embodiments, the metal film deposited within the feature has substantially no seam or voids. As used in this regard, the term “substantially no seam” means that any gap formed in the film between the sidewalls is less than about 1% of the cross-sectional area of the sidewall.
  • In some embodiments, the predetermined areas of the substrate are exposed to hydrogen gas without the use of plasma.
  • In some embodiments, a hydrogen gas pulse is introduced into the ALD deposition cycle described above. Stated differently, a substrate may be exposed to a pulse sequence of alkyl halide, purge, hydrogen gas, purge, metal precursor, purge. In some embodiments, the substrate is exposed to an additional pulse of hydrogen gas followed by a purge after exposure to the metal precursor. In some embodiments, the substrate is exposed to an additional pulse of hydrogen gas followed by a purge after exposure to the alkyl halide. In some embodiments, the purge phase between each exposure to the metal precursor and/or the alkyl halide is performed in some, but not all cycles.
  • In some embodiments a hydrogen gas exposure is introduced into the CVD deposition cycle described above. Stated differently, a substrate may be soaked with the alkyl halide, exposed to hydrogen gas and exposed to the metal precursor. In some embodiments, the substrate is exposed to the hydrogen gas before exposure to the metal precursor. In some embodiments, the hydrogen gas and the metal precursor are flowed simultaneously.
  • In some embodiments, the predetermined areas of the substrate are exposed to a plasma comprising one or more of hydrogen (H2), ammonia (NH3) or argon (Ar). In some embodiments, the plasma used to deactivate the surface is a low powered plasma. In some embodiments, the plasma has a power in a range of about 50 W to about 500 W, in a range of about 50 W to about 300 W, in a range of about 50 W to about 200 W, or in a range of about 50 W to about 100 W.
  • In some embodiments, the plasma exposure time is less than or equal to about 30 seconds, less than or equal to about 20 seconds, less than or equal to about 15 seconds, less than or equal to about 10 seconds, less than or equal to about 5 seconds, or less than or equal to about 2 seconds.
  • In some embodiments, the plasma is a conductively coupled plasma (CCP). In some embodiments, the plasma is an inductively coupled plasma (ICP). In some embodiments, the plasma is a direct plasma generated within the processing environment. In some embodiments, the plasma is a remote plasma generated outside of the processing environment.
  • In some embodiments, a plasma pulse is introduced into the ALD deposition cycle described above. In some embodiments, the plasma pulse replaces the hydrogen gas pulse described above with respect to the ALD deposition cycle.
  • In some embodiments, a plasma pulse is introduced into the CVD deposition cycle described above. In some embodiments, the plasma pulse replaces the hydrogen gas exposure described above with respect to the CVD deposition cycle.
  • FIG. 11 illustrates a process for seam-free gap fill according to one or more embodiment of the disclosure. The substrate 1100 illustrated has at least one feature 1105 with a first metal 1110 at the bottom 1106 of the feature 1105 and at least one dielectric sidewall 1120. The first metal 1110 has a first metal surface 1111 that is exposed within the feature 1105. The dielectric sidewall 1120 has a top surface 1121 outside of the feature 1105 and one or more sidewall surface 1122 within the feature 1105.
  • In some embodiments, the substrate 1100 is exposed to an optional cleaning process. The cleaning process cleans the first metal surface 1111 at the bottom 1106 of the feature 1105. In some embodiments, the cleaning process removes oxides from the first metal surface 1111. The cleaning process of some embodiments comprises degassing the substrate with or without hydrogen, an argon sputter with or without hydrogen, water vapor cleaning or APC clean.
  • In some embodiments, a metal film 1130 is deposited using a catalyst-enhanced chemical vapor deposition (CECVD) process. A metal film 1130 (e.g., a ruthenium film) is selectively deposited on the first metal 1110 to cover the first metal surface 1111. The metal film 1130 is deposited to a thickness that partially fills the feature 1105 so that the top surface of the metal film 1131 is below the top surface 1121 of the dielectric 1120.
  • A blocking layer 1140 is optionally formed on the top surface 1131 of the metal film 1130. The blocking layer 1140 can be any suitable material known to the skilled artisan that can prevent deposition of a liner material on the top surface 1131 of the metal film 1130. In some embodiments, the blocking layer 1140 comprises a self-assembled monolayer (SAM).
  • In some embodiments, a conformal liner 1150 is formed on the dielectric sidewall 1122 and the top surface 1121 of the dielectric 1120. In some embodiments there is substantially no conformal liner 1150 formed on the top surface 1131 of the metal film 1130 or on the optional blocking layer 1140. As used in this manner, the term “substantially no” means that less than or equal to about 10%, 5%, 2% or 1% of the surface area of the metal film 1130 or the optional blocking layer 1140 has liner material deposited thereon. This does not include the edges of the sidewalls where the sidewall intersects the top surface of the metal film or the optional blocking layer. In some embodiments, the conformal liner 1130 has a thickness less than or equal to about 30 Å, 25 Å or 20 Å. In some embodiments, the conformal liner 1130 has a thickness sufficient to form a continuous film. In some embodiments, the conformal liner 1130 comprises titanium nitride (TiN) and/or tantalum nitride (TaN).
  • In some embodiments, the blocking layer 1140 is optionally removed from the top surface 1131 of the metal films 1130. The blocking layer 1140 can be removed by any suitable technique known to the skilled artisan.
  • In some embodiments, the feature 1105 is filled with the metal film 1130 to 1130 form an overburden 1133 that covers the conformal liner 1150 on the dielectric sidewall and the top surface of the dielectric.
  • In some embodiments, the metal film 1130 is optionally annealed to change some property of the film and form an annealed metal film 1160. For example, in some embodiments, the metal film 1130 is annealed to increase the density of the film. Annealing can be done by any suitable technique under any suitable conditions known to the skilled artisan.
  • In some embodiments, a portion of the annealed metal film 1160 (or the metal film 1130 if not annealed) is removed by any suitable technique. In some embodiments, a portion of the annealed metal film 1160 (or metal film 1130) and at least some of the conformal liner 1150 is removed to expose the top surface 1121 of the dielectric 1120. In some embodiments, a portion of the annealed metal film 1160 (or metal film 1130) and a portion of the dielectric 1120, and at least some of the conformal liner 1150 is removed. In some embodiments, all of the conformal liner 1150 is removed. In some embodiments, the annealed metal film 1160 (or metal film 1130), the conformal liner 1150 and the portion of the dielectric 1120 is removed by chemical-mechanical planarization.
  • In some embodiments, one or more of the blocking layer 1140 or conformal liner 1150 are deposited prior to formation of the metal film 1130, so that the blocking layer 1140 forms directly on the first metal 1110. In some embodiments, the blocking layer 1140 is removed from the first metal 1110 surface prior to depositing the metal film 1130. In some embodiments of this sort, the deposition of the metal film 1130 proceeds with an initial ALD process and then a CECVD process to grow the metal film.
  • In some embodiments, a combination of atomic layer deposition and catalyst enhanced chemical vapor deposition is used. The ALD portion of some embodiments follows a sequence of metal precursor (e.g., ruthenium precursor) soaking, a purge, catalyst precursor (e.g., iodine precursor) soak, and purge. The individual exposures during the ALD portion, according to some embodiments, have short durations. In some embodiments, the soaking portions are performed for less than 10 seconds, 5 second, 4 seconds, 3 seconds or 2 seconds. In some embodiments, the metal precursor soak is longer than the catalyst precursor soak. In some embodiments, the purging portions are performed for less than 5 second, 4 seconds, 3 seconds, 2 seconds or 1 second. The CECVD portion of a process, whether coupled to an ALD segment or not, typically has longer pulse times and a different pulse sequence. The CECVD sequence of some embodiments comprises a catalyst precursor soak, followed by a metal precursor soak, then a purge. The catalyst precursor soak of some CECVD embodiments has a duration in the range of 5 seconds to 300 seconds, 10 seconds to 240 seconds, 15 seconds to 210 seconds, 20 seconds to 180 seconds, 25 seconds to 120 seconds or 30 seconds to 60 seconds. In some embodiments, the metal precursor soak of some CECVD embodiments has a duration in the range of 20 seconds to 1200 seconds, 30 seconds to 800 seconds, 40 seconds to 600 seconds, 50 seconds to 450 seconds or 60 seconds to 300 seconds. In some embodiments, the catalyst soak has a shorter duration than the metal soak. In some embodiments, the purge has a duration in the range of 1 second to 100 seconds, 2 seconds to 80 seconds, 3 seconds to 60 seconds, 4 seconds to 30 seconds or 5 seconds to 10 seconds.
  • In some embodiments, the process comprises an initial ALD type process to build a thickness of the second metal. Once a predetermined thickness of the second metal has been formed, the CECVD process is performed repeatedly to grow a film with a predetermined thickness. In some embodiments, the CECVD process is not effective for second metal growth without an initial layer of the metal deposited by a selective ALD process. The ALD type sequence of some embodiments deposits the second metal at a faster rate than the CECVD process. In some embodiments, after forming a metal film 1130 to a predetermined thickness (e.g., to the top of the feature), the process sequence switches to an ALD type sequence. The metal film can then be further processed as described herein.
  • Reference throughout this specification to “one embodiment,” “certain embodiments,” “one or more embodiments” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the disclosure. Thus, the appearances of the phrases such as “in one or more embodiments,” “in certain embodiments,” “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the disclosure. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.
  • Although the disclosure herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Thus, it is intended that the present disclosure include modifications and variations that are within the scope of the appended claims and their equivalents.

Claims (14)

What is claimed is:
1. A metal deposition method comprising sequentially exposing a substrate having at least one feature comprising a bottom and sidewalls to a metal precursor and an alkyl halide catalyst while the substrate is maintained at a deposition temperature to form a metal film on the bottom of the feature, the bottom of the feature comprising a first metal and the sidewalls of the feature comprising a dielectric, the metal precursor having a decomposition temperature above the deposition temperature, and the alkyl halide comprising carbon and halogen, and the halogen comprising bromine or iodine.
2. The method of claim 1, wherein the first metal at the bottom of the feature comprises one or more of molybdenum, ruthenium, cobalt, copper, platinum, nickel or tungsten.
3. The method of claim 1, wherein the metal film comprises one or more of tungsten, ruthenium, molybdenum or copper.
4. The method of claim 1, wherein the metal precursor comprises a metal atom bonded to one or more of an optionally alkyl substituted benzene ring and an open or closed diene.
5. The method of claim 1, wherein the alkyl halide consists essentially of iodoethane or diiodomethane.
6. The method of claim 1, wherein the metal film is formed selectively on the bottom of the feature relative to the sidewalls.
7. The method of claim 1, wherein the metal film fills the feature in a bottom-up manner to form a seam-free gap fill.
8. The method of claim 7, wherein the seam-free gap fill is formed without a liner between the metal film and the sidewalls.
9. The method of claim 1, wherein the metal precursor and the alkyl halide catalyst are exposed to the substrate together.
10. The method of claim 1, wherein the metal precursor and the alkyl halide catalyst are exposed to the substrate separately and sequentially in a cycle.
11. The method of claim 10, wherein the alkyl halide catalyst is exposed to the substrate prior to the metal precursor exposure to form a catalyst layer on the metal bottom of the feature.
12. The method of claim 11, further comprising exposing the substrate with the catalyst layer on the metal bottom of the feature to an anisotropic etch to remove any catalyst from the dielectric.
13. The method of claim 11, wherein the metal film has a growth rate greater than or equal to about 0.8 Å/cycle.
14. The method of claim 1, further comprising cleaning the first metal at the bottom of the feature to remove oxides from the first metal prior to exposure to the metal precursor and alkyl halide catalyst.
US17/847,351 2020-03-11 2022-06-23 Gap fill methods using catalyzed deposition Abandoned US20220325410A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/847,351 US20220325410A1 (en) 2020-03-11 2022-06-23 Gap fill methods using catalyzed deposition

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062988091P 2020-03-11 2020-03-11
US17/198,576 US20210285102A1 (en) 2020-03-11 2021-03-11 Gap fill methods using catalyzed deposition
US17/847,351 US20220325410A1 (en) 2020-03-11 2022-06-23 Gap fill methods using catalyzed deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US17/198,576 Continuation US20210285102A1 (en) 2020-03-11 2021-03-11 Gap fill methods using catalyzed deposition

Publications (1)

Publication Number Publication Date
US20220325410A1 true US20220325410A1 (en) 2022-10-13

Family

ID=77664469

Family Applications (2)

Application Number Title Priority Date Filing Date
US17/198,576 Pending US20210285102A1 (en) 2020-03-11 2021-03-11 Gap fill methods using catalyzed deposition
US17/847,351 Abandoned US20220325410A1 (en) 2020-03-11 2022-06-23 Gap fill methods using catalyzed deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US17/198,576 Pending US20210285102A1 (en) 2020-03-11 2021-03-11 Gap fill methods using catalyzed deposition

Country Status (6)

Country Link
US (2) US20210285102A1 (en)
JP (1) JP2023515751A (en)
KR (1) KR20220116024A (en)
CN (1) CN115088064A (en)
TW (1) TW202200822A (en)
WO (1) WO2021183728A1 (en)

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
SG11202109796QA (en) 2019-03-11 2021-10-28 Lam Res Corp Precursors for deposition of molybdenum-containing films
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967523B2 (en) 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
WO2023076115A1 (en) * 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
WO2023164413A1 (en) * 2022-02-24 2023-08-31 Lam Research Corporation Low resistance molybdenum deposition for logic source/drain contacts
TW202341352A (en) * 2022-03-31 2023-10-16 美商應用材料股份有限公司 Metal surface blocking molecules for selective deposition
US20230386829A1 (en) * 2022-05-27 2023-11-30 Applied Materials, Inc. Low temperature silicon oxide gap fill
US20240018647A1 (en) * 2022-07-13 2024-01-18 Applied Materials, Inc. Oxidation barriers with cvd soak processes

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1038047A (en) * 1909-12-27 1912-09-10 George Westinghouse Multiple-disk gearing.
US20010019891A1 (en) * 1999-12-15 2001-09-06 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US20020063666A1 (en) * 2000-06-28 2002-05-30 Kang Sin Ho Apparatus and method for correcting gamma voltage and video data in liquid crystal display
US20140120723A1 (en) * 2012-10-26 2014-05-01 Xinyu Fu Methods for depositing fluorine/carbon-free conformal tungsten
CN105990221A (en) * 2015-02-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 Method for forming metal interconnection
US20180035375A1 (en) * 2015-09-11 2018-02-01 Texas Instruments Incorporated Low Power Packet Detection Circuit for WLAN Receivers
US20180130707A1 (en) * 2015-06-18 2018-05-10 Intel Corporation Bottom-up fill (buf) of metal features for semiconductor structures
US20190390340A1 (en) * 2018-06-22 2019-12-26 Applied Materials, Inc Catalyzed deposition of metal films

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3353727B2 (en) * 1998-12-21 2002-12-03 日本電気株式会社 Method for forming wiring structure of semiconductor device
KR100653997B1 (en) * 2005-04-26 2006-12-05 주식회사 하이닉스반도체 Metal interconnection having low resistance in semiconductor device and method of fabricating the same
US7439624B2 (en) * 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
US9758896B2 (en) * 2015-02-12 2017-09-12 Applied Materials, Inc. Forming cobalt interconnections on a substrate
US9805976B2 (en) * 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
JP6855191B2 (en) * 2016-08-29 2021-04-07 株式会社Adeka Manufacturing method of metal thin film by atomic layer deposition method
US9805972B1 (en) * 2017-02-20 2017-10-31 Globalfoundries Inc. Skip via structures
US10658235B2 (en) * 2018-06-21 2020-05-19 International Business Machines Corporation Rework for metal interconnects using etch and thermal anneal

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1038047A (en) * 1909-12-27 1912-09-10 George Westinghouse Multiple-disk gearing.
US20010019891A1 (en) * 1999-12-15 2001-09-06 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US20020063666A1 (en) * 2000-06-28 2002-05-30 Kang Sin Ho Apparatus and method for correcting gamma voltage and video data in liquid crystal display
US20140120723A1 (en) * 2012-10-26 2014-05-01 Xinyu Fu Methods for depositing fluorine/carbon-free conformal tungsten
CN105990221A (en) * 2015-02-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 Method for forming metal interconnection
US20180130707A1 (en) * 2015-06-18 2018-05-10 Intel Corporation Bottom-up fill (buf) of metal features for semiconductor structures
US20180035375A1 (en) * 2015-09-11 2018-02-01 Texas Instruments Incorporated Low Power Packet Detection Circuit for WLAN Receivers
US20190390340A1 (en) * 2018-06-22 2019-12-26 Applied Materials, Inc Catalyzed deposition of metal films

Also Published As

Publication number Publication date
KR20220116024A (en) 2022-08-19
JP2023515751A (en) 2023-04-14
US20210285102A1 (en) 2021-09-16
CN115088064A (en) 2022-09-20
TW202200822A (en) 2022-01-01
WO2021183728A1 (en) 2021-09-16

Similar Documents

Publication Publication Date Title
US20220325410A1 (en) Gap fill methods using catalyzed deposition
US11680312B2 (en) Catalyst enhanced seamless ruthenium gap fill
KR102506466B1 (en) Catalyzed deposition of metal films
US11066743B2 (en) Selective atomic layer deposition of ruthenium
US11887856B2 (en) Enhanced spatial ALD of metals through controlled precursor mixing
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
JP7479479B2 (en) Catalytically enhanced seamless ruthenium gap filling
US11859277B2 (en) Catalyst enhanced molybdenum deposition and gap fill
US20230287022A1 (en) Non-Halide Oxygen-Free Organometallic Precursors for ALD/CVD of Metallization

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YOON, BYUNGHOON;WU, LIQI;LEE, JOUNG JOO;AND OTHERS;SIGNING DATES FROM 20210407 TO 20210809;REEL/FRAME:060287/0515

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION