JP2018523289A - 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積 - Google Patents

表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積 Download PDF

Info

Publication number
JP2018523289A
JP2018523289A JP2017557135A JP2017557135A JP2018523289A JP 2018523289 A JP2018523289 A JP 2018523289A JP 2017557135 A JP2017557135 A JP 2017557135A JP 2017557135 A JP2017557135 A JP 2017557135A JP 2018523289 A JP2018523289 A JP 2018523289A
Authority
JP
Japan
Prior art keywords
substrate
film
silylamide
silyl ether
substrate surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017557135A
Other languages
English (en)
Other versions
JP6751107B2 (ja
Inventor
デーヴィッド トンプソン,
デーヴィッド トンプソン,
マーク サリー,
マーク サリー,
バスカー ジョティ ブイヤン,
バスカー ジョティ ブイヤン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018523289A publication Critical patent/JP2018523289A/ja
Application granted granted Critical
Publication of JP6751107B2 publication Critical patent/JP6751107B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0296Conductive pattern lay-out details not covered by sub groups H05K1/02 - H05K1/0295
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03GELECTROGRAPHY; ELECTROPHOTOGRAPHY; MAGNETOGRAPHY
    • G03G15/00Apparatus for electrographic processes using a charge pattern
    • G03G15/50Machine control of apparatus for electrographic processes using a charge pattern, e.g. regulating differents parts of the machine, multimode copiers, microprocessor control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03GELECTROGRAPHY; ELECTROPHOTOGRAPHY; MAGNETOGRAPHY
    • G03G15/00Apparatus for electrographic processes using a charge pattern
    • G03G15/80Details relating to power supplies, circuits boards, electrical connections
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03GELECTROGRAPHY; ELECTROPHOTOGRAPHY; MAGNETOGRAPHY
    • G03G21/00Arrangements not provided for by groups G03G13/00 - G03G19/00, e.g. cleaning, elimination of residual charge
    • G03G21/16Mechanical means for facilitating the maintenance of the apparatus, e.g. modular arrangements
    • G03G21/1642Mechanical means for facilitating the maintenance of the apparatus, e.g. modular arrangements for connecting the different parts of the apparatus
    • G03G21/1652Electrical connection means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/702Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof of thick-or thin-film circuits or parts thereof
    • H01L21/707Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof of thick-or thin-film circuits or parts thereof of thin-film circuits or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0266Marks, test patterns or identification means
    • H05K1/0269Marks, test patterns or identification means for visual or optical inspection
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/11Printed elements for providing electric connections to or between printed circuits
    • H05K1/117Pads along the edge of rigid circuit boards, e.g. for pluggable connectors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/403Edge contacts; Windows or holes in the substrate having plural connections on the walls thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/03Conductive materials
    • H05K2201/0332Structure of the conductor
    • H05K2201/0388Other aspects of conductors
    • H05K2201/0394Conductor crossing over a hole in the substrate or a gap between two separate substrate parts
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09009Substrate related
    • H05K2201/09063Holes or slots in insulating substrate not used for electrical connections
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09145Edge details
    • H05K2201/09181Notches in edge pads
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10227Other objects, e.g. metallic pieces
    • H05K2201/10287Metal wires as connectors or conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10227Other objects, e.g. metallic pieces
    • H05K2201/10363Jumpers, i.e. non-printed cross-over connections
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/40Forming printed elements for providing electric connections to or between printed circuits
    • H05K3/4007Surface contacts, e.g. bumps
    • H05K3/4015Surface contacts, e.g. bumps using auxiliary conductive elements, e.g. pieces of metal foil, metallic spheres

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積第1の基板表面上に第2の基板表面に対して選択的に膜を堆積させる方法。方法は、水酸基終端を含む基板表面をシリルアミンでソークして、シリルエーテル終端を形成することと、シリルエーテル終端表面以外の表面上に膜を堆積させることとを含む。【選択図】図1

Description

本開示の実施形態は、一般に、膜を選択的に堆積させる方法に関する。より詳細には、本開示の実施形態は、アルコールの選択的還元および選択的保護を使用して膜を選択的に堆積させる方法に向けられている。
選択的堆積プロセスは、主に半導体のパターニング用途の必要性のために、大きな勢いを得ている。従来、マイクロエレクトロニクス産業におけるパターニングは、様々なリソグラフィおよびエッチングプロセスを用いて達成されてきた。しかしながら、リソグラフィは指数関数的に複雑かつ高価になってきているので、選択的堆積を使用してフィーチャを堆積させることは、はるかに魅力的になってきている。選択的堆積のための別の潜在的な用途は、間隙充填である。間隙充填では、トレンチの底部から上部に向かって充填膜が選択的に成長する。選択的堆積は、膜がフィンの側面で成長する選択的側壁堆積などの他の用途に使用することができる。これは、複雑なパターニングステップを必要とせずに、側壁スペーサの堆積を可能にする。
したがって、異なる表面に対して選択的に一つの表面上に膜を選択的に堆積させる方法が、当該技術分野で必要とされている。
本開示の1つ以上の実施形態は、膜を堆積させる方法に関する。水酸基終端表面を含む第1の基板表面と、水素終端表面を含む第2の基板表面とを含む基板が提供される。基板がシリルアミドに曝露されて、水酸基終端表面と反応して、シリルエーテル終端表面を形成する。基板は、1種以上の堆積ガスに曝露されて、シリルエーテル終端表面よりも第2の基板表面上に選択的に膜を堆積させる。
本開示の追加の実施形態は、膜を堆積させる方法に関する。水酸基終端表面を含む第1の基板表面と、水素終端誘電体を含む第2の基板表面とを含む基板が提供される。基板がシリルアミドでソークされて、水酸基終端表面と反応して、シリルエーテル終端表面を形成する。基板は、1種以上の堆積ガスに曝露されて、第1の基板表面よりも第2の基板表面上に選択的に窒化ケイ素膜を堆積させる。
本開示の更なる実施形態は、膜を堆積させる方法に関する。水酸基終端表面を含む第1の基板表面と、水素終端誘電体を含む第2の基板表面とを含む基板が提供される。基板は、1−トリメチルシリルピロリジン、1−トリメチルシリルピロールおよび/または3,5−ジメチル−1−トリメチルシリルピラゾールのうちの1種以上を含むシリルアミドでソークされ、水酸基終端表面と反応して、シリルエーテル終端表面を形成する。基板は、1種以上の堆積ガスに曝露されて、第1の基板表面よりも第2の基板表面上に選択的に窒化ケイ素膜を堆積させる。
本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得られ、実施形態の幾つかは添付の図面に示される。しかしながら、添付の図面は、本開示の代表的な実施形態のみを示しており、従って、その範囲を限定すると見なされるべきではなく、本開示は他の等しく有効な実施形態を許容しうることに、留意されたい。
本開示の1つ以上の実施形態による、処理方法の概略図を示す。 本開示の1つ以上の実施形態による、バッチ処理チャンバの実施形態を示す。
選択的堆積のために使用することができる様々な方法がある。本開示の実施形態は、2つの異なる表面の表面化学作用を利用して表面不活性化を用いる方法に関する。2つの異なる表面は、異なる反応性ハンドルを有するので、一方の表面と反応し(その表面を不活性化する)、他方の表面と反応しない分子を利用することによって、相違を利用することができる。本開示のいくつかの実施形態は、一方の表面のSi−OH基と反応し、Si−H終端された第2の表面と反応しないように、トリメチルシリルアミドの化学作用を使用する。
本明細書および添付の特許請求の範囲において使用する「基板」および「ウェハ」という用語は、交換可能に使用され、いずれも、プロセスが作用する表面または表面の一部を指す。また、当業者は、文脈がそうでないことを明確に示さない限り、基板への言及は基板の一部のみを指すこともできることを理解するであろう。さらに、基板上への堆積についての言及は、ベア基板と、その上に堆積または形成された1つ以上の膜またはフィーチャを有する基板の両方を意味することができる。
本明細書で使用される場合、「基板」は、製造プロセス中に膜処理が行われる任意の基板または基板上に形成される材料表面を指す。例えば、処理を実行することができる基板表面には、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化シリコン、窒化ケイ素、ドープトシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、ならびに金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料が挙げられる。基板には、半導体ウェハが含まれるが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化、アニールおよび/またはベークするための前処理プロセスに曝露されてもよい。本開示では、基板自体の表面上の直接的な膜処理に加えて、開示された膜処理ステップのいずれも、以下でより詳細に開示されるように、基板上に形成された下地層上で実行されてもよく、「基板表面」という用語は、文脈が示すような下地層を含むことを意図している。したがって、例えば、膜/層または部分的な膜/層が基板表面上に堆積された場合、新たに堆積された膜/層の露出表面が基板表面となる。所与の基板表面が何を含むかは、どのような膜が堆積されるか、および使用される特定の化学作用に依存する。1つ以上の実施形態では、第1の基板表面は金属を含み、第2の基板表面は誘電体を含む、またはその逆である。いくつかの実施形態では、基板表面は、ある官能性(例えば、−OH、−NHなど)を含むことができる。
同様に、本明細書に記載された方法で使用できる膜は非常に多様である。いくつかの実施形態では、膜は、金属を含むか、または本質的に金属からなることができる。金属膜の例としては、コバルト(Co)、銅(Cu)、ニッケル(Ni)、タングステン(W)などが挙げられるが、これらに限定されない。いくつかの実施形態では、膜は誘電体を含む。例には、SiO、SiN、HfOなどが含まれる。
本明細書および添付の特許請求の範囲において使用する「反応性ガス」、「前駆体」、「反応物」などの用語は、基板表面と反応性である種を含むガスを意味するために交換可能に使用される。例えば、第1の「反応性ガス」は、単に基板の表面上に吸着することができ、第2の反応性ガスとの更なる化学反応のために利用可能である。
本開示の実施形態は、金属膜を一方の表面上に第2の表面よりも選択的に堆積させる方法を提供する。本明細書および添付の特許請求の範囲で使用する「膜を一方の表面上に別の表面よりも選択的に堆積させる」という用語は、第1の量の膜が第1の表面上に堆積され、第2の量の膜が第2の表面上に堆積され、第2の量の膜は、第1の量の膜より少ないか、または全くないことを意味する。これに関して使用される「よりも(over)」という用語は、一方の表面が別の表面の上にあるという物理的な配向を意味するのではなく、他方の表面に対する一方の表面との化学反応の熱力学的または速度論的特性の関係を意味する。例えば、誘電体表面よりも銅表面上にコバルト膜を選択的に堆積させることは、コバルト膜が銅表面上に堆積し、より少ないコバルト膜が誘電体表面上に堆積し、または全く堆積しないことを意味する。または銅表面上のコバルト膜の形成が、誘電体表面上のコバルト膜の形成と比較して熱力学的または速度論的に有利であることを意味する。
図1を参照すると、本開示の1つ以上の実施形態は、膜を堆積させる方法を対象とする。第1の基板表面12および第2の基板表面14を含む基板10が提供される。第1の基板表面12は、水酸基終端表面(すなわち、−OH基を有する表面)を含む。第2の基板表面14は、水素終端表面(すなわち、−H終端、例えば、Si−HまたはSi−NHを有する表面)を含む。水素終端表面は、アミン終端表面(SiN膜に見られるように)を含むことができる。いくつかの実施形態では、第1の基板表面12は、実質的に水酸基終端のみを含む。これに関して使用される場合、「実質的に〜のみ」という用語は、第1の基板表面の表面終端が少なくとも約75%、80%、85%、90%または95%の水酸基終端であることを意味する。いくつかの実施形態では、第2の基板表面14は、実質的に水素終端のみを含む。これに関して使用される場合、「実質的に〜のみ」という用語は、第2の基板表面の表面終端が少なくとも約75%、80%、85%、90%または95%の水素終端であることを意味する。いくつかの実施形態では、第1の基板表面12および第2の基板表面14のうちの1つ以上は、誘電体を含む。1つ以上の実施形態では、第1の基板表面12は、誘電体を含む。誘電体は、低誘電率誘電体または高誘電率誘電体とすることができる。
基板10は、第1の基板表面12および/または第2の基板表面14のうちの1つ以上と反応するために、シリルアミドに曝露される。基板表面をシリルアミドに曝露することは、任意の適切なプロセスによって行うことができる。曝露は、ソーキングと呼ぶことができ、基板表面の少なくともいくつかが、シリルアミドで「ソーク」または「浸漬」されて、表面反応が起こることを可能にする。本明細書および添付の特許請求の範囲で使用する「シリルアミド」という用語は、窒素がアミン基の一部または複素環の一部であるケイ素−窒素結合を有する化合物を指す。図1は、Si−OH終端表面(第1の基板表面12)対Si−H終端表面(第2の基板表面14)に起きる初期表面反応の概略を示す。
ケイ素−炭素結合は非常に強く、あまり反応性がない。いかなる特定の作用理論にも束縛されるものではないが、シリルアミドは、ケイ素−炭素結合によって任意の表面を不活性化させることができると考えられる。ケイ素−炭素結合はまた熱的に安定しており、600℃までの安定性を示す。シリルアミド基は、塩基性のSi−H基に対して反応性ではなく、Si−Hで終端された表面を不活性化させない。シリルアミドを使用すると、特定の誘電体をSi−H終端表面上に選択的に堆積させ、Si−OH終端表面上には堆積させないプロセスを可能にできることが判明している。
図1のシリルアミドは、(CHSi−L(式中、Lは任意のアミンまたは複素環式アミンである)で示される。図1に示すシリルアミドは、1つの可能なシリルアミドを単に示すものであり、本開示の範囲を限定するものとして解釈されるべきではない。シリルアミドは、第1の基板表面12上の水酸基終端と反応して、シリルエーテル終端表面13を形成し、HLを展開する。本明細書および添付の特許請求の範囲で使用する「シリルエーテル」という用語は、表面終端を形成するSi−O結合を有する化合物を指す。
適切なシリルアミドは、表面水酸基と反応して、シリルエーテル終端表面を形成できるものである。いくつかの実施形態において、シリルアミドは、有機シリルアミドを含む。本明細書および添付の特許請求の範囲で使用する「有機シリルアミド」という用語は、ケイ素原子が1つ以上の有機基に結合している化合物を指す。例えば、図1に示すように、有機シリルアミドは、トリメチルシリルアミドである。
1つ以上の実施形態において、有機シリルアミドは、実質的に炭素および/または窒素原子にのみ結合したケイ素原子を含む。本明細書および添付の特許請求の範囲で使用する「実質的に炭素および/または窒素にのみ」という用語は、原子ベースで、ケイ素原子のうちの約5%未満が、炭素でも窒素でもない原子に、結合していることを意味する。1つ以上の実施形態において、有機シリルアミドは、実質的にSi−H結合もSi−OH結合も含まない。本明細書および添付の特許請求の範囲で使用する「実質的にSi−H結合もSi−OH結合も〜ない」という用語は、ケイ素原子のうちの約5%未満が、水素または水酸化物に結合していることを意味する。
いくつかの実施形態では、有機シリルアミドは、トリメチルシリルアミド、トリエチルシリルアミド、エチルジメチルシリルアミドおよび/またはジエチルメチルシリルアミドのうちの1種以上を含む。1つ以上の実施形態において、シリルアミドは、ピロリジン、ピロール、ピラゾール、ジメチルアミン、ジエチルアミン、エチルメチルアミン、環状第二級アミン、飽和環状アミンおよび/または不飽和環状アミンのうちの1種以上を含むアミドを含む。
トリメチルシリルアミドは、Si−OH基と容易に反応して遊離アミンを形成し、非常に安定な(CH3)3Si−O−Si部分の形成をもたらす塩基性アミン基を含む。特定の実施形態では、シリルアミドは、1−トリメチルシリルピロリジン、1−トリメチルシリルピロールおよび/または3,5−ジメチル−1−トリメチルシリルピラゾールのうちの1種以上を含む。
前処理(すなわち、シリルアミド)が基材表面に曝露される温度は、例えば、第1の表面、第2の表面、シリルアミド、計画された将来の処理、過去の処理および使用されている処理装置に依存する。例えば、より低い温度のプロセスは、さらなる処理のために基板のサーマルバジェットを保存するのに役立つことがある。いくつかの実施形態では、基板表面は、約50℃〜約600℃の範囲の温度でシリルアミドに曝露される。
シリルアミド曝露時間は、例えば、対象表面材料へのシリルアミドの反応性に依存して変化し得る。いくつかの実施形態では、基板は、約10秒〜約60分の範囲の時間、シリルアミドに曝露される。いくつかの実施形態では、シリルアミドの曝露は、約10分、5分、1分または0.5分未満の時間にわたって行われる。
シリルエーテル終端表面13の形成後、シリルエーテル終端表面13に影響を与えることなく、第2の基板表面14上に膜を堆積させることができる。膜は、任意の適切な技術によって堆積させることができる。いくつかの実施形態では、基板10が、1種以上の堆積ガスに曝露されて、シリルエーテル終端表面13と比較して選択的に第2の基板表面14上に膜15を堆積させる。1つ以上の実施形態では、堆積された膜15は、SiNを含む。いくつかの実施形態の膜15は、ケイ素含有ガスおよび窒素含有ガスへの順次的な曝露を含む原子層堆積によって堆積される。適切なケイ素含有ガスには、シラン、ジシラン、トリシラン、モノクロロシラン、ジクロロシラン、トリクロロシラン、四塩化ケイ素、ヘキサクロロジシラン(HCDS)、ハロゲン化カルボシランおよびこれらの組み合わせが含まれるが、これらに限定されない。適切な窒素含有ガスには、窒素含有プラズマ、アンモニア、アミン、ヒドラジンおよび/または炭窒化物が含まれるが、これらに限定されない。
成膜プロセスは、第1の反応性ガスと第2の反応性ガスとが基板表面に同時に曝露されることにより、成膜中に第1の反応性ガスと第2の反応性ガスが混合するCVDプロセスであってもよい。
いくつかの実施形態では、成膜プロセスは、基板または基板の一部が第1の反応性ガスおよび第2の反応性ガスに順次的に曝露されるALDプロセスである。順次的曝露とは、基板または基板の一部が、任意の所与の時間において、第1の反応性ガスおよび第2の反応性ガスの一方のみに曝露されることを意味する。ALDプロセスでは、第1の反応性ガスと第2の反応性ガスの気相混合は実質的にない。
図2は、処理チャンバ110と呼ばれる空間原子層堆積バッチプロセッサの実施形態を示す。記載された処理チャンバ110および構成要素の形状は単なる例示であり、本開示の範囲を限定するものとして解釈すべきではない。例えば、八角形状の処理チャンバは、円形または六角形などとすることができる。ロードロック112チャンバが、処理チャンバ110の前面(これは、任意に前面として指定することができる)に接続され、処理チャンバ110の外部の大気から処理チャンバの内部を隔離する方法を提供する。ロードロック112は、任意の適切なロードロックとすることができ、当業者に知られているように、任意の適切なロードロックのように動作することができる。
基板160は、処理チャンバ110内にローディング領域120へと入る。ローディング領域120において、基板160は、処理条件に付されるか、または静止することができる。ローディング領域における処理条件は、例えば、処理温度への基板160の予熱、前処理への曝露(例えば、シリルアミン曝露)または洗浄であり得る。いくつかの実施形態では、基板160は、ガス状シリルアミンを含む前処理に曝露される。
基板160は、ローディング領域からガスカーテン140を通って第1のプロセス領域121に横方向に移動される。プロセス領域を記述するために序数を使用することは単なる例示に過ぎず、本開示の範囲を限定するものと解釈すべきではない。「第1のプロセス領域」、「第2のプロセス領域」などの用語の使用は、単に処理チャンバの異なる部分を説明する便利な方法として意図されている。チャンバ内のプロセス領域の特定の位置は、示された実施形態に限定されない。基板160の横方向の移動は、矢印117によって示される、または矢印117の反対の方向の軸の周りのサセプタ166の回転によって生じ得る。第1のプロセス領域121において、基板160は、ALDプロセスのための第1の反応性ガスまたは前駆体に曝露されることができる。
基板160は、処理チャンバ110内で、第1のプロセス領域121からガスカーテン140を通って第2のプロセス領域122へ横方向に移動される。ガスカーテン140は、処理チャンバ110内の様々なプロセス領域の間の分離を提供する。ガスカーテンは、内側先端が切り取られたくさび形の部品として示されているが、ガスカーテンは、プロセス領域の分離を維持するのに適した任意の形状とすることができることが、理解されよう。ガスカーテン140は、個々のプロセス領域の雰囲気を分離することができる不活性ガスおよび/または真空ポートの任意の適切な組み合わせを含むことができる。いくつかの実施形態では、ガスカーテン140は、順に、真空ポート、不活性ガスポートおよび別の真空ポートを備える。第1のプロセス領域121から第2のプロセス領域122への基板の移動中のある時点において、基板の一部が第2のプロセス領域に曝露され、同時に基板の他の部分が第1のプロセス領域121に曝露され、中央部分がガスカーテン140内にある。
第2のプロセス領域122に入ると、基板160は、ALDプロセスを完了させることができる第2の反応性ガスに曝露される。例えば、SiN膜が形成されている場合、第1の反応性ガスはケイ素含有前駆体であり、第2の反応性ガスは窒素含有ガスであり得る。
基板160は、矢印117で示す円形経路に沿って横方向に連続的に移動して、基板を第3のプロセス領域123、第4のプロセス領域124、第5のプロセス領域125、第6のプロセス領域126、および第7のプロセス領域127に曝露して、ローディング領域に戻る。いくつかの実施形態では、ローディング領域120、第2のプロセス領域122、第4のプロセス領域124、および第6のプロセス領域126はそれぞれ、アルコールを含む第2の反応性ガスに基板を曝露し、第1のプロセス領域121、第3のプロセス領域123、第5のプロセス領域125および第7のプロセス領域127はそれぞれ、基板160を第1の反応性ガスに曝露する。図2に示す実施形態は、第1、第3、第5および第7のプロセス領域の上に配置されたくさび形のガス分配アセンブリ130を有しており、明確にするため、ガス分配アセンブリ130の間のサセプタ166上に基板160を示す。しかしながら、プロセス領域のいずれかまたは全てが、ガス分配アセンブリ130または他のガス供給システムを有することができることが理解されよう。
膜15が堆積されると、さらなる処理が行われてもよい。例えば、シリルエーテル終端を除去するために、第1の基板表面12の脱保護が行われてもよい。これは、膜15を堆積した後に表面からシリルエーテル終端を除去することができる任意の適切な方法または技術によって行うことができる。さらなる処理は、同じ処理チャンバまたは異なる処理チャンバ内で行うことができる。
いくつかの実施形態では、シリルアミン曝露の後にALD堆積サイクルが続く。いくつかの間隔で、シリルエーテルがエッチングされ、新しいシリルエーテル層が形成される。いくつかの実施形態では、シリルエーテルは、約300回以下のALDサイクル、または200回以下のALDサイクル、または100回以下のALDサイクル、または75回以下のALDサイクルまたは50回以下のALDサイクルの後にエッチングされる。1つ以上の実施形態では、エッチングプロセスは、100回のALD堆積サイクル毎に実行され、続いて、堆積を続ける前に、シリルアミンで再び処理される。
いくつかの実施形態では、プロセスはバッチ処理チャンバ内で行われる。例えば、1つ以上のウェハが回転ホルダ(「プラテン」)上に配置される回転プラテンチャンバ内で。プラテンが回転すると、ウェハは様々な処理領域の間を移動する。例えば、ALDにおいて、処理領域は、ウェハを前駆体および反応物に曝露する。さらに、プラズマ曝露が、膜成長を促進するために膜または表面を適切に処理するため、または望ましい膜特性を得るために有用であり得る。
本開示のいくつかの実施形態は、第1の表面および第2の表面を有する基板を単一の処理チャンバ内で処理し、チャンバの第1の部分において、基板表面がシリルアミンに曝露される。次いで、基板を処理チャンバの第2の部分および/または処理チャンバの後続部分へと回転させて、膜を堆積させることができる。いくつかの実施形態では、シリルエーテル終端を除去できる処理チャンバの別の部分に基板をさらに回転または移動させることができる。処理チャンバの部分または領域のそれぞれまたはいずれかを分離するために、ガスカーテンを使用することができる。ガスカーテンは、処理領域間にパージガスおよび真空ポートのうちの1つ以上を提供して、反応性ガスが1つの領域から隣接する領域に移動するのを防止する。いくつかの実施形態では、基板は、1つより多い処理領域に同時に曝露され、基板の1つの部分が、第1の領域に(例えば、シリルアミン曝露のために)あり、同時に基板の別の部分が、処理チャンバの別個の領域にある。
本開示の実施形態は、線形処理システムまたは回転処理システムのいずれかと共に使用することができる。線形処理システムでは、プラズマがハウジングを出る領域の幅は、前面の全長にわたって実質的に同じである。回転処理システムでは、ハウジングは、一般に「パイ形」または「くさび形」であってもよい。くさび形のセグメントでは、プラズマがハウジングを出る領域の幅は、パイ形状に一致するように変化する。本明細書および添付の特許請求の範囲で使用される「パイ形」および「くさび形」という用語は、概して扇形である物体を記述するために交換可能に使用される。例えば、くさび形のセグメントは、円または円盤状の物体の一部分であり、切り取られた点を有することができる。パイ形のセグメントの内側端部は、点になることができ、または平坦な端部に切り取ることができ、または丸くすることができる。基板の経路は、ガスポートに対して垂直であり得る。いくつかの実施形態では、ガスインジェクタアセンブリのそれぞれが、基板によって通過される経路に対して実質的に垂直な方向に延びる複数の細長いガスポートを含む。本明細書および添付の特許請求の範囲で使用される「実質的に垂直」という用語は、基板の移動の大体の方向がガスポートの軸に対してほぼ垂直(例えば、約45°〜90°)な平面に沿っていることを意味する。くさび形のガスポートの場合、ガスポートの軸は、ポートの長さに沿って延びる、ポートの幅の中間点として定義されるラインとみなすことができる。
本開示の追加の実施形態は、複数の基板を処理する方法に関する。複数の基板が、処理チャンバ内の基板支持体上にローディングされる。基板支持体を回転させて、複数の基板の各々をガス分配アセンブリに通して、基板表面をシリルアミンに曝露し、基板上に膜を堆積させ、任意選択でシリルエーテル層を除去する。
カルーセルの回転は、連続的でも不連続的でもよい。連続処理では、ウェハは常に回転しており、各インジェクタに順に曝露される。不連続処理では、ウェハをインジェクタ領域に移動して停止させ、次にインジェクタ間の領域に移動して停止させることができる。例えば、カルーセルは、ウェハがインジェクタ間領域からインジェクタを横切って(またはインジェクタに隣接して停止する)、次のインジェクタ間領域に移動して、カルーセルが再び停止することができるように、回転することができる。インジェクタ間の停止は、各層堆積(例えば、プラズマへの曝露)の間での追加の処理のための時間を提供し得る。プラズマの周波数は、使用されている特定の反応種に依存して調整されてもよい。適切な周波数には、400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHzおよび100MHzが含まれるが、これらに限定されない。
1つ以上の実施形態によれば、基板は、層を形成する前および/または後に処理される。この処理は、同じチャンバ内または1つ以上の別個の処理チャンバ内で行うことができる。いくつかの実施形態では、基板は、さらなる処理のために、第1のチャンバから別個の第2のチャンバに移動される。基板は、第1のチャンバから別個の処理チャンバへ直接に移動させることができ、または基板は、第1のチャンバから1つ以上の移送チャンバに移動させ、次いで、別個の処理チャンバに移動させることができる。したがって、処理装置は、移送ステーションと連通する複数のチャンバを備えることができる。この種の装置は、「クラスタツール」または「クラスタシステム」などと呼ばれてもよい。
一般に、クラスタツールは、基板の中心発見および配向、ガス抜き、アニーリング、堆積および/またはエッチングを含む様々な機能を実行する複数のチャンバを含むモジュラーシステムである。1つ以上の実施形態によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバとを含む。中央移送チャンバは、処理チャンバとロードロックチャンバの間で基板を往復させることができるロボットを収容することができる。移送チャンバは、典型的には真空状態に維持され、1つのチャンバから別のチャンバへ及び/又はクラスタツールの前端に配置されたロードロックチャンバへ基板を往復させるための中間ステージを提供する。本開示に適合され得る2つのよく知られたクラスターツールは、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なCentura(登録商標)およびEndura(登録商標)である。1つのそのような段階真空基板処理装置の詳細が、1993年2月16日に発行されたTepman et al.,「Staged−Vacuum Wafer Processing Apparatus and Method」と題された米国特許第5,186,718号に開示される。しかし、チャンバの正確な配置および組み合わせは、本明細書に記載されるように、プロセスの特定のステップを実行する目的で変更されてもよい。使用され得る他の処理チャンバには、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、水酸化および他の基板プロセスが含まれるが、これらに限定されない。クラスタツール上のチャンバ内でプロセスを実行することにより、大気中の不純物による基板の表面汚染を、後続の膜を堆積させる前に酸化することなく回避することができる。
1つ以上の実施形態によれば、基板は、連続的に真空または「ロードロック」状態にあり、1つのチャンバから次のチャンバに移動するときに周囲空気に曝露されない。したがって、移送チャンバは真空下にあり、真空圧下で「ポンプダウン」される。不活性ガスが、処理チャンバまたは移送チャンバ内に存在してもよい。いくつかの実施形態では、不活性ガスがパージガスとして用いられて、基板の表面上に層を形成した後に反応物の一部または全部を除去する。1つ以上の実施形態によれば、パージガスが堆積チャンバの出口に注入されて、反応物が堆積チャンバから移送チャンバおよび/または追加の処理チャンバへ移動するのを防止する。したがって、不活性ガスの流れは、チャンバの出口でカーテンを形成する。
処理中、基板を加熱または冷却することができる。このような加熱または冷却は、限定はしないが、基板支持体(例えば、サセプタ)の温度を変化させることと、加熱または冷却されたガスを基板表面に流すこととを含む任意の適切な手段によって達成することができる。いくつかの実施形態では、基板支持体は、基板温度を伝導的に変化させるように制御することができるヒータ/冷却器を含む。1つ以上の実施形態では、使用されているガス(反応性ガスまたは不活性ガスのいずれか)が、基板温度を局所的に変化させるように、加熱または冷却される。いくつかの実施形態では、基板温度を対流的に変化させるために、ヒータ/冷却器が、基板表面に隣接してチャンバ内に配置される。
基板は、処理中に静止していても回転していてもよい。回転する基板は、連続的に又は離散的なステップで回転させることができる。例えば、基板は、プロセス全体にわたって回転されてもよく、または基板は、異なる反応ガスまたはパージガスへの曝露の間に少量だけ回転されてもよい。処理中(連続的にまたは段階的に)に基板を回転させることは、例えばガス流の幾何学的形状の局所的な変動の影響を最小限に抑えることにより、より均一な堆積またはエッチングをもたらすのに役立ち得る。
トリメチルシリルアミドの調製
トリメチルシリルアミド化合物を調製する1つの方法は、リチウムアミドをトリメチルシリルクロリドで処理することによる塩メタセシスによる方法である。反応スキームを式1に示す。
Figure 2018523289
ここで、Lは、任意のアミンまたは複素環式アミンに等しくすることができる。アミンのいくつかの特定の例は、ジメチルアミン、ジエチルアミン、ピロリジン、ピラゾールおよびピロールである。
1−トリメチルシリルピロリジンの合成
式2は、BL1と呼ばれる1−トリメチルシリルピロリジンの合成スキームを示す。ピロリジン6.5mL(80mmol)をヘキサン250mLに溶解し、溶液を−78℃に冷却した。この溶液に、ヘキサン中1.6M n−ブチルリチウム50mL(80mmol)を、15分かけて滴下した。得られた反応混合物を室温にゆっくりと到達させ、30分間撹拌した。次いで、反応混合物を再び−78℃に冷却し、トリメチルシリルクロリド10.1mL(80mmol)を含むヘキサン溶液20mLを、10分かけて加えた。反応混合物を室温にゆっくりと到達させ、12時間撹拌した。白色沈殿物を不活性雰囲気下で濾過し、ヘキサンを真空下で蒸発させた。生成物を110℃、760トルで無色液体として蒸留で生成した。収量:8.9g(77.5%)。HNMR(400.18MHz,C)2.87(m,4H),1.57(m,4H),0.11(s,9H)ppm;13CNMR(100.64MHz,C)1.08ppm。
Figure 2018523289
1−トリメチルシリルピロールの合成
式3は、BL2とも呼ばれる1−トリメチルシリルピロールの合成スキームを示す。まず、ピロール5.55mL(80mmol)をヘキサン250mLに溶解し、溶液を−78℃に冷却した。この溶液に、ヘキサン中1.6M n−ブチルリチウム50mL(80mmol)を、15分かけて滴下した。得られた反応混合物を室温にゆっくりと到達させ、30分間撹拌した。次いで、反応混合物を再び−78℃に冷却し、トリメチルシリルクロリド10.1mL(80mmol)のヘキサン溶液20mLを、10分かけて加えた。反応混合物を室温にゆっくりと到達させ、12時間撹拌した。白色沈殿物を不活性雰囲気下で濾過し、ヘキサンを真空下で蒸発させた。生成物を130℃、760トルで無色液体として蒸留で生成した。収量:8.7g(78.2%)。HNMR(400.18MHz,C)6.74(s,2H),6.54(s,2H),0.07(s,9H)ppm;13CNMR(100.64MHz,C)123.47,112.20,−0.01ppm。質量分析:[M+H]についてのm/Zの計算値140.1、実測値140.2。
Figure 2018523289
3,5−ジメチル−1−トリメチルシリルピラゾールの合成
式4は、BL3とも呼ばれる3,5−ジメチル−1−トリメチルシリルピラゾールの合成スキームを示す。3,5−ジメチルピラゾール7.7g(80mmol)をヘキサン250mLに溶解し、溶液を−78℃に冷却した。この溶液に、ヘキサン中1.6M n−ブチルリチウム50mL(80mmol)を、15分かけて滴下した。得られた反応混合物を室温にゆっくりと到達させ、30分間撹拌した。次いで、反応混合物を再び−78℃に冷却し、トリメチルシリルクロリド10.1mL(80mmol)のヘキサン溶液20mLを、10分かけて加えた。反応混合物を室温にゆっくりと到達させ、12時間撹拌した。白色沈殿物を不活性雰囲気下で濾過し、ヘキサンを真空下で蒸発させた。生成物を70℃、20トルで無色液体として蒸留で生成した。収量:9.4g(70.0%)。HNMR(400.18MHz,C)5.80(S,1H),2.30(S,3H),2.00(s,3H),0.32(s,9H)ppm;13CNMR(100.64MHz,C)151.97,146.04,108.40,32.54,23.63,1.02ppm。
Figure 2018523289
HNMRおよび13CNMRによる、合成調製、特性評価および特性は、良好な純度および高い収率を示した。TGA分析によれば、これらの分子は揮発性で(最高蒸発速度は90〜137℃であった)、熱的に安定であり、残留物が〜0%であった。
実施例
トリメチルシリルアミドを、350℃においてBL1で気相において基板(Si(H)、SiO 1K、Si(自然酸化膜))を処理することによって、ブロッキング層として試験した。次に同じ温度でSiNのALDを行った。使用したケイ素前駆体は四臭化ケイ素であり、窒素含有前駆体はアンモニア(30T)を含んでいた。合計100サイクル行った。表1は、BL1での様々な長さの2.4トルソークの結果を示す。表2は、30秒間の5トルソークの結果を示す。
Figure 2018523289
Figure 2018523289
BL1を前処理として使用しなかった場合、Si(H)、SiO 1KおよびSi(自然酸化膜)に関して選択性がほとんど観察されなかった。2Tで1分より長いBL1ソーク時間が、非常に良好な選択性を可能にした。接触角測定によれば、SiOおよびSi(自然酸化膜)基板上に堆積されたSiNはほとんどまたは全くなかった。これは、Si(H)上の親水性対SiOおよびSi(自然酸化膜)上の疎水性によって検証された。30秒のBL1ソーク時間で、エリプソメトリに基づいていくらかの選択性が依然として存在したが、接触角は非常に類似しており、3つの表面すべてにSiNが存在することが示唆される。圧力を30秒間5Tに上昇させると、選択性が回復した。
サイクル数の関数としてのBL1の選択性も評価した。結果を表3にまとめた。100サイクル後、〜8.6(Si(H)対SiO)の選択性が観察された。100サイクル後、選択性は低下するように見えた。いかなる特定の作用理論にも束縛されるものではないが、BL1ブロッキング層は約100サイクル続く核形成遅延を促進すると考えられる。
Figure 2018523289
上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の及びさらなる実施形態を考え出すことができ、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 膜を堆積させる方法であって、
    水酸基終端表面を含む第1の基板表面と、水素終端表面を含む第2の基板表面とを含む基板を準備することと、
    前記基板をシリルアミドに曝露して、前記水酸基終端表面と反応させて、シリルエーテル終端表面を形成することと、
    前記基板を1種以上の堆積ガスに曝露して、前記シリルエーテル終端表面上よりも第2の基板表面上に選択的に膜を堆積させることと
    を含む方法。
  2. 前記シリルアミドが、有機シリルアミドを含む、請求項1に記載の方法。
  3. 前記有機シリルアミドが、実質的に炭素および/または窒素原子にのみ結合したケイ素原子を含む、請求項2に記載の方法。
  4. 前記有機シリルアミドが、実質的にSi−H結合もSi−OH結合も含まない、請求項2に記載の方法。
  5. 前記有機シリルアミドが、トリメチルシリルアミド、トリエチルシリルアミド、エチルジメチルシリルアミドおよび/またはジエチルメチルシリルアミドのうちの1種以上を含む、請求項2に記載の方法。
  6. 前記シリルアミドが、ピロリジン、ピロール、ピラゾール、ジメチルアミン、ジエチルアミン、エチルメチルアミン、環状第二級アミン、飽和環状アミンおよび/または不飽和環状アミンのうちの1種以上を含むアミドを含む、請求項1に記載の方法。
  7. 所定量の膜が堆積された後に、シリルエーテル終端表面をエッチングし、続いてシリルアミンに再曝露してシリルエーテル終端表面を再形成し、追加の膜堆積を行うことをさらに含む、請求項1から6のいずれか一項に記載の方法。
  8. 前記シリルエーテル終端表面が、100回以下のALD堆積サイクル後にエッチングされ、再形成される、請求項7に記載の方法。
  9. 前記膜が、SiNを含む、請求項1から6のいずれか一項に記載の方法。
  10. 前記膜が、ケイ素含有ガスおよび窒素含有ガスへの順次的な曝露を含む原子層堆積によって堆積される、請求項9に記載の方法。
  11. 前記ケイ素含有ガスが、シラン、ジシラン、トリシラン、モノクロロシラン、ジクロロシラン、トリクロロシラン、四塩化ケイ素、ヘキサクロロジシラン(HCDS)、ハロゲン化カルボシランのうちの1種以上を含む、請求項10に記載の方法。
  12. 前記窒素含有ガスが、窒素含有プラズマ、アンモニア、アミン、ヒドラジンおよび/または炭窒化物のうちの1種以上を含む、請求項10に記載の方法。
  13. 膜を堆積させる方法であって、
    水酸基終端表面を含む第1の基板表面と、水素終端誘電体を含む第2の基板表面とを含む基板を準備することと、
    前記基板をシリルアミドでソークして、前記水酸基終端表面と反応させて、シリルエーテル終端表面を形成することと、
    前記基板を1種以上の堆積ガスに曝露して、前記第1の基板表面上よりも前記第2の基板表面上に選択的に窒化ケイ素膜を堆積させることと
    を含む方法。
  14. 前記シリルアミドが、実質的に炭素および/または窒素原子にのみ結合したケイ素原子を含み、実質的にSi−H結合もSi−OH結合も有さず、前記シリルアミドが、ピロリジン、ピロール、ピラゾール、ジメチルアミン、ジエチルアミン、エチルメチルアミン、環状第二級アミン、飽和環状アミンおよび/または不飽和環状アミンのうちの1種以上を含むアミドを含む、請求項13に記載の方法。
  15. 前記シリルアミドが、1−トリメチルシリルピロリジン、1−トリメチルシリルピロールおよび/または3,5−ジメチル−1−トリメチルシリルピラゾールのうちの1種以上を含む、請求項1から6、13および14のいずれか一項に記載の方法。
JP2017557135A 2015-05-01 2016-04-29 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積 Active JP6751107B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562155529P 2015-05-01 2015-05-01
US62/155,529 2015-05-01
PCT/US2016/030057 WO2016178978A1 (en) 2015-05-01 2016-04-29 Selective deposition of thin film dielectrics using surface blocking chemistry

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020136639A Division JP7087031B2 (ja) 2015-05-01 2020-08-13 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積

Publications (2)

Publication Number Publication Date
JP2018523289A true JP2018523289A (ja) 2018-08-16
JP6751107B2 JP6751107B2 (ja) 2020-09-02

Family

ID=57204153

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017557135A Active JP6751107B2 (ja) 2015-05-01 2016-04-29 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積
JP2020136639A Active JP7087031B2 (ja) 2015-05-01 2020-08-13 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2020136639A Active JP7087031B2 (ja) 2015-05-01 2020-08-13 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積

Country Status (6)

Country Link
US (2) US9911591B2 (ja)
JP (2) JP6751107B2 (ja)
KR (2) KR102579784B1 (ja)
CN (2) CN107533951B (ja)
TW (2) TWI717260B (ja)
WO (1) WO2016178978A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018137435A (ja) * 2017-02-14 2018-08-30 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP2020155452A (ja) * 2019-03-18 2020-09-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN113423864A (zh) * 2019-02-14 2021-09-21 恩特格里斯公司 氮化硅的选择性沉积
JP2021158165A (ja) * 2020-03-25 2021-10-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11521848B2 (en) 2021-03-18 2022-12-06 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US11848203B2 (en) 2019-12-27 2023-12-19 Kokusai Electric Corporation Methods of processing substrate and manufacturing semiconductor device by forming film, substrate processing apparatus, and recording medium

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
CN108028172B (zh) * 2015-09-19 2022-07-29 应用材料公司 使用硅氢加成钝化的表面选择性原子层沉积
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
TWI700750B (zh) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 用於介電薄膜的選擇性沉積之方法及設備
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP7085561B2 (ja) * 2017-03-17 2022-06-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有表面への選択的堆積
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10355111B2 (en) * 2017-04-26 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition selectivity enhancement and manufacturing method thereof
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US11170993B2 (en) * 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
TWI782021B (zh) * 2017-05-28 2022-11-01 美商應用材料股份有限公司 有機及混合有機無機層的選擇性分子層沉積
KR102331718B1 (ko) 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
TWI722301B (zh) 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
CN110622284B (zh) * 2017-09-12 2023-07-28 应用材料公司 通过化学蚀刻去除选择性沉积缺陷
US11371136B2 (en) 2017-09-19 2022-06-28 Applied Materials, Inc. Methods for selective deposition of dielectric on silicon oxide
US10403504B2 (en) * 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102394352B1 (ko) * 2017-10-06 2022-05-06 어플라이드 머티어리얼스, 인코포레이티드 금속 막들의 선택적 증착을 위한 방법들 및 전구체들
JP6956592B2 (ja) * 2017-10-31 2021-11-02 東京エレクトロン株式会社 シリコン酸化膜を形成する方法および装置
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
SG11202005432RA (en) * 2017-12-17 2020-07-29 Applied Materials Inc Silicide films through selective deposition
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
WO2019229785A1 (ja) * 2018-05-28 2019-12-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
JP6995997B2 (ja) * 2018-07-17 2022-02-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
WO2020016914A1 (ja) * 2018-07-17 2020-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
CN112602169A (zh) * 2018-08-27 2021-04-02 弗萨姆材料美国有限责任公司 在含硅表面上的选择性沉积
US10665715B2 (en) 2018-08-28 2020-05-26 International Business Machines Corporation Controlling gate length of vertical transistors
US10840133B2 (en) * 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with staggered selective growth
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10692755B2 (en) 2018-10-24 2020-06-23 International Business Machines Corporation Selective deposition of dielectrics on ultra-low k dielectrics
US10886462B2 (en) 2018-11-19 2021-01-05 International Business Machines Corporation Encapsulated memory pillars
TWI757659B (zh) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP6953480B2 (ja) * 2019-07-31 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
US20220127717A1 (en) 2020-10-27 2022-04-28 Applied Materials, Inc. Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
TW202235649A (zh) * 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
US11756790B2 (en) * 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
US11702733B2 (en) 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11171932A (ja) * 1997-08-28 1999-06-29 Shipley Co Llc 新規なポリマー及びフォトレジスト組成物
JP2001286768A (ja) * 2000-02-02 2001-10-16 Sumitomo Chem Co Ltd 触媒成型体、該触媒成型体の製造方法及びオキシラン化合物の製造方法
US20060199399A1 (en) * 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
JP2010518644A (ja) * 2007-02-14 2010-05-27 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2574822B2 (ja) * 1987-12-07 1997-01-22 株式会社日立製作所 半導体装置の製造方法
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
CN1171679C (zh) * 2000-02-02 2004-10-20 住友化学工业株式会社 模制催化剂、制造模制催化剂的方法及制造环氧乙烷化合物的方法
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6919636B1 (en) 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US7132360B2 (en) * 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US8029698B2 (en) * 2005-04-19 2011-10-04 The Research Foundation Of State University Of New York Production of photoluminescent silicon nanoparticles having surfaces that are essentially free of residual oxygen
US9651487B2 (en) * 2007-02-26 2017-05-16 Wisconsin Alumni Research Foundation Surface plasmon resonance compatible carbon thin films
US8461026B2 (en) 2007-03-23 2013-06-11 Asahi Kasei Emd Corporation Compound semiconductor lamination, method for manufacturing the same, and semiconductor device
US7763399B2 (en) * 2007-08-31 2010-07-27 Intel Corporation Removal of ionic residues or oxides and prevention of photo-induced defects, ionic crystal or oxide growth on photolithographic surfaces
KR101096031B1 (ko) * 2009-03-31 2011-12-19 한양대학교 산학협력단 자기조립단분자막 형성방법과 이를 이용한 반도체 소자의 구리배선 및 그의 형성방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8230720B2 (en) * 2009-11-19 2012-07-31 Honeywell International Inc. Functionalized monolayers for carbon dioxide detection by a resonant nanosensor
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2012039088A (ja) * 2010-06-17 2012-02-23 Imec シリコン表面をパッシベーションする方法
WO2012002440A1 (ja) * 2010-06-29 2012-01-05 京セラ株式会社 半導体基板の表面処理方法、半導体基板、および太陽電池の製造方法
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US20120213940A1 (en) * 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11171932A (ja) * 1997-08-28 1999-06-29 Shipley Co Llc 新規なポリマー及びフォトレジスト組成物
JP2001286768A (ja) * 2000-02-02 2001-10-16 Sumitomo Chem Co Ltd 触媒成型体、該触媒成型体の製造方法及びオキシラン化合物の製造方法
US20060199399A1 (en) * 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
JP2010518644A (ja) * 2007-02-14 2010-05-27 本田技研工業株式会社 原子層堆積法によりサイズ制御され空間的に分散されるナノ構造の製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018137435A (ja) * 2017-02-14 2018-08-30 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
CN113423864A (zh) * 2019-02-14 2021-09-21 恩特格里斯公司 氮化硅的选择性沉积
JP2022523159A (ja) * 2019-02-14 2022-04-21 インテグリス・インコーポレーテッド 窒化ケイ素の選択的堆積
JP7286780B2 (ja) 2019-02-14 2023-06-05 インテグリス・インコーポレーテッド 窒化ケイ素の選択的堆積
CN113423864B (zh) * 2019-02-14 2023-11-07 恩特格里斯公司 氮化硅的选择性沉积
JP2020155452A (ja) * 2019-03-18 2020-09-24 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11848203B2 (en) 2019-12-27 2023-12-19 Kokusai Electric Corporation Methods of processing substrate and manufacturing semiconductor device by forming film, substrate processing apparatus, and recording medium
JP2021158165A (ja) * 2020-03-25 2021-10-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7254044B2 (ja) 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11521848B2 (en) 2021-03-18 2022-12-06 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Also Published As

Publication number Publication date
KR20160130165A (ko) 2016-11-10
JP6751107B2 (ja) 2020-09-02
US10219373B2 (en) 2019-02-26
TW202043524A (zh) 2020-12-01
TWI717260B (zh) 2021-01-21
US9911591B2 (en) 2018-03-06
WO2016178978A1 (en) 2016-11-10
TWI694167B (zh) 2020-05-21
KR20230132760A (ko) 2023-09-18
US20160322213A1 (en) 2016-11-03
CN107533951B (zh) 2021-10-26
KR102579784B1 (ko) 2023-09-15
JP7087031B2 (ja) 2022-06-20
TW201700768A (zh) 2017-01-01
US20180199432A1 (en) 2018-07-12
CN113936994A (zh) 2022-01-14
CN107533951A (zh) 2018-01-02
JP2020205429A (ja) 2020-12-24

Similar Documents

Publication Publication Date Title
JP7087031B2 (ja) 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積
KR101803245B1 (ko) 실리콘-포함 및 산소-포함 박막을 형성하기 위한 기상 증착 방법
CN108028172B (zh) 使用硅氢加成钝化的表面选择性原子层沉积
US9875889B2 (en) Atomic layer deposition of films comprising Si(C)N using hydrazine, azide and/or silyl amine derivatives
US9177783B2 (en) Substituted silacyclopropane precursors and their use for the deposition of silicon-containing films
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US9957165B2 (en) Precursors suitable for high temperature atomic layer deposition of silicon-containing films
EP3307744A1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
WO2014152826A1 (en) Deposition of films using disiloxane precursors
TWI640651B (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積
EP3307745A1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190415

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200710

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200714

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200813

R150 Certificate of patent or registration of utility model

Ref document number: 6751107

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250