JP7085561B2 - ケイ素含有表面への選択的堆積 - Google Patents

ケイ素含有表面への選択的堆積 Download PDF

Info

Publication number
JP7085561B2
JP7085561B2 JP2019551291A JP2019551291A JP7085561B2 JP 7085561 B2 JP7085561 B2 JP 7085561B2 JP 2019551291 A JP2019551291 A JP 2019551291A JP 2019551291 A JP2019551291 A JP 2019551291A JP 7085561 B2 JP7085561 B2 JP 7085561B2
Authority
JP
Japan
Prior art keywords
silane
sio
pressure
torr
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019551291A
Other languages
English (en)
Other versions
JP2020515713A (ja
Inventor
エー.トッド マイケル
Original Assignee
バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー filed Critical バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー
Publication of JP2020515713A publication Critical patent/JP2020515713A/ja
Application granted granted Critical
Publication of JP7085561B2 publication Critical patent/JP7085561B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02307Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Financial Or Insurance-Related Operations Such As Payment And Settlement (AREA)

Description

関連出願の相互参照
本願は、2017年3月17日出願の米国仮出願第号に対する合衆国法典第35巻第119条e項に基づく優先権を主張するものであり、それらの全体を引用することによって本明細書の内容とする。
本発明は、基材の、第2の表面に対する、第1の表面上への選択的堆積に関する。更には、更なる処理が、第1の表面に対して、第2の表面上へ異なる材料を続いて堆積するのに用いられることができる。
選択性な堆積プロセスは、主には、常に減少する物理的寸法を基にした進歩した半導体装置の製造を可能にすることの当今の石版印刷プロセスの限界のために、大きな勢いを得つつある。伝統的に、マイクロエレクトロニクス工業におけるパターン形成は、種々の石販印刷およびエッチングプロセスを用いて成し遂げられている。しかしながら、石版印刷が指数関数的により複雑で費用がかかるようになってきているので、自己整合性フィーチャを形成するための選択的堆積の使用は、更にいっそう魅力的になってきている。自己整合性ビア構造の製造は、製造可能な選択的堆積プロセスから有意に利益を得るであろう。選択的堆積の他の潜在的な用途は、間隙の充填である。間隙の充填では、誘電体の「充填」膜が、トレンチの底部から頂部に向けて選択的に成長される。選択的堆積は、他の用途、例えば選択的側壁堆積に用いることができ、そこでは膜は3次元のFIN-FET構造の暴露された表面上に選択的に堆積される。このことは、複雑なパターン形成工程の必要なしに、側壁スペーサの堆積を可能にさせる。ゲート誘電体およびキャパシタ誘電体として用いられる金属および金属酸化物膜の選択的堆積プロセスはまた、半導体装置製造において非常に有用であろう。
露出された多数の、異なる化学的表面を有するウエハ上の表面不動態コーティングの選択的形成に関する技術的な文献の中に多くの先行する例が存在する。このことは、ALDプロセスによってそれらの不動態化された表面上への膜の堆積を遅延させるまたは防止するとの目的でなされているが、しかしながらALD堆積プロセスが膜を堆積することが望まれる表面上への堆積は妨げない。一般に、プロセスの選択性は、表面の不完全な不動態化のために、および/または、ALD前駆体分子の物理吸着およびそれに続く、不動態層自体の中もしくは堆積が望まれない表面へのALD膜材料の形成のために、十分ではなかった。
本発明は、先行技術の限界を克服し、そしてALD堆積プロセスを用いた薄膜材料の選択的堆積のための向上した方法を提供することを探求する。
本開示の1つもしくは2つ以上の態様は、膜を堆積させる方法に向けられている。ヒドロキシル末端の表面を含む第1の基材表面および水素末端の表面を含む第2の基材表面を含む基材が提供される。この基材は、複数の処理工程に暴露されて、水素末端の表面に対して、ヒドロキシル末端の表面を選択的に変質させるが、それは、水素末端の表面上に膜が選択的に堆積される、続いて起こる膜堆積工程の間に、ヒドロキシル末端を非反応性に、またはより反応性でなくさせるためである。
最も広い態様では、本発明は、選択的な膜堆積のための基材の表面を調製する方法を提供し、基材の表面は、SiOおよび初期濃度の表面ヒドロキシル基を含む第1の表面ならびにSiHを含む第2の表面を含みそして、この方法は、以下の工程、この基材を湿式の化学組成物に接触させて初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基を含む処理された基材を得る工程、および処理された基材を、約200℃~約600℃の温度に加熱する工程、ここでこの加熱工程は、基材の表面上で、第1の表面の表面ヒドロキシル基の少なくとも一部を表面シロキサン基へと変換する、を含んでいる。
他の態様では、本発明は、気相反応によって、基材の表面を選択的に不動態化するための方法を提供し、この基材の表面は、少なくともSiOおよび初期濃度の表面ヒドロキシル基を含む第1の表面およびSiHを含む第2の表面を含んでおり、この方法は、以下の工程、基材を湿式の化学組成物に接触させて、初期濃度の表面ヒドロキシル基に対して、増加された濃度の表面ヒドロキシル基を含む処理された基材を得る工程、この処理された基材を約200℃~約600℃の温度および10 -10 Torr~3000Torrの圧力で加熱する工程、ここでこの加熱工程は、基材の表面上で、第1の表面上の表面ヒドロキシル基の少なくとも一部を、表面シロキサン基へと変換する、この基材を、この加熱工程以下の温度で、式Iおよび式IIからなる群から選択されるケイ素含有化合物に暴露する工程、を含んでいる。
Figure 0007085561000001
式中、R、RおよびRは、それぞれ独立してH、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Rは、C~C18アルキル基、分岐C~C10アルキル基、C~C10ヘテロ環式基およびC~C10アリール基から選択され、Rは、結合、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Xは、NR、Cl、F、Br、I、-OCHおよび-OHから選択され、ここでRおよびRは、それぞれ独立してH,C~C直鎖アルキル基およびC~C分岐アルキル基から選択され、そしてnおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11であり、ここでケイ素含有化合物は、第1の表面の表面ヒドロキシル基と反応して、シリルエーテル末端の表面を形成して、そしてそれによって表面を不動態化する。
他の態様では、本発明は、基材の表面上に膜を選択的に堆積させる方法を提供し、基材の表面は、SiOおよび初期濃度の表面ヒドロキシル基を含む第1の表面およびSiHを含む第2の表面を含んでおり、この方法は、以下の工程、この基材に湿式の化学組成物を接触させて、初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基を含む処理された基材を得る工程、この処理された基材を、約200℃~約600℃の温度および10 -10 Torr~3000Torrの圧力で加熱する工程、ここでこの加熱工程は、基材の表面上で、第1の表面上の表面ヒドロキシル基の少なくとも一部を、表面シロキサン基へと変換する、この基材を加熱工程以下の温度に暴露して、式Iおよび式IIからなる群から選択されるケイ素含有化合物に暴露する工程、
Figure 0007085561000002
式中、R、RおよびRは、それぞれ独立してH、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Rは、C~C18アルキル基、分岐C~C10アルキル基、C~C10ヘテロ環式基およびC~C10アリール基から選択され、Rは、結合、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Xは、NR、Cl、F、Br、I、-OCHおよび-OHから選択され、ここでRおよびRは、それぞれ独立してH,C~C直鎖アルキル基およびC~C分岐アルキル基から選択され、そしてnおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11であり、ここでケイ素含有化合物は、第1の表面の表面ヒドロキシル基と反応して、シリルエーテル末端の表面を形成して、そしてそれによって表面を不動態化する、ならびにこの基材を、1種もしくは2種以上の堆積ガスに暴露して、第1の表面に対して、第2の表面に選択的に膜を堆積させる工程、を含んでいる。
本発明の態様は、単独で、または互いに組み合わせて用いることができる。
図1は、本発明の加熱処理工程の基材表面上への効果を示している。
図2は、本発明による不動態化された表面の図である。
図3は、本発明による湿式の化学暴露工程の後の基材表面のTOF-SIMSスペクトルである。
図4は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフならびに対応するHO信号の損失を示すQMSスペクトルである。
図5は、熱暴露前および後の基材表面についてのTOF-SIMSによって測定されたSiOHの正規化された強度を示すグラフである。
図6は、本発明の態様による湿式の化学暴露工程の後の基材表面のTOF-SIMSスペクトルである。
図7は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフである。
図8は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフならびに対応するHO信号の損失を示すQMSスペクトルである。
図9は、本発明の態様による湿式の化学暴露工程の後の、および熱処理の後の、基材表面の一連のTOF-SIMSスペクトルである。
図10は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフである。
図11は、受け入れたままの、および本発明の態様による熱処理の後の基材表面の一連のTOF-SIMSスペクトルである。
図12は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフならびにHO信号の損失を示す対応するQMSスペクトルである。
図13は、本発明の態様による湿式の化学暴露工程の後の、および熱処理の後の基材表面の一連のTOF-SIMSスペクトルである。
図14は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフである。
図15は、本発明の態様による湿式の化学暴露工程の後の、および熱処理の後の基材表面の一連のTOF-SIMSスペクトルである。
図16は、熱暴露前および後の基材表面についてのTOF-SIMSによって測定されたSiOHの正規化された強度を示すグラフである。
図17は、本発明の態様における洗浄、熱、および不動態化工程の後の種々の基材表面の平均の接触角をプロットするグラフである。
図18は、本発明の態様における洗浄、熱、および不動態化工程の後の種々の基材表面の平均の接触角をプロットするグラフである。
図19は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフである。
図20は、本発明の態様による湿式の化学暴露工程の後の、および熱処理の後の、基材表面の一連のTOF-SIMSスペクトルである。
図21は、本発明の態様による湿式の化学暴露工程(熱処理なし)の後の基材表面の一連のTOF-SIMSスペクトルである。
図22は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフである。
図23は、本発明の態様による湿式の化学暴露工程の後の、および熱処理の後の、基材表面の一連のTOF-SIMSスペクトルである。
図24は、本発明の態様による湿式の化学暴露工程(熱処理なし)の後の基材表面の一連のTOF-SIMSスペクトルである。
図25は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフである。
図26は、熱処理の後の受け入れたままの基材表面の、および本発明の態様による湿式の化学処理プラス熱処理の後の基材の、一連のTOF-SIMSスペクトルである。
図27は、熱処理の後の受け入れたままの基材表面の、および本発明の態様による湿式の化学処理プラス熱処理の後の基材の、一連のTOF-SIMSスペクトルである。
図28は、本発明の態様による湿式の化学処理および熱処理の後の基材のTOF-SIMSスペクトルである。
図29は、本発明の態様による受け入れたままプラス熱処理(湿式の化学暴露なし)の基材表面のTOF-SIMSスペクトルである。
図30は、本発明の態様による湿式の化学暴露および熱処理の後の基材表面のTOF-SIMSスペクトルである。
図31は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフである。
図32は、熱処理の後の受け入れたままの基材表面の、および本発明の態様による湿式の化学処理プラス熱処理の後の基材の、一連のTOF-SIMSスペクトルである。
図33は、熱処理なしで受け入れたままの基材表面の、および本発明の態様によるこれも熱処理なしで湿式の化学処理の後の基材の、一連のTOF-SIMSスペクトルである。
図34は、熱処理ありで受け入れたままの基材表面の、および本発明の態様による熱処理ありの湿式の化学処理の後の基材の、一連のTOF-SIMSスペクトルである。
図35は、熱処理なしで受け入れたままの基材表面の、および本発明の態様によるこれも熱処理なしの湿式の化学処理の後の基材の、一連のTOF-SIMSスペクトルである。
図36は、本発明の態様による熱処理工程についての時間に対する温度プロファイルを示すグラフである。
図37は、熱処理ありで受け入れたままの基材表面の、および本発明の態様によるこれも熱処理ありの湿式の化学処理の後の基材の、一連のTOF-SIMSスペクトルである。
図38は、熱処理なしで受け入れたままの基材表面の、および本発明の態様によるこれも熱処理なしの湿式の化学処理の後の基材の、一連のTOF-SIMSスペクトルである。
ここに引用された文献、特許出願および特許を含む全ての参照文献は、それぞれの参照文献が、個々にそして参照することによって本明細書の内容とすると具体的に示され、そしてその全体をここに説明されているのと同様に、参照することによって、本明細書の内容とされる。
本発明を説明する文脈における(特には添付の特許請求の範囲の文脈における)用語「a」および「an」および「the」および同様の指示語の使用は、特に断りのない限り、または文脈によって明確に否定されない限り、単数および複数の両方を包含すると理解されなければならない。「含む(comprising)」、「有する(having)」、「含む(including)」および「含む(containing)」は、特に断りのない限り、開放型の用語と理解されなければならない(すなわち、「含むが、しかしながら限定されない」を意味する)。ここでの値の範囲の記載は、特に断りのない限り、その範囲内に入るそれぞれの個別の値を個々に表す略記法として作用することが意図されており、そしてそれぞれの個別の値は、それが個々に本明細書に記載されているように、本明細書中に組み込まれる。ここに記載された全ての方法は、特に断りのない限り、または文脈によって明確に否定されない限り、いずれかの好適な順序で実施されることができる。ここに提供されるいずれかの、そして全ての例、または例示の用語(例えば、「例えば」)は、本発明をよりよく明らかにすることだけが意図されており、そして特に断りのない限り、本発明の範囲に限定を加えるものでない。本明細書中の用語は、本発明の実施に本質的ないずれかの特許請求されない要素を示すと理解されてはならない。
本発明を実施するための本発明者らに知られたベストモードを含む本発明の好ましい態様が、ここに記載されている。これらの好ましい態様の変形が、以下の説明を読むことによって、当業者には明らかとなるであろう。本発明者らは、当業者が、そのような変形を必要に応じて用いることを想定しており、そして本発明者らは、本発明が、ここに具体的に記載されたのとは違ったように実施されることを意図している。したがって、本発明は、適用可能な法律によって許容される限り、ここに添付された特許請求の範囲に記載された主題の全ての変更および等価物を含んでいる。更には、その全ての可能性のある変形の中の上記の要素のいずれかの組み合わせは、特に断りのない限り、または文脈によって明確に否定されない限り、本発明によって包含される。
選択性堆積に用いることができる種々の方法が存在する。本開示の態様は、2つの異なる表面の表面化学を利用することによる表面の不活性化を用いる方法に向けられている。2つの異なる表面は異なる反応箇所を有するので、この差異を、一方の表面と反応し、そして他の表面とは反応しない分子を用いることによって、利用することができる。
本明細書および添付の特許請求の範囲で用いられる用語「基材」および「ウエハ」は、交換可能に用いられ、両方が、その上にプロセスが作用する表面、または表面の一部を表している。また、当業者には、基材への言及はまた、文脈から明確に別のように示されていない限り、基材の一部のみを表すことができることが理解されなければならない。更には、基材上への堆積への言及は、被覆されていない基材および、その上に堆積あるいは形成された1種もしくは2種以上の膜またはフィーチャを有する基材の両方を意味することができる。
ここに用いられた「基材」は、いずれかの基材または、基材上に形成された材料の表面を表し、製造プロセスの間に、その上に膜の処理が行われる。例えば、その上に処理を行うことができる基材表面としては、用途に応じて、例えばケイ素、酸化ケイ素、ストレインドシリコン、絶縁体上シリコン(SOI)、炭素ドープ酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、およびいずれかの他の材料、例えば金属、金属窒化物、金属合金、および他の伝導性材料、が挙げられる。基材としては、限定するものではないが、半導体ウエハが挙げられる。基材は、基材表面を研磨する、エッチングする、還元する、酸化する、ヒドロキシル化する、アニールする、および/または焼成するために、前処理プロセスに暴露することができる。基材自体の表面上への直接の膜の処理に加えて、本開示においては、開示されたいずれかの膜の処理工程はまた、以下により詳細に開示される基材上に形成された下層へ実施されることができ、そして用語「基材表面」は、文脈で示されるところでは、そのような下層を含むことが意図されている。従って、例えば、膜/層または部分的な膜/層が基材表面上に堆積されている場合には、新たに堆積された膜/層の暴露された表面が、基材表面となる。与えられた基材表面が何を含むかは、どのような膜が堆積されたか、ならびに用いられた具体的な化学物質による。1つもしくは2つ以上の態様では、第1の基材表面は、金属を含み、そして第2の基材表面は、誘電体を含む、あるいはその逆である。いくつかの態様では、基材表面は、特定の官能基(例えば、-OH、-NHなど)を含むことができる。
同様に、ここに記載された方法で用いることができる膜は、極めて多様である。いくつかの態様では、これの膜は、金属を含む、または金属から本質的になることができる。金属膜の例としては、限定するものではないが、コバルト(Co)、銅(Cu)、ニッケル(Ni)、タングステン(W)などが挙げられる。いくつかの態様では、膜は誘電体を含んでいる。例としては、SiO、SiN、HfOなどが挙げられる。
本明細書および添付の特許請求の範囲において用いられる用語「反応性ガス」、「前駆体」、「反応物」などは交換可能に用いられ、基材表面と反応性である種を含むガスを意味している。例えば、第1の「反応性ガス」は、単純に基材の表面上に吸着され、そして第2の反応性ガスとの更なる化学反応に利用可能であることができる。
本開示の態様は、膜、例えば金属膜、を基材の1つの表面上へ、同じ基材の第2の表面に対して選択的に堆積させる方法を提供する。本明細書および添付の特許請求の範囲で用いられる用語「他の表面に対して、1つの表面上に膜を選択的に堆積させる」などは、第1もしくは第2の表面の一方が、不動態化された層上への堆積を実質的に防止するように不動態化されており、そして膜が第2の(不動態化されていない)表面上に堆積されることを意味している。この点で用いられる用語「に対して」は、1つの表面が他の表面の上面の上にという物理的な位置を意味するのではなく、むしろ1つの表面の、他の表面に対する、化学反応の熱力学的または動力学的性質の関係である。例えば、コバルト膜を誘電体表面に対して銅表面上に選択的に堆積させることは、コバルト膜が銅表面上に堆積され、そして誘電体表面上へはコバルト膜はほとんど堆積されないか、もしくは全く堆積されないこと、あるいは銅表面上へのコバルト膜の形成は、誘電体表面上へのコバルト膜の形成に対して熱力学的に、または動力学的に有利であること、を意味している。
幾つか場合には、材料を基材の1つの表面上に、第2の、同じ基材の異なる表面に対して、選択的に堆積することが望ましい。例えば、選択的堆積は、キャッピング層、バリア層、エッチング停止層、犠牲層および/または例えば、多孔質の低k材料において、細孔をシールするための保護層を形成するのに用いることができる。
ここに記載されたプロセスを用いることで、いくつかの態様では、Ni、Ti、FeまたはCoを含む材料、例えばNi金属、窒化ニッケルもしくはNiN、コバルト、鉄または酸化チタン構造が、SiO系の表面、およびここに記載された他の表面上に選択的に成長されることができる。ここで用いられる、窒化ニッケルまたはNiNは、少なくともいくつかのNi-N結合を含む材料を表している。
幾つかの態様では、第1の材料、例えばNi、Ti、FeまたはCoを含む材料、例えばニッケル、窒化ニッケルもしくはNiN、コバルト、鉄または酸化チタン膜が、1つの表面上に、第2の異なる表面に対して、選択的に堆積されることができる。例えば、ニッケル、窒化ニッケル、コバルト、鉄または酸化チタン膜が、低k絶縁体表面、例えば例えば酸化ケイ素もしくは窒化ケイ素の形態の、酸化物もしくは窒化物表面、上に、第2の表面、例えば同じ基材のH末端の表面に対して、選択的に堆積されることができる。
幾つかの態様では、その上に選択的堆積が起こる表面は、AH末端を含んでおり、ここでAは、N、OまたはSの1つもしくは2つ以上であり、そしてxは1~2である。いくつかの態様では、この表面は、OH末端を含んでいる。いくつかの態様では、この表面は、NH末端化された表面、例えば-NHまたは-NH末端化された表面である。幾つかの態様では、この表面はSH末端化された表面である。
幾つかの態様では、第1の表面は、誘電体表面、例えばSiO表面または酸窒化ケイ素表面である。幾つかの態様では、第1の表面は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、フッ素化シリカグラス(FSG)、炭素ドープ酸化ケイ素(SiOC)および/または約50%超の酸化ケイ素を含む材料を含むことができる。幾つかの態様では、第1の表面は、OH基を含み、そして例えば-OH表面基を有するアルミナ(Al)表面を含むことができる。
幾つかの態様では、第2の表面は、-SiH、-SiH、または-SiH表面である。幾つかの態様では、第2の表面は、シリコンの未変性の酸化物をエッチングすることによって形成され、そして第2の表面はSi-H結合を含んでいる。幾つかの態様では、第2の表面は、純粋なシリコン表面またはシリコン(100)配向表面である。
最も広い態様では、本発明は、選択的な膜堆積のための基材の表面を調製する方法を提供し、基材の表面は、SiOおよび初期濃度の表面ヒドロキシル基を含む第1の表面ならびにSiHを含む第2の表面を含み、そして、この方法は、以下の工程、この基材を湿式の化学組成物に接触させて初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基を含む処理された基材を得る工程、および処理された基材を、約200℃~約600℃の温度に加熱する工程、ここでこの加熱工程は、基材の表面上で、第1の表面の表面ヒドロキシル基の少なくとも一部を表面シロキサン基へと変換する、を含んでいる。ここで用いられる記載「SiHを含む表面」は、上記で規定されたAH末端を含んでいる。
他の態様では、本発明は、気相反応によって、基材の表面を選択的に不動態化するための方法を提供し、この基材の表面は、少なくともSiOおよび初期濃度の表面ヒドロキシル基を含む第1の表面ならびにSiHを含む第2の表面を含んでおり、この方法は、以下の工程、基材を湿式の化学組成物に接触させて、初期濃度の表面ヒドロキシル基に対して、増加された濃度の表面ヒドロキシル基を含む処理された基材を得る工程、この処理された基材を約200℃~約600℃の温度および10 -10 Torr~3000Torrの圧力で加熱する工程、ここでこの加熱工程は、基材の表面上で、第1の表面上の表面ヒドロキシル基の少なくとも一部を、表面シロキサン基へと変換する、この基材を、この加熱工程以下の温度で、式Iおよび式IIからなる群から選択されるケイ素含有化合物に暴露する工程、を含んでいる。
Figure 0007085561000003
式中、R、RおよびRは、それぞれ独立してH、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Rは、C~C18アルキル基、分岐C~C10アルキル基、C~C10ヘテロ環式基およびC~C10アリール基から選択され、Rは、結合、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Xは、NR、Cl、F、Br、I、-OCHおよび-OHから選択され、ここでRおよびRは、それぞれ独立してH,C~C直鎖アルキル基およびC~C分岐アルキル基から選択され、そしてnおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11であり、ここでケイ素含有化合物は、第1の表面の表面ヒドロキシル基と反応して、シリルエーテル末端の表面を形成して、そしてそれによって表面を不動態化する。
他の態様では、本発明は、基材の表面上に膜を選択的に堆積させる方法を提供し、基材の表面は、SiOおよび初期濃度の表面ヒドロキシル基を含む第1の表面ならびにSiHを含む第2の表面を含んでおり、この方法は、以下の工程、この基材に湿式の化学組成物を接触させて、初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基を含む処理された基材を得る工程、この処理された基材を、約200℃~約600℃の温度および10 -10 Torr~3000Torrの圧力で加熱する工程、ここでこの加熱工程は、基材の表面上で、第1の表面上の表面ヒドロキシル基の少なくとも一部を、表面シロキサン基へと変換する、この基材を加熱工程以下の温度に暴露して、式Iおよび式IIからなる群から選択されるケイ素含有化合物に暴露する工程、
Figure 0007085561000004
式中、R、RおよびRは、それぞれ独立してH、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Rは、C~C18アルキル基、分岐C~C10アルキル基、C~C10ヘテロ環式基およびC~C10アリール基から選択され、Rは、結合、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Xは、NR、Cl、F、Br、I、-OCHおよび-OHから選択され、ここでRおよびRは、それぞれ独立してH,C~C直鎖アルキル基およびC~C分岐アルキル基から選択され、そしてnおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11であり、ここでケイ素含有化合物は、第1の表面の表面ヒドロキシル基と反応して、シリルエーテル末端の表面を形成して、そしてそれによって表面を不動態化する、ならびにこの基材を、1種もしくは2種以上の堆積前駆体に暴露して、第1の表面に対して、第2の表面に選択的に膜を堆積させる工程、を含んでいる。
ここに記載されたそれぞれの方法では、気相反応によって選択的堆積のための基材の表面を調製する方法が提供され、ここではその表面は、SiOおよびSiHを含んでおり、ここで第1の工程は典型的に、しかしながら随意選択的に、その表面を湿式の化学組成物と接触させる工程である。
幾つかの態様では、SiOを含む表面は、誘電体表面、例えばSiO表面および/または酸窒化ケイ素表面である。幾つかの態様では、SiOを含む表面は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、フッ素化シリカガラス(FSG)、炭素ドープ酸化ケイ素(SiOC)および/または約50%超の酸化ケイ素を含む材料を含むことができる。幾つかの態様では、SiOを含む表面は、-OH基を含み、そして例えば-OH表面基を有するアルミナ(Al)を含むことができる。
幾つかの態様では、SiHを含む表面は、-SiH、-SiH、または-SiH表面である。幾つかの態様では、SiHを含む表面は、純粋なケイ素表面またはSi(100)表面である。
上記のように、第1の表面は、初期濃度の表面ヒドロキシル基を含んでいる。典型的には、表面ヒドロキシル基の濃度は、当技術分野でよく知られている技術、例えば飛行時間型二次イオン質量分析(TOF-SIMS)によって定量することができる。好ましい態様では、表面ヒドロキシル基の初期濃度は、TOF-SIMSにより決定される質量45amuの陽イオン分析の約1.4×10正規化カウントから質量45amuの陽イオン分析の約2.2×10正規化カウントまでである。これは、FTIRによって測定された約4.2OH/nm~4.6OH/nmのヒドロキシル表面濃度に相当する。
本発明の方法は、基材を、湿式の化学組成物に接触させて、初期濃度の表面ヒドロキシル基に対して増加された濃度の表面ヒドロキシル基を含む、処理された基材を得る、随意選択的な工程を含んでいる。随意選択的であるものの、基材の表面は、まず湿式の化学処理で処理されることが好ましい。例示的な湿式の化学処理としては、既知の化学処理、例えばRCA洗浄化学薬品SC-1およびSC-2、HF、過酸化物、HSO/H、NHOH,緩衝HF溶液、およびそれらの混合物が挙げられる。
当技術分野において知られているように、「RCA洗浄化学薬品」は、水酸化アンモニウムおよび過酸化水素混合物を含む組成物を表し、基本的な洗浄手順は、米国のRadio Corporationによって1960年代に開発された。RCA標準洗浄-1(SC-1)手順は、水酸化アンモニウムおよび過酸化水素および約70℃の温度に加熱された水を用いる。SC-1手順で膜が溶解され、そして第I族および第II族の金属が取り除かれる。第I族およびII族の金属は、SC-1溶液中の試薬との錯体化によって取り除かれる。RCA標準洗浄-2(SC-2)手順は、過酸化水素、塩酸、および約70℃の温度に加熱された水の混合物を用いる。SC-2手順で、SC-1手順によって取り除かれなかった金属を除去する。
湿式化学洗浄の目的には2つの面がある。第1には、湿式化学工程は、表面から不純物を取り除いて、Si(100)上に成長した薄い酸化物を除去し、そしてそれを水素末端に置き換え、一方でSiO表面上の-OH表面末端をかなりの程度防止する。そのようなプロセスは、当業界内では一般的であり、そして当業者に知られている方法を用いて、所望の性質を有する清澄な表面を生じるように最適化されることができる。次いで、湿式化学洗浄はまた、表面を湿式の化学薬品に接触する前の表面ヒドロキシル基の濃度に対して、表面ヒドロキシル基の濃度を増加させる。好ましくは、表面ヒドロキシル基の濃度は、初期濃度の約1.1倍から初期濃度の約1.8倍だけ増加し、そして最後に約4.6OH/nmに近づく表面ヒドロキシル濃度に到達する。
湿式の化学薬品と接触させることは、当業者に知られているいずれかの方法、例えば浸漬法またはスプレイ法によって起こる。この接触工程は、1つの分離した工程または2つ以上の工程であることができる。
幾つかの態様では、湿式の化学薬品の接触工程の間の温度は、例えば約50℃~約100℃であることができる。他の態様では、湿式の化学薬品の接触工程の間の温度は、例えば約55℃~約95℃であることができる。他の態様では、湿式の化学薬品の接触工程の間の温度は、例えば約60℃~約90℃であることができる。
単独でまたは湿式の化学薬品との組み合わせで、2つの基材表面の表面ヒドロキシル濃度を、少なくとも約3×1018OH基/mに近づく範囲に増加させるように用いることができるいずれかのプロセスを、完全にヒドロキシル化された表面を提供するように用いることができ、特には同時に水素末端のSi(100)表面を与えるプロセスである。好適なプロセスとしては、プラズマプロセス(水素プラズマ、NH/NFプラズマ、水プラズマ、水/過酸化水素プラズマなど)、湿式化学プロセスおよび/またはそれらの組み合わせ(両方の表面の完全なヒドロキシル化を与え、それに続くSi(100)表面上にSiH表面の形成によって)が挙げられる。
また、本発明の方法は、処理される基材を、約200℃~約600℃、そして好ましくは約200℃~約500℃の温度に加熱する工程を含んでおり、この加熱工程は、基材の表面上で、第1の表面上の表面ヒドロキシル基の少なくとも一部を表面シロキサン基に変換する。湿式化学で洗浄された表面のこの熱「処理」は、1工程または複数の工程を含むことができる。複数の工程の態様では、この熱処理は、1つもしくは2つ以上の別個のプロセス温度で、予め定められた時間に亘って行われることができる。この熱処理は、約50~1200℃の温度範囲、10 -10 Torr~3000Torrの圧力範囲に亘って、キャリアガス/パージガスのあり、またはなしで、10秒間~12時間の範囲の時間に亘って、行うことができる。いずれのプロセスパラメータも、いずれかの単一の工程の熱処理の間に、または複数工程の熱処理のいずれかのサブ工程の間に、予め定められた時間に亘って、予め定められた方法で変更されることができる。加熱は、加熱された表面との熱的接触、加熱ガス流の使用によって、放射加熱(すなわち、ランプ)によって、またはいずれかの他の好適な手段によって達成することができる。加熱は、マルチチャンバクラスター装置の一部である専用の相互接続されたチャンバで行うことができる。複数の、分離した加熱工程が用いられる場合には、それらは、ロードロックを含む複数の専用のチャンバ中で、そして大きな、相互連結されたクラスター装置の一部でもあることができる加熱チャンバ中で、行われることができる。
1つの態様では、加熱工程は、約200℃~約600℃の温度で実施される。他の態様では、加熱工程は、約300℃~約550℃の温度で実施される。更に他の態様では、加熱工程は、約400℃~約500℃の温度で実施される。更に他の態様では、加熱工程は、最初に基材を約200℃未満の温度に加熱し、次いでその温度を約400℃~約500℃に上昇させることによって行われる。
ここに2工程プロセスとして記載されているけれども、同じ結果を、以下に開示された第2の工程の範囲内のより高い温度で初めに行われる単一の工程を用いて得ることが可能である。この熱プロセスの第1の工程では、吸着された水分がSiOの表面から取り除かれて、次の工程における膜堆積の間の望ましくない界面相の形成を防止し、そして暴露されたSiO膜の表面上に非常に再現性のよいヒドロキシル濃縮を与えることを援ける。この第1の分離した熱処理は、約50~250℃の温度範囲に亘って、10 -10 Torr~760Torrの圧力範囲に亘って、1秒間~12時間の範囲の時間に亘って、行われることができる。好ましくは、この温度は、約50~240℃の範囲であり、圧力は、10 -5 Torr~300Torrの範囲であり、そして時間は30秒間~8時間の範囲である。更により好ましくは、この温度は、約50~230℃の範囲、圧力は、10 -4 ~100Torrの範囲、そして時間は1分間~6時間の範囲である。吸着された水分を除去するための第1の工程の条件は、当業者に知られている方法を用いて日常的に最適化されることができる。
特定の理論によって拘束されることは意図しないが、加熱工程の間に、「結合された」表面ヒドロキシル基の一部が、表面からの水分子の除去によって、高温での継続した加熱によって表面シロキサン結合へと変換されることが信じられる。この第2の、分離した熱処理は、約200~約1000℃の温度範囲に亘って、10 -10 Torr~760Torrの圧力範囲に亘って、10秒間~12時間の範囲の時間に亘って、行われることができる。
1つの例では、加熱工程の温度は、約280~約650℃の範囲であり、圧力は10 -5 Torr~300Toorの範囲であり、そして30秒間~10時間である。他の例では、温度は、約300~約550℃の範囲であり、圧力は10 -4 ~100Torrの範囲であり、そして時間は1分間~8時間の範囲である。このプロセスは、当業者に知られている方法を用いて、約3~9Åの範囲内で所望の間隔に等しい平均ヒドロキシル間隔を与える熱処理後の表面ヒドロキシル被覆を得るように日常的に最適化されることができる。
図1を参照して、熱処理の機能は、少なくとも部分的には、ヒドロキシル基を含む表面からヒドロキシル基の一部を取り除くことである。熱処理工程は、いくつかの望ましい方法のいずれか1つで、またはそれらの2つ以上の組み合わせによって行うことができる。それらは、試料が、キャリアガス/パージガスの流れのあり、もしくはなしで、連続的に真空に暴露される動的プロセス条件、試料が、キャリアガス/パージガスの存在のあり、もしくはなしで、予め定められた時間に亘って真空源から分離されている静的なプロセス条件、ならびに、試料が連続的に予め定められた長さの時間に亘ってポンプ排気され、次いで真空源から分離され、そしてそれを含むチャンバの容積が、超高純度のキャリアガスで予め定められた圧力に予め定められた長さの時間に亘って再充填され、その後にその試料体積が、予め定められた長さの時間に亘って基準真空にポンプ排気される、ポンプ-パージプロセス条件を含んでいる。このポンプパージまたはサイクルパージプロセスは、所望の表面ヒドロキシル濃度を得るために所望の回数だけ成し遂げることができる。日常的な実験を、所望の表面ヒドロキシル濃度およびヒドロキシル基間隔を繰り返し生じさせる最適なプロセス条件を決定するのに用いることができる。
単一および2工程プロセスに関して記載したが、望まれるだけ多くの分離した工程を含み、予め定められたプロセス条件および予め定められた長さの時間を備えた、多工程プロセスもまた、本発明の範囲内である。
基材を湿式の化学組成物と接触させて、初期濃度の表面ヒドロキシル基に対して増加された濃度の表面ヒドロキシル基を含む処理された基材を得る工程、および処理された基材を約200℃~約600℃の温度で加熱する工程であって、この加熱工程は、基材の表面上で、第1の表面上の表面ヒドロキシル基の少なくとも一部を、表面シロキサン基へと変換する工程、の上記の工程は、第1の表面を不動態化し、それによって層が第2の表面上に選択的に堆積されるプロセスにおける、次の工程の基礎を提供する。
不動態コーティングを生成させる文脈において示されているけれども、ここでの教示はまた、堆積前駆体分子および膜堆積プロセス条件(ALD用プロセスのためのパージ条件と組み合わされた、温度、圧力、分圧および継続時間(P、T、パージガスおよび継続時間))の適切な選択による膜堆積条件に、Si、O、C、N、Hおよびそれらの組み合わせを含み、ドーパント、例えばホウ素、リン、ヒ素、およびアンチモンを含むSi含有基材表面のための向上した堆積条件を可能とするように、容易に適用されることができる。それらのプロセスは、ここでの教示を組み込むことによって選択的であることができ、または膜堆積および基材の化学的形態に関して非選択的であることができる。ここに開示された方法を用いて、低減された立体障害およびより高い反応性を有する向上した開始SiO表面を提供することによって、多くの膜堆積プロセスが改善されることができる。SiOの特有の場合に示されているけれども、ここに記載されたのと同様の技術を用いた、他の表面の前処理もまた、低堆積温度でのそれらの表面上の膜堆積を向上させることができる。
SiO/Si(100)系に関して記載したけれども、この熱処理工程は、所望の表面の選択的な不動態化および/または活性化を可能にし、そして選択的な膜堆積プロセスを可能とするように、多くの追加の材料について用いることができる。Si(100)、ケイ素含有誘電体および他の材料上に存在する化学的表面末端は、適切な前駆体化学物質および適切な処理を用いることによって、選択的な表面活性化/不動態化によって選択的堆積を可能とするように、同様に変更されることができる。
幾つかの態様では、本発明の方法は、基材を、加熱工程と同じか、またはそれより低い温度で、式Iおよび式IIからなる群から選択されるケイ素含有化合物に暴露する工程を含んでいる。
Figure 0007085561000005
式中、R、RおよびRは、それぞれ独立してH、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Rは、C~C18アルキル基、分岐C~C10アルキル基、C~C10ヘテロ環式基およびC~C10アリール基から選択され、Rは、結合、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Xは、NR、Cl、F、Br、I、-OCHおよび-OHから選択され、ここでRおよびRは、それぞれ独立してH,C~C直鎖アルキル基およびC~C分岐アルキル基から選択され、そしてnおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11であり、ここでケイ素含有化合物は、第1の表面の表面ヒドロキシル基と反応して、シリルエーテル末端の表面を形成して、そしてそれによって表面を不動態化する。
他の態様では、本発明の方法に用いられる化合物は、式Iおよび式IIからなる群から選択される。
Figure 0007085561000006
式中、R、RおよびRは、それぞれ独立してH、C~C18直鎖アルキル基、分岐C~Cアルキル基、C~C18環式もしくは二環式アルキル基、C~C18ヘテロ環式基、C~C18アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Rは、C~C18アルキル基、分岐C~C10アルキル基、C~C10ヘテロ環式基およびC~C10アリール基から選択され、Rは、結合、C~C18直鎖アルキル基、分岐C~C18アルキル基、C~C18環式もしくは二環式アルキル基、C~C10ヘテロ環式基、C~C18アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、Xは、NR、Cl、F、Br、I、-OCHおよび-OHから選択され、ここでRおよびRは、それぞれ独立してH,C~C直鎖アルキル基およびC~C分岐アルキル基から選択され、そしてnおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11である。
上記のように、SiOの不動態化に用いることができる式Iおよび式IIの構造によって表されるケイ素含有前駆体分子の2種類の一般的な分類が存在する。それぞれの分類の化合物は、複数の反応性配位子(すなわち、トリアルコキシまたはトリクロロ種)に依存する従来技術の多くの前駆体とは対照的に、単一の反応性配位子(ハロゲノ、アミノ、アルコキシ、またはシラノール)によって表面ヒドロキシル基に結合するように設計されている。現在は、本発明の単一の反応性の配位子種は、特には、熱処理工程の後にここで提供されるSiO表面上の表面ヒドロキシル基の分布の観点で、より高い表面被覆を有する単層の不動態化層を形成する高い傾向を有することが信じられる。全てのことは、Si-O-Si結合が、不動態化分子をSiOの表面に固定することの観点から、最も有利であるとの概念を基にしており、そして、従って、SiO/Si(100)系のこの説明では、この反応性の配位子を組み込むそれらの原子は、全てSiである。
2つの一般的な「分類」の前駆体分子としては、以下の式Iおよび式IIを有するものが挙げられる。
式I: 表面に結合する1つの固定用原子(モノポーダル分子)、および、
式II: 表面に結合する2つの固定用原子(バイポーダル分子)。
また、更なる態様は、フルオロカーボン配位子の使用を含んでいるが、但し、それらは、ケイ素原子に直接に結合された少なくとも1つの炭化水素(CH)結合を含み、それによってケイ素原子を配位子のフルオロカーボン部分と直接に結合することから切り離させる(すなわち、表面不動態化層を形成する分子内に、Si-CF結合は存在しない)。具体的な、限定するものではない例としては、ヨードトリス(3,3,3-トリフルオロプロピル)シラン、ジメチルアミノトリス(3,3,3-トリフルオロプロピル)シラン、[(CFCF(CH(CHSiCl]およびブロモトリス(1,1,1-3,3,3-ヘキサフルオロ-イソプロピル)シランが挙げられる。
式Iによる化合物の具体的な例としては、以下のものが挙げられるが、それらには限定されない。
A.塩化トリメチルケイ素、臭化トリメチルケイ素、ヨウ化トリメチルケイ素、ジメチルアミノトリメチルシラン、エチルメチルアミノトリメチルシラン、ジエチルアミノトリメチルシラン、エチルプロピルアミノトリメチルシラン、ジプロピルアミノトリメチルシラン、エチルイソプロピルアミノトリメチルシラン、ジイソプロピルアミノトリメチルシラン、ジ-n-ブチルトリメチルシラン、ジイソブチルトリメチルシラン、ジ-sec-ブチルトリメチルシラン
B.塩化トリエチルケイ素、臭化トリエチルケイ素、ヨウ化トリエチルケイ素、ジメチルアミノトリエチルシラン、エチルメチルアミノトリエチルシラン、ジエチルアミノトリエチルシラン、エチルプロピルアミノトリエチルシラン、ジプロピルアミノトリエチルシラン、エチルイソプロピルアミノトリエチルシラン、ジイソプロピルアミノトリエチルシラン、ジ-n-ブチルトリエチルシラン、ジイソブチルトリエチルシラン、ジ-sec-ブチルトリエチルシラン
C.塩化トリ-n-プロピルケイ素、臭化トリ-n-プロピルケイ素、ヨウ化トリ-n-プロピルケイ素、ジメチルアミノトリ-n-プロピルシラン、エチルメチルアミノトリ-n-プロピルシラン、ジエチルアミノトリ-n-プロピルシラン、エチルプロピルアミノトリ-n-プロピルシラン、ジプロピルアミノトリ-n-プロピルシラン、エチルイソプロピルアミノトリ-n-プロピルシラン、ジイソプロピルアミノトリ-n-プロピルシラン
D.塩化トリイソプロピルケイ素、臭化トリイソプロピルケイ素、ヨウ化トリイソプロピルケイ素、ジメチルアミノトリイソプロピルシラン、エチルメチルアミノトリイソプロピルシラン、ジエチルアミノトリイソプロピルシラン、エチルプロピルアミノトリイソプロピルシラン、ジプロピルアミノトリイソプロピルシラン、エチルイソプロピルアミノトリイソプロピルシラン、ジイソプロピルアミノトリイソプロピルシラン
E.塩化トリ-n-ブチルケイ素、臭化トリ-n-ブチルケイ素、ヨウ化トリ-n-ブチルケイ素、ジメチルアミノトリ-n-ブチルシラン、エチルメチルアミノトリ-n-ブチルシラン、ジエチルアミノトリ-n-ブチルシラン
F.塩化トリイソブチルケイ素、臭化トリイソブチルケイ素、ヨウ化トリイソブチルケイ素、ジメチルアミノトリイソブチルシラン、エチルメチルアミノトリイソブチルシラン、ジエチルアミノトリイソブチルシラン
G.塩化トリ-sec-ブチルケイ素、臭化トリ-sec-ブチルケイ素、ヨウ化トリ-sec-ブチルケイ素、ジメチルアミノトリ-sec-ブチルシラン、エチルメチルアミノトリ-sec-ブチルシラン、ジエチルアミノトリ-sec-ブチルシラン
H.塩化トリ-n-ペンチルケイ素、臭化トリ-n-ペンチルケイ素、ヨウ化トリ-n-ペンチルケイ素、ジメチルアミノトリ-n-ペンチルシラン
I.クロロトリス(3,3,3-トリフルオロプロピル)シラン、ブロモトリス(3,3,3-トリフルオロプロピル)シラン、ヨードトリス(3,3,3-トリフルオロプロピル)シラン、ジメチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルメチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジエチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルイソプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジイソプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン
J.クロロトリス(4,4,4-トリフルオロブチル)シラン、ブロモトリス(4,4,4-トリフルオロブチル)シラン、ヨードトリス(4,4,4-トリフルオロブチル)シラン、ジメチルアミノ-トリス(4,4,4-トリフルオロブチル)シラン
K.塩化オクチルジメチルケイ素、臭化オクチルジメチルケイ素、ヨウ化オクチルジメチルケイ素、ジメチルアミノオクチルジメチルシラン
L.塩化デシルジメチルケイ素、臭化デシルジメチルケイ素、ヨウ化デシルジメチルケイ素、ジメチルアミノデシルジメチルシラン
M.塩化ドデシルジメチルケイ素、臭化ドデシルジメチルケイ素、ヨウ化ドデシルジメチルケイ素、ジメチルアミノドデシルジメチルシラン
N.塩化ヘキサデシルジメチルケイ素、臭化ヘキサデシルジメチルケイ素、ヨウ化ヘキサデシルジメチルケイ素、ジメチルアミノヘキサデシルジメチルシラン
O.塩化オクタデシルジメチルケイ素、臭化オクタデシルジメチルケイ素、ヨウ化オクタデシルジメチルケイ素、ジメチルアミノオクタデシルジメチルシラン
P.クロロジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ジメチルアミノジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン
Q.クロロジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ジメチルアミノ-ジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン
R.クロロジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ジメチルアミノ-ジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン
式IIおよびII(a)による化合物の具体的な例としては、限定するものではないが、以下の1,3-ビス-クロロジメチルシリル(エタン)、1,3-ビス-ブロモジメチルシリル(エタン)、1,3-ビス-ヨードジメチルシリル(エタン)、1,3-ビス-ジメチルアミノ-ジメチルシリル(エタン)、1,3-ビス-クロロジメチルシリル(プロパン)、1,3-ビス-ブロモジメチルシリル(プロパン)、1,3-ビス-ヨードジメチルシリル(プロパン)、1,3-ビス-ジメチルアミノ-ジメチルシリル(プロパン)、1,3-ビス-クロロジメチルシリル(ブタン)、1,3-ビス-ブロモジメチルシリル(ブタン)、1,3-ビス-ヨードジメチルシリル(ブタン)および1,3-ビス-ジメチルアミノ-ジメチルシリル(ブタン)が挙げられる。
また、更なる態様としては、いずれかの所望のR基の位置におけるフルオロカーボン配位子の使用が挙げられるが、但し、それらは、ケイ素原子に直接に結合された少なくとも1つの炭化水素(CH)結合を含み、それによってケイ素原子を配位子のフルオロカーボン部分と直接に結合することから切り離させる(すなわち、表面不動態層を形成する分子内に、Si-CF結合は存在しない)。
本発明の方法の利益は、湿式の化学処理の後に、上記で詳述したように表面を加熱することによって-OH基の表面密度を制御することができることである。この熱は、-OH基の一部を取り除く。この表面密度は、例えば低エネルギーのイオン散乱、TOF-SIMS、またはFTIR(表面モード)によって測定することができ、そしてその情報から、前駆体を、前駆体のサイズを基にして、最適な不動態化のために選択することができる。例えば、-OH基の間の距離が約6.5Åである場合には、そうすると、例えば、エチル(「(CH」)またはプロピル(「(CH」)結合を有するバイポーダルの前駆体が、プロピル基の長さが約6.9Åであることから、良好な「適合度」であることができる。
いずれかの特定の理論によって拘束されることは望まないが、SiO誘電体表面の最適な不動態化は、熱処理加工および不動態化分子の設計の組み合わせによって得ることができることが信じられる。具体的には、現在、吸着された水分および密接に群をなした表面ヒドロキシル基のSiO表面からの除去が、大部分は水素結合を有さない表面ヒドロキシル群、ヒドロキシル基よりもずっと反応性の低い(そしてまた-OH表面結合よりもより低い極性を有する)、そして前処理プロセスによって制御されることができる平均の分離距離を有するように誂えられることができる、表面シロキサン様(Si-O-Si)結合の増加した数をもたらすことが信じられる。この表面は、不動態化分子の反応性基の間隔をヒドロキシル基の表面間隔に整合させる分子内距離を有する特定の不動態化分子の使用によって、反応性の化学的サイトの本質的に完全な不動態化を可能にすることが信じられる。
立体障害および反応性配位子間隔の両方の観点から、基の所望の/設計された間隔を有する分子を設計することができることは、従来技術に対する顕著な改善を提供する。このことは、遥かに速い気相の表面不動態化コーティングの形成反応、および同時に向上した表面被覆率(母体の不動態化分子中に組み込まれる有機配位子の選択によって与えられる固有の「立体的密集状態」によってのみ制限される)をもたらすことができる。SiO表面のSi(100)表面に対する選択的な不動態化の具体的な態様では、約6.5オングストロームの平均の表面ヒドロキシル間隔を与え、一方で、初期の湿式洗浄工程によるH末端のSi(100)表面を、元のままで、本質的に変化しない状態に置いておくために、熱前処理の温度は、好ましくは約375~約450℃の範囲である。この表面のための好ましい前駆体分子は、それらがその分子中に埋め込まれた1つの点の表面結合を有するか、または2つの点の表面結合を有するか、に依存する(すなわち、前駆体分子内のいずれかの与えられた原子に1以下の反応性配位子が結合された、1または2の反応性配位子)。1点の表面結合の分子では、この間隔は、立体障害の制限によって主に決定され、そして非反応性の配位子が、それらが隣接する「自由な」ヒドロキシルサイトでの反応を妨害しないように、しかしながらそれらが有機官能基によって最大の表面被覆率を与えるように、選択される。ダイポーダル点の表面結合分子では、2つの(単一の反応性配位子)の原子の間の間隔は、表面ヒドロキシル基の間の平均の間隔よりも若干大きいようになされる。
分子設計と組み合わされた、制御された「自由の」表面ヒドロキシル間隔は、迅速かつ完全な、表面不動態化コーティングの気相形成を可能とさせることができる。このことは、従来技術に対して潜在的に顕著な改善を提示し、そしてSiO/Si表面に対する商業的に実現可能な選択性ALDプロセスをもたらすことができる。
更なる利点としては、
(1)「自由な」ヒドロキシル基の向上した反応性が、表面不動態化部分を、SiO表面へ結合させるのに、そのように調製されていないSiO表面に対して、より広範囲の反応性配位子の使用を可能にさせる(すなわち、より広範囲の前駆体化学物質の使用を可能にさせる)こと、
(2)形成された不動態層が、最低の反応温度よりも高い反応温度で、より迅速にかつより完全に、そのように調製されていない関連技術の表面に対してより良好な(最大化された)表面被覆率で、形成されること、
(3)最密の不動態層によって、続いて起こるALD膜の堆積における前駆体の核形成への向上した防止および、続いて起こるプロセス工程において向上した選択性の膜堆積を可能とする残留表面ヒドロキシル結合の数の減少(従来技術のSiO表面の表面不動態化層の下に存在する)、を有するSiOの不動態化された表面の形成、が挙げられる。
処理された表面が水分または酸素に暴露されることを避けるために、不動態層が、熱処理後に、可能な限り、直ぐに形成されることが好ましい。
共反応物または触媒として用いられる場合には、アミンは、NRを含む分子の分類を包含することが想定されており、ここでRは、独立して、H、アルキル、アリール、アルケンなど、ならびにピリジンおよびピリジン誘導体である。当技術分野においては、アミンは、関連技術のヒドロキシル化された表面上で、より低い全体的な反応温度を得るのに、ハロゲン反応基を包含する分子と共に用いられることができることが知られている。本発明の教示に関連して用いられる場合には、そのようなアミンは、所望の不動態層を形成するのに、更により低い温度の反応、ならびに低減された反応時間を可能とする可能性がある。本発明の教示がなければ、これらの分子の使用は、それらが存在しない場合よりも低い温度で所望の不動態層の形成を可能にする可能性がある。アミン共反応体が用いられる全ての場合において、縮合したピリジニウムまたはハロゲン化アンモニウム塩の形成によってヒドロキシル化表面の汚染および作用の阻害化の危険性が存在する。当業者に知られている方法を用いて、それらの塩によるヒドロキシル化表面の汚染を最小化するプロセス開発に留意がなされなければならない。
気相反応は、気相の状態である前駆体の導入によって、前駆体が、加熱され、および予備処理された基材(封止された容器中に容れられている)に暴露されることを含むことを意味しているが、しかしながら、このことは、基材温度が前駆体および/または共反応体の沸点より低い場合には、前駆体または共反応体の基材の表面上への凝縮を排除するものではない(すなわち、液体層が形成させられて、表面ヒドロキシル基の前駆体および/または反応体との反応を促進させることができる)。
液(溶液)相反応は、前駆体および/または共反応体が、「単体で」または好適な液体溶媒と共に、のいずれかで、液体状態で、基材の表面上に直接に導入されることを含むことを意味している。溶媒が用いられる場合には、超高純度かつ、基材あるいは前駆体および/または共反応体と非反応性でなければならない。
気相またはガス相反応は、不動態化工程によって与えられる表面ヒドロキシル基と前駆体分子上の単一の反応性配位子との間の反応を含んでいる。それらは、加熱された基材の前駆体分子および/または共反応体への好適なチャンバ中での暴露を含んでおり、このチャンバは必要な圧力制御を提供することができなければならず、そしてまた、熱を基材および/またはチャンバ壁へと供給できるものであり、またこのチャンバは、通常は高い漏れへの完全性ならびに超高純度のキャリアガスおよび反応性ガスの使用によって、起こり得る反応に対して好適な清浄性を提供しなければならない。本発明の他の態様は、前駆体および/または共反応体を表面ヒドロキシル基と反応させるように基材を引き続いて加熱することの前に、基材の表面上への前駆体および/または共反応体の液体層の形成を促進するように基材を冷却することを用いることに関する。
用語「前駆体」は、ここで上記した、単一の反応性配位子、単一の固定点および/または2つの固定点の分子を意味するように意図されている。それらは、超高純度のキャリアガス(上記で規定してような)と共に、そしていずれかの互いの所望の混合物として用いられることができる(すなわち、2種類以上の前駆体を、一緒にまたは別々の独立した工程で、のいずれかで、前駆体導入の望まれるいずれの順番ででも、所望の不動態層を形成するために、用いることができる)。共反応体は、前記の触媒性アミン反応体を意味するように意図されている(それらが用いられる場合には)。
前駆体および/または共反応体は、反応器へと、質量流量制御器(おそらくは加熱されたラインを備える)、液体注入蒸発器(おそらくは加熱されたラインを備える)を用いて、あるいは計量装置なしで(すなわち、単純なバルブを用いて反応器と分離された容器からの蒸気および/またはガスの導入)、単体で供給することができる。また、前記のいずれかを、互いに組み合わせて用いることもできる。十分な純度および再現性を与える、ガスおよび/または蒸気の反応器へのいずれかの供給手段を用いることができる。
前駆体および/または共反応体は、反応器中に独立して導入される、反応器への導入の前に混合される、反応器中で、または工程間で前駆体がどのように導入されるかについての相違を含む可能性がある、複数の、独立した工程での前記のいずれかの組み合わせで、混合されることができる。
直接の気相反応では、反応の温度範囲は、与えられた表面不動態層の最大の安定温度に近いように選択されなければならないことが信じられる(上記のように)。しかしながら、反応の温度範囲は、室温~700℃の範囲であることができ、但し、その温度は、予備処理温度以下でなければならない。圧力は、10 -10 Torr~3000Torrの範囲であることができ、そして動的流れ条件に維持されることができるか(すなわち、バルブおよびバタフライバルブ型の配置を備えた)、または静的条件に維持されることができる(すなわち、真空にされたチャンバが、合計の所望の圧力が得られるまで所望の前駆体および/または共反応体に暴露され、そして次いでこのチャンバが前駆体および/または共反応体の両方および真空ポンプから分離される)。この反応器は、完全に真空にされ、そして新たな前駆体および/または共反応体へと、必要な回数だけ再暴露されることができる。前駆体および/または共反応体は、いずれかの混合物および/または所望の濃度を用いて導入されることができる。
SiO表面が一旦不動態化されると、SiHを含むこの表面は、更なる選択的反応、例えば、SiH表面上へのSiCNの選択的ALD堆積に活性となる。SiHを含む表面へ選択的に堆積されることができる更なる材料としては、酸素、窒素、水素および炭素(すなわち、SiO、SiN、SiO、SiC、SiO、全てはHも含むことができる)金属類金属窒化物、および金属酸化物を含むケイ素膜が挙げられる。
幾つかの態様では、金属酸化物膜は、第2の表面上に選択的に堆積される。1つの例では、金属酸化物膜は、第2表面上のキャップ層として作用することができる。金属酸化物膜は、例えば、原子層堆積(ALD)、プラズマ促進ALD(PEALD)、化学気相堆積(CVD)、プラズマ促進CVD(PECVD)、またはパルスCVDによって堆積されることができる。1つの態様によれば、金属酸化物膜は、HfO、ZrO、TiO、Al、およびそれらの組み合わせからなる群から選択されることができる。幾つかの態様では、金属酸化物膜は、金属有機前駆体および酸化剤(例えば、HO、HO2、プラズマ励起OもしくはO)の交互の暴露を用いたALDによって堆積されることができる。
本発明による選択的堆積は、例えばHamalainenら、“Atomic Layer Deposition of Noble Metals and Their Oxides,” Chem. Mater. 2014, 26, p.786-801、およびJohnsonら、“A Brief review of Atomic layer Deposition: From Fundamentals to Applications”, Materials Today, Volume 17, Number 5, June 2014に開示された金属および金属酸化物層であることができ、それらの全体を参照することによってその両方を本明細書の内容とする。
幾つかの態様では、金属膜は、第2の表面上に選択的に堆積される。1つの例では、金属膜は、第2の表面上でキャップ層として作用することができる。他の例では、金属膜は、第2の表面上で電導性の経路として作用することができる(すなわち、線、パッドまたはプラグ)。他の例では、金属膜は、例えば、原子層堆積(ALD)、プラズマ促進ALD(PEALD)、化学気相堆積(CVD)、プラズマ促進CVD(PECVD)またはパルスCVDによって堆積されることができる。1つの態様によれば、金属膜は、Al、Ti、Co、Rh、Ir、Fe、Ru、Os、Mn、Tc、Re、Cu、Ag、Au、Ni、PdまたはPtおよびそれらの組み合わせからなる群から選択されることができる。
幾つかの態様では、金属または金属窒化物膜は、第2の表面上に選択的に堆積されることができる。1つの例では、金属または金属窒化物膜は、第2の表面上でキャップ層として作用することができる。他の例では、金属または金属窒化物膜は、第2の表面上で拡散バリア層として作用することができる。金属または金属窒化物膜は、例えば、原子層堆積(ALD)、プラズマ促進ALD(PEALD)、化学気相堆積(CVD)、プラズマ促進CVD(PECVD)またはパルスCVDによって堆積されることができる。例が、例えば、“IBM Research Report, “Atomic Layer Deposition of Metal and Metal Nitride Thin Films: Current Research Efforts and Applications for Semiconductor Device Processing,” RC22737 (W0303-012), March 5, 2003中に見出される。
幾つかの態様では、ここで記載された基材の第1の表面、例えば基材のSiO表面上への堆積は、基材の第2のH末端の表面に対して、少なくなくとも約90%選択的、少なくとも約95%選択的、少なくとも約96%、97%、98%もしくは99%またはそれ以上に選択的である。幾つかの態様では、堆積は、第1の表面上のみで起こり、そして第2の表面上では起こらない。幾つかの態様では、基材の第1の表面上への堆積は、基材の第2の表面に対して、少なくとも約70%選択的、または少なくとも約80%選択的であり、それは、幾つかの特定の用途では十分に選択的であることができる。幾つかの態様では、基材の第1の表面上への堆積は、基材の第2の表面に対して、少なくとも約50%選択的であり、それは、幾つかの特定の用途では十分に選択的であることができる。
以下の例は、特許請求した方法の工程のそれぞれを示している。
本発明の目的および実施された実験の目的は、ヒドロキシル基をほぼ含まない、そして、更には、オルガノシラン不動態化コーティングの単層~準単層の被覆を有するSiO表面を生成させることである。好ましい態様では、SiOの表面上の不動態化分子の「立体」障害が、熱処理工程が完了した後に残された表面ヒドロキシル基のほぼ均一な平均間隔に密接に適合する(図2の図解を参照)。
工程1:基材を、湿式の化学組成物と接触させて、初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基を含む処理された基材を得る工程
例1:SC-1湿式化学暴露での表面ヒドロキシル濃度の増加
SiOおよびSi(100)の独立した細片が、以下の逐次の工程によって同時に処理される。
両方の基材表面が、過酸化水素(28~30%)、水酸化アンモニウム(28%)、および蒸留された脱イオン水の、200mL:100mL:1000mLの比の、新たに調製された溶液中で洗浄され、この洗浄には、最初にそれらの化学薬品を一緒に石英ガラスビーカ中で混合すること、この溶液をビーカ中で70℃±5℃に加熱すること、これらの基材表面を、予備加熱された洗浄溶液中に10分間に亘って完全に浸漬させること、これらの基材を洗浄溶液から取り出すこと、およびそれらを、新たに蒸留された脱イオン水で満たされた容器中に浸漬させること、およびそれらの基材を、基材上の洗浄溶液濃度が検出限界未満まで希釈されるまで、すすぐこと、が包含されている。
洗浄工程の有効性および完全性は、水またはいずれかの他の好適な流体で構成された液体での接触角測定(ゴニオメータ測定)を用いて測定されることができる。ここに提示されたデータは、2μLの体積を有する蒸留された脱イオン水の液滴についてのものである。
開始表面(受け入れたままの状態での)は、複数の回数で測定され、そして以下に示す範囲内に収まることが見出された。
SiO: 32~43°
Si(100): 26~35°
受け入れたままの接触角測定結果における観察されたばらつきは、受け入れたままの状態の両方の基材に共通する、酸化物表面上への大気中の水分の吸着の直接的な結果であることが信じられる。
SiOおよびSi(100)の両方の表面は、ゴニオメータ測定およびTOF-SIMS測定によって測定されて、開始試料のそれよりも、遥かに高い程度までヒドロキシル化されていた。完全にヒドロキシル化された表面の水の接触角が測定され、そして以下に示された範囲内に収まることが見出された。
SiO: 5~10°
Si(100): 5~10°
これらの表面が、湿潤であり、そして親水性であることが示された後に、この第1の工程は完了する。湿式洗浄工程によって説明されたけれども、本発明はそのように限定されるものではない。
受け入れたままの、そして洗浄後の状態のSiO表面の状態はまた、SiO基材のTOF-SIMS測定によっても反映される。それらの測定は、基材上に存在するヒドロキシル、ヒドリドおよび他の種の表面濃度の「半定量的」特徴づけを可能とさせる。受け入れたまま、および洗浄後の状態の代表的なTOF-SIMSスペクトルが、図3に与えられており、これは、2つの試料についての2つのSiOHピークの間の相対的な強度の差異によって示されるように、洗浄後のヒドロキシル表面濃度の明確な増加を示している。洗浄後のヒドロキシル濃度のこの増加は、表面ヒドロキシル濃度の所望の制御された減少を可能とさせるものである。
例2:Si(100)表面上のSi-OH結合のSi-H結合への変換
上記の例によって与えられた完全にヒドロキシル化されたSiOおよびSi(100)表面が、次いで同時に、2.0~3.0%の範囲(0.1%~5.0%の範囲)の濃度を有するHF溶液を用いて、完全に疎水性の表面が得られるまで処理され、そして次いで水ですすがれて、そして超高純度の窒素流を用いて送風乾燥された。Si(100)のH末端表面の形成は、典型的には、室温で、80~110秒間の範囲を要した(範囲:20秒間~600秒間)。SiOおよびSi(100)の表面が、接触角測定を用いて特徴付けられた。一般に、SiO表面の接触角が小さければ小さいほど、そしてSi(100)-H表面の接触角が90°に近ければ近いほど、本発明の目的に対してHF-エッチング工程の結果がより良好になることが信じられる。2つの基材表面について、HF-エッチング工程の後で測定された典型的な値は、以下のとおりである。
SiO: 4~8°
Si(100): 80°~90°
表面ヒドロキシル化の高い程度のために、それらの種類のSiO基材は、受け入れたままの試料よりも、大気の汚染物質および水分吸着に対して幾分より影響を受け易くなっており、そのため、反応器の系中に供給する前のそれらの貯蔵および取扱いの間に、注意を働かせなければならない。本発明が意図されるように実施されるために、この種の過剰な水分のいずれかを、試料表面から、熱処理工程を実施する前に、完全に取り除くことが必要である。このことは、減圧された圧力条件の下で、約200℃の温度で、1~10分間の間、加熱することによって成し遂げることができる。雰囲気は、10 -5 Torr~740Torrの圧力の真空(または、超高純度の不活性ガス流(N、He、Ne、Arなど)の下での減圧)であることができる。
同様に、Si(100)-H表面は、酸化する傾向を有する空気の暴露に対して限定された安定性を示して、それによって選択的不動態層の形成に必要とされるSiO表面からの化学的な差別化を無効にすることが実証された。本発明が意図とおりに実施されるためには、表面の再酸化を避けるように、試料をHFエッチングの後に、反応器系中に可能な限り迅速に供給することが必要である。あるいは、基材は、化学的に不活性の雰囲気中に貯蔵されることができ、その中では酸化の速度は空気に対して非常に遅く、そして次いで、迅速に反応器系中に供給される。
湿式の洗浄によって説明したけれども、完全にヒドロキシル化されたSiO表面[αOH(s)=9.5μモル/m]および完全に水素末端のSi(100)表面を生成することができるいずれかのプロセスあるいはプロセスの組み合わせを、本発明を実施するのに同時に用いることができる。プラズマプロセス(上記のような)、湿式プロセス、またはそれらの2つの組み合わせを、上記の性質を有する必要な化学末端を与えるのに用いることができる。
洗浄後の状態(SC-1およびHF)におけるSi(100)およびSiO表面の状態はまた、TOF-SIMS測定によって特徴付けられる。それらの測定は、基材上に存在するヒドロキシル、ヒドリドおよび他の種の表面濃度の半定量的な特徴づけを可能にさせる。SC-1洗浄およびHFエッチングの後のSi(100)およびSiO表面についての代表的なTOF-SIMSスペクトルが図6に示されている。図6に示された小さなヒドロキシルのピークは、測定のための移送中に試料に起こった幾らかの酸化に因るものであることが信じられる。
図6を参照して、空気の暴露の間に起こったSi(100)試料の酸化にもかかわらず、化学的な表面末端における所望の差異が、SiOとSi(100)表面の間で達成されていることが明らかである。すなわち、互いに対して、SiO表面は、ヒドロキシル基の非常に高い濃度を有しており、そしてSi(100)表面は、ヒドリド基の非常に高い濃度を有している;Si(100)表面に起こっている空気酸化があったとしても、洗浄工程の後に、2つの表面が、非常に異なる濃度のヒドロキシルおよびヒドリド表面末端を有していることが明らかである。また、単結晶シリコン表面のHFエッチングは、疎水性の水素表面をもたらし、それは長い空気暴露では、影響を受け易いことは、当業者にはよく知られている。
工程2:処理された基材の約200℃~約600℃の温度への加熱、ここでこの加熱工程は、基材の表面上で、第1の表面上の表面ヒドロキシル基の少なくとも一部を表面シロキサン基へと変換する
例3:湿式洗浄とそれに続く熱処理
熱的な予備処理の目的は、表面ヒドロキシル濃度を最大量まで低下させることであり、理想的には、分離されたヒドロキシル基だけを後に残し、それは次いで気相プロセスを用いて有機シラン前駆体の1種を用いて不動態化される。熱処理を用いて表面ヒドロキシル濃度の最大限の減少を実現するために、完全にヒドロキシル化された表面を生成させることが先ず必要である(湿式洗浄、気相暴露、プラズマ処理などを用いて)。すなわち、熱処理の前に、表面ヒドロキシル濃度を、受け入れたままの、または処理されたままの二酸化ケイ素表面で通常観察される濃度を超えて、先ず増加させて、本発明の表面ヒドロキシル末端を実現させることが必要である。
表面ヒドロキシル基の熱処理での減少の背後にある機構は、下記の式による水の脱離を伴うシラノール縮合反応である。
Figure 0007085561000007
3種の試料が、TOF-SIMSを用いて特徴付けられて、熱処理前と熱処理後の状態での表面ヒドロキシル濃度を定量した。
(1)受け入れたままの熱二酸化ケイ素「受け入れたまま」
(2)(NHOH+H)を用いて洗浄された二酸化ケイ素「SC-1」
(3)(NHOH+H)を用いて洗浄され「SC-1」、次いでHFエッチングされた二酸化ケイ素「SC-1+HF」
それぞれの種類の試料に用いられる熱処理手順は同じであり、そして以下の一次的な工程を含んでいる。
(1)適切な湿式化学工程による表面ヒドロキシル被覆率(存在するのであれば)の調製。
(2)試料を反応器系中に供給し、そこでそれらは加熱される。
(3)基本の圧力に反応器系が達するまで、試料を周期的にパージする工程。
(4)反応器系を、超高純度のNの流れの下で十分な時間に亘ってパージして、反応器系の開放から、そして試料自体から発生する、反応器系中の水分含有量を低下させる工程。
(5)炉の温度制御器中に記憶された予めプログラムされたプロセスを用いて熱処理を実施する工程。
(6)試料を、超高純度のNの流れの下で、室温まで冷却する工程。
(7)これらの試料を、反応器系から取り出し、そしてそれらをNの下で分析のための発送のために包装する工程。
例4:SC-1洗浄された二酸化ケイ素試料の熱処理(Si(100)上の1000熱SiO
1000Åの熱SiO/Si(1000)(”1000ÅSiO”)の幾つかの1.5”×1.5”細片が、4”ウエハから切り出され、高純度の窒素の流れで粒子を取り除くように吹き飛ばされ、そして次いでSC-1洗浄浴中に浸漬するのに好適なテフロンボート中に容れられた。このボートおよび試料は、次いで70±5℃の温度に予備加熱されたSC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオンされたHO)中に浸漬され、ここでそれらは10分間に亘って洗浄された。これらの試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水での3回のダンプリンスサイクルを用いて化学薬品がすすぎ落された。これらの試料は、次いで、粒子をフィルタで取り除かれた超高純度のNガス源を用いて、完全に乾燥された。
洗浄された1000ÅのSiO試料の1つが、次いで、250sccmの超高純度のNガス流の下で、室温で、管式炉反応器系の管中に容れられた。この管は、次いで封止され、そしてゆっくりと10mTorrの圧力に減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが、2分間に亘って行われた(2.3Torrの圧力で)。このN流は、次いで停止され、そしてこの管は、<5mTorrの圧力に減圧された。上記のサイクルパージの工程が、反応器系内に、基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nが反応器系中に導入され、そして熱処理を開始する前に系中のバックグラウンドの水分濃度を低減させるように、減圧のNパージ(2.3Torrで)が1時間に亘って行われた。
熱処理が、次いでこの炉のために温度制御器に記憶された予めプログラムされた加熱プロセス処方を用いて、超高純度Nガスの減圧パージの下で(2.3Torrで)、行われた。2つの独立した熱電対(1つは外管温度を表し-「壁」、そして1つは試料温度を表す-「試料」)の熱の軌跡が、図4に示されており、これは時間の関数として試料温度を示している。
また、図4は、熱処理工程の間に起こる、試料からの水分の放出の形跡を示している(インサイチュの四重極質量分析計(QMS)によって測定された)。この水分の放出は、上記のシラノール縮合反応に適合する。
熱処理プロセスが完了された後に、これらの試料は、20sccmの超高純度Nの流れの下で室温に冷却された(2.3Torrの圧力で)。これらの試料は、500sccmのNの流れの下で取り出され、そして次いでNの下でTOF-SIMSのための販売業者への出荷のために容器中に迅速に封入された。
ここで図5を参照して、上記の3種の試料が、それぞれSC-1洗浄された試料について上記されたのと同じ方法で熱処理によって処理された。それらの種類の試料のそれぞれが、熱処理前および熱処理後状態で、TOF-SIMSおよびAFMを用いて、ならびにゴニオメータ測定(水接触角測定)によって、特性決定された。それらの試料についてのTOF-SIMSのデータが図5に示されている。このTOF-SIMS測定結果は、熱処理前および熱処理後の状態のこれらの試料のそれぞれについて観察される正規化されたSiOHイオン強度(45amuの質量で)を示している。以下の重要な観察結果が、この一組のデータから推論される。
1.受け入れたままの試料(これは、その通常の状態を超えて、その表面ヒドロキシル濃度は増加されていない)は、熱処理工程の後に表面ヒドロキシル濃度における非常に小さな変化を示した。この試料については熱処理の後で、表面ヒドロキシル濃度に目立った低下はなかった。
2.SC-1およびSC-1+HF試料の両方は、その表面ヒドロキシル濃度を増加させる工程を受けていない、受け入れたままの試料に対して増加された表面ヒドロキシル濃度を有している(「予想されていた」ように)。
3.SC-1およびSC-1+HF試料の両方は、熱処理の後に、表面ヒドロキシル濃度の、受け入れたままの試料の熱処理後のそれよりも、遥かに低い濃度への、劇的な減少を示している。この重要な相違は、本発明の所望の低い表面ヒドロキシル濃度を得るための全体のプロセスフローの重要性を強調している。熱処理の前に表面ヒドロキシル濃度を最初に増加させることなしには、熱処理によって、表面ヒドロキシル濃度の劇的な低下は実現されない。このことは、当業界で遭遇される大抵の酸化ケイ素表面は、それらが、ここに開示された熱処理プロファイルを用いて加熱されたとしても、本発明で望まれる挙動を示さないことを意味している。
例5:熱処理(Si(100)表面のヒドリド表面末端への最小限の影響での制御された方法でのSiO表面ヒドロキシル濃度の低下)
例1および2で調製された所望の化学的表面末端を有するSi(100)およびSiOは、次いで反応器系中に容れられ、そこでそれらは大気のそして物理的吸着された汚染物質を除去するように幾回かのポンプでのパージサイクルに付される。第1のサイクルは、試料を、基本の圧力から2~10mTorrの範囲で高い圧力にポンプ送気すること、次いで減圧Nパージ(10~20sccmの超高純度N)に2~4Torrの圧力で3分間、次いで基本の圧力の1~3mTorrの範囲で上の圧力へのポンプ送気すること、次いで2~4Torrの圧力での3分間の減圧Nパージ(10~20sccmの超高純度N)、次いで基本の圧力への最後のポンプダウン、を含んでいる。この実験では、加熱されたロードロックが利用できなかったので、サイクルパージは、反応器管自体の中で行った。
これらの試料は、次いで熱処理を用いて、SiO上のヒドロキシル表面濃度を低下させ、一方でSi(100)ヒドリド表面への最小限の影響を有するように処理された。熱処理プロファイルは図7に示されており、ここでx軸は分での時間であり、そしてy軸は℃での温度である。
図7に示された温度は、2つの独立した熱電対についてのものである(1つは炉自体の中に、そして反応器管と接触して埋め込まれており、そして1つは実際の試料温度に緊密に接近している。これは、反応によってSiO表面上のヒドロキシル濃度を低下させるのに用いられた実際のプロファイルである。
Figure 0007085561000008
図8には、熱処理温度プロファイルに重ねられたインサイチュの質量スペクトルを用いて収集されたデータが示されており、そして試料からの水の放出が明確に示されている。熱処理は、ある範囲の条件で行われることができるが、しかしながら現在は、熱処理は、減圧操作で、約2.5Torrの圧力で、約20sccmNの流量の超高純度Nの流れの下で実施された。
SC-1洗浄後、HFエッチング後、および熱処理後のSiOのゴニオメータ測定結果は、以下の水接触角の測定結果を与える:
SiO:29.1°(対照として:SiO+SC-1+熱処理=30.5°)
Si(100):56.3(空気暴露による酸化を示している;対照として、Si(100)+熱処理のない予行試験試料:57.9;空気中に貯蔵されたSi(100)試料は、空気中での暴露および貯蔵の後に継続した酸化を示し、結局、約41.9°に近い定常状態に到達し、これは当業者が二酸化ケイ素について容認する値に非常に近い)。
また、洗浄後/熱処理後の状態におけるSi(100)およびSiO表面の状態は、TOF-SIMS測定によって特性決定された。それらの測定結果は、基材上に存在するヒドロキシル、ヒドリドおよび他の種の表面濃度の半定量的な特性決定を可能にする。SC-1洗浄およびHFエッチング後のSi(100)およびSO表面の代表的なTOF-SIMSスペクトルが図9に示されている。装置/実験の制約のために、空気暴露の結果として、Si(100)スペクトル中に酸化のピークが存在することに、ここで再び注意しなければならない。
例6:受け入れたままの1000Å SiO/Si(100)の熱処理
1000Åの熱SiO/Si(100)の幾つかの1.5”×1.5”の細片(「1000ÅSiO」が4”ウエハから切り出され、高純度の窒素流で粒子を取り除くように吹き飛ばされ、そして次いで250sccmの超高純度Nガスの室温の流れの下で管式炉反応器の管中に容れられた。この管は、次いで封止され、そして10mTorrの圧力にゆっくりと減圧された。20sccmのN流が、次いでこの反応器管中に導入され、そして減圧されたNパージが2分間に亘って行われた(2.3Torrの圧力で)。Nの流れは次いで停止され、そしてこの管は<5mTorrの圧力まで減圧された。上記のサイクルパージ工程を、この系の基本の圧力が得られるまで、繰り返された。
基本の圧力が得られた後に、超高純度Nの20sccmの流れが反応器系中に導入され、そして熱処理が開始される前に系中のバックグラウンドの水分濃度を低減させるように、減圧のNパージ(2.3Torrで)が1時間に亘って行われた。当業者には知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
熱処理が、次いでこの炉のための温度制御器に記憶された予めブログラムされた加熱プロセス処方を用いて、超高純度Nガスの減圧パージ(2.3Torrで)の下で、行われた。2つの独立した熱電対の熱の軌跡(1つは外管温度を表し「壁」、そして1つは試料温度を表す)が、時間の関数として図10に示されている。
熱処理プロセスが完了した後に、「受け入れたままの」1000ÅSiO試料は、20sccmの超高純度Nの流れ(2.3Torrの圧力で)の下で室温まで冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、そして容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「受け入れたままの」1000ÅSiO試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、熱処理加工を受けなかった「受け入れたままの」1000ÅSiO2試料もまた、同じ方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000009
熱処理あり、またはなしでの、「受け入れたままの」1000ÅSiO/Si(100)試料のTOF-SIMSスペクトルが図11に示されている。図11を参照すると、ナトリウム(Na)およびカリウム(K)の形態の幾らかの環境汚染物質もまた、熱処理後の「受け入れたままの」1000ÅSiO/Si(100)についてのスペクトル中に観ることができ、そしてTOF-SIMS測定の前の試料の取扱いの結果であるように思われる。
図11の2つのTOF-SIMSスペクトルの比較によって、表面ヒドロキシル濃度は、2つの試料について、概ね同一であることが明らかである。すなわち、熱処理は、「受け入れたままの」1000ÅSiO/Si(100)試料のヒドロキシル濃度を、有意な量では低減させていない。
2つの試料についての表面濃度を代表する、正規化されたSiOH陽イオン、信号強度(質量45amu)およびSiH陽イオン、信号強度(質量29amu)についての定量化されたデータが、下記の表に示されている。
Figure 0007085561000010
例7:熱処理 例2(SC-1洗浄された二酸化ケイ素試料(Si(100)上の1000Å熱SiO
1000Åの熱SiO/Si(100)の幾つかの1.5”×1.5”の細片(「1000ÅSiO」)が4”ウエハから切りだされ、高純度窒素の流れで粒子を取り除くように吹き飛ばされ、そして次いで、70±5℃の温度に予備加熱されたSC-1洗浄浴(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中への浸漬に好適なテフロンボート中に容れられた。このボートおよび試料は、次いでSC-1洗浄溶液中に浸漬され、そこでそれらは10分間に亘って洗浄された。SC-1洗浄された、1000ÅSiO/Si(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水での3回のダンプリンスサイクルを用いて化学薬品がすすぎ落された。これらの試料は、次いで、粒子をフィルタで取り除かれた超高純度のNガス源を用いて、完全に乾燥された。
洗浄された1000ÅのSiO試料の幾つかが、次いで、250sccmの超高純度のNガス流の下で、室温で、管式炉反応器系の管中に容れられた。この管は、次いで封止され、そしてゆっくりと50mTorrの圧力に減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが、2分間に亘って行われた(2.3Torrの圧力で)。このN流は、次いで停止され、そしてこの管は、<5mTorrの圧力に減圧された。上記のサイクルパージの工程が、系内に、基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nが反応器系中に導入され、そして熱処理を開始する前に系中のバックグラウンドの水分濃度を低減させるように、減圧のNパージ(2.3Torrで)が1時間に亘って行われた。当業者には知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
熱処理が、次いでこの炉のための温度制御器に記憶された予めブログラムされた加熱プロセス処方を用いて、超高純度Nガスの減圧パージ(2.3Torrで)の下で、行われた。2つの独立した熱電対の熱の軌跡(1つは外管温度を表し「壁」、そして1つは試料温度を表す)が、図12に示されている。
また、図12は、熱処理工程の間に起こる、試料からの水分の放出の形跡を示している(インサイチュの四重極質量分析計(QMS)によって測定された)。この水分の放出は、上記のシラノール縮合反応に適合する。
熱処理プロセスが完了された後に、「SC-1洗浄された」1000ÅSiO試料は、20sccmの超高純度Nの流れの下で室温まで冷却された(2.3Torrの圧力で)。これらの試料は、次いで500sccmのN流の下で取り出され、迅速に容器中に容れられ、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄された」1000ÅSiO試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、熱処理加工を受けなかった1000ÅSiO試料もまた、同じ方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000011
比較のために、熱処理あり、およびなしの、「SC-1洗浄された」1000ÅSiO/Si(100)試料のTOF-SIMSスペクトルが図13に示されている。図13では、ナトリウム(Na)およびカリウム(K)の形態の幾つかの環境汚染物質が、熱処理後の「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)についてのスペクトル中に存在していることを認めることができ、そしてTOF-SIMS測定の前の試料の取扱いの結果であるように思われる。
図13の2つのTOF-SIMSスペクトルの比較によって、表面ヒドロキシル濃度が、2つの試料間で非常に異なっていることが明らかである。すなわち、熱処理は、「SC-1洗浄された」1000ÅSiO/Si(100)試料のヒドロキシル濃度を、熱処理で処理されていない試料に対して、有意な量で、大きく低減させている。
2つの使用についての正規化されたSiOHおよびSiH表面濃度の定量化されたデータが、下記の表に示されている。
Figure 0007085561000012
例8:(「SC-1洗浄され、HFエッチングされた」Si(100)上の1000Å熱SiO)試料の熱処理
1000Åの熱SiO/Si(100)の幾つかの1.5”×1.5”の細片(「1000ÅSiO」が4”ウエハから切り出され、高純度の窒素流で粒子を取り除くように吹き飛ばされ、そしてSC-1洗浄浴中への浸漬に好適なテフロンボート中に容れられた。このボートおよび試料は、次いで70±5℃の温度に予備加熱されたSC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、そこでそれらは10分間に亘って洗浄された。SC-1洗浄された、1000ÅSiO/Si(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水での3回のダンプリンスサイクルを用いて化学薬品がすすぎ落された。これらの試料は、次いで、粒子をフィルタで取り除かれた超高純度のNガス源を用いて、完全に乾燥された。
乾燥されSC-1洗浄された試料は、次いでHFエッチング浴中への浸漬に好適なテフロンボート中に置かれた。このボートおよび試料は、次いで21±2℃であったHFエッチング浴(51mLの超高純度HF(48~49%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは90秒間エッチングされた。「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)試料は、次いでHF溶液から取り出され、そして迅速に蒸留され、脱イオン化された水中に浸漬され、そして次いで粒子をろ過された超高純度Nガスを用いて、完全に乾燥された。
「SC-1洗浄され、HFエッチングされた」1000ÅSiO試料の幾つかが、次いで管式炉反応器系の管の中に、250sccmの超高純度Nガスの流れの下で、室温で、可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして80mTorrの圧力にゆっくりと減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが2分間に亘って(2.3Torrの圧力で)行われた。N流が、次いで停止され、そしてこの管は、<5mTorrの圧力まで減圧された。上記のサイクルパージ工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、熱処理を開始する前にバックグラウンド水分濃度を低減させるように、20sccmの超高純度Nの流れが、反応系中に導入され、そして減圧のNパージが1時間に亘って行われた。当業者に知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
熱処理が、次いでこの炉のために温度制御器に記憶された予めプログラムされた加熱プロセス処方を用いて、超高純度Nガスの減圧パージの下で(2.3Torrで)、行われた。2つの独立した熱電対(1つは外管温度を表し-「壁」、そして1つは試料温度を表す)の熱の痕跡が、図14に示されている。
熱処理プロセスが完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅSiO試料は、20sccmの超高純度Nの流れの下で室温まで冷却された(2.3Torrの圧力で)。これらの試料は、次いで500sccmのN流の下で取り出され、迅速に容器中に容れられ、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅSiO試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、熱処理加工を受けなかった「SC-1洗浄され、HFエッチングされた」1000ÅSiO試料もまた、同じ方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000013
比較のために、熱処理あり、およびなしの、「SC-1洗浄された」1000ÅSiO/Si(100)試料のTOF-SIMSスペクトルが図15に示されている。図15を参照すると、ナトリウム(Na)およびカリウム(K)の形態の幾つかの環境汚染物質が、熱処理後の「SC-1洗浄された、HFエッチングされた」1000ÅSiO/Si(100)についてのスペクトル中に存在していることを認めることができ、そしてTOF-SIMS測定の前の試料の取扱いの結果であるように思われる。
2つのTOF-SIMSスペクトルの比較によって、表面ヒドロキシル濃度が、2つの試料間で非常に異なっていることが明らかである。すなわち、熱処理は、「SC-1洗浄された」1000ÅSiO/Si(100)試料のヒドロキシル濃度を、熱処理で処理されていない試料に対して、有意な量で、大きく低減させている。2つの試料についての正規化されたSiOHおよびSiHの表面濃度についての定量化されたデータが、下記の表および図16に示されている。
Figure 0007085561000014
TOF-SIMS測定結果では、熱処理前および熱処理後の状態の、例6~8に記載された試料のそれぞれについて観察された正規化されたSiOHイオン強度が示されている。以下の重要な観察結果が、この一組のデータから推定される。
第1に、受け入れたままの試料(その通常の状態を超えて、その表面ヒドロキシル濃度が増加されていなかった)は、熱処理工程後の表面ヒドロキシル濃度において、非常に小さい変化を示した。この試料については、熱処理後に、表面ヒドロキシル濃度の大きな減少はなかった。
次に、SC-1およびSC-1+HF試料の両方が、その表面ヒドロキシル濃度を増加させる工程を受けていなかった受け入れたままの試料に対して、増加した表面ヒドロキシル濃度を有している。
最後に、SC-1洗浄された、およびSC-1洗浄+HFエッチングされた試料の両方が、熱処理後の表面ヒドロキシル濃度において、熱処理後の受け入れたままの試料について測定されたそれらの濃度よりもかなり低い濃度までの、劇的な減少を示した。
工程3:基材を、加熱工程以下の温度で、式Iおよび式IIからなる群から選択されたケイ素含有化合物に暴露する工程
例9:上記の工程によって与えられたSiO表面上にオルガノシラン系の不動態層を選択的に形成するが、しかしながらSi(100)表面上には形成ない
上記の工程によって与えられた表面が、次いでSiO表面上に残るヒドロキシル基をオルガノシラン前駆体分子と、気相反応を用いて、反応させるように、一方で、Si(100)表面上のヒドリド基との間の反応を回避しながら、更に処理された。結果として得らえるSiO表面は、従って、引き続き行われる膜堆積プロセスの間に反応性の核形成サイトとして作用するように利用可能なヒドロキシル基を可能な限り完全に排除する(非常に大きな程度まで)ことによって不動態化される。
それらの例において試験された具体的な分子としては、以下のものが挙げられる。
Figure 0007085561000015
上記で開示された種類の分子を用いて不動態層を選択的に形成するのに用いることができる多くの潜在的な気相プロセスが存在する。以下の欄に少しの具体的な例が示される。
例A:モノポーダル前駆体
上記で詳述されたように処理されたSiOおよびSi(100)試料の混合物が、2.5Torrの圧力および420°Cの温度で、20sccmの超高純度Nの流れの下で、熱処理の終了時に、反応器系中に配置される。超高純度のNの流れを、減圧に維持しながら、これらの試料を270℃まで冷却し、そしてその温度で10分間に亘って平衡状態に置いた。SiO試料は、次いでそれらをそれに続く反応手順に暴露することによって選択的に不動態化される。
(1)この系へのNの流れは停止され、そして反応器管およびガスパネルは、系の基本の圧力が得られるまで、減圧される。
(2)ガスパネルは反応器系から分離され(静的真空条件)、そしてラインは気相の(CHNSi(CHで、この化合物の室温での約72Torrの蒸気圧まで充填され、一方でこれらの試料を容れた管は、なおポンプ送気される。
(3)この管は、次いで真空ポンプから分離され、そして管を気相の(CHNSi(CHから分離するバルブが開放されて、(CHNSi(CHを加熱された管中に導入する。
(4)この管は、20Torrの作動圧力((CHNSi(CHで構成される)で充填され、この時、液体の(CHNSi(CHを容れたバブラーへのバルブおよび気相を管から分離するバルブは、両方とも閉鎖される。
(5)加熱された管中の(CHNSi(CHの化学品充填物が、SiO基材表面と、20分間に亘って反応される。この時間の間、ガスパネル中に残っている(CHNSi(CHは、次の化学薬品の投与に備えて除去される。
(6)反応が20分間に亘って進められた後に、20sccmの超高純度Nの流れが、ガスパネル中で開始され、そして次いで反応器系へと向けられ、そこで、分離バルブが開放され、そして化学薬品/超高純度Nの混合物を管から連続したNの流れの下で排気する前に、圧力が、200Torrに高められる。
(7)このN流は、2.5Torrの圧力で1分間維持され、そして次いで停止される。この管およびガスパネルは、次いで基本の圧力まで減圧される(減圧する時間は、用いられる前駆体に強く依存するが、しかしながら典型的には1~3分間が必要とされる)。
(8)工程(2)~(7)が、次いで2回繰り返されて、SiO表面上にトリメチルシリル表面不動態を形成し、一方で、Si(100)-H表面上には不動態を形成しない。
(9)3回の化学薬品暴露のサイクルが完了した後で、この管およびガスパネルは、約20sccmのNの流れを、この管を通して、2.5Torrの圧力で導入する前に、基本の圧力まで減圧された。この条件が維持され、一方で、これらの試料は室温まで冷却された。
これらの試料が室温まで冷却された後に、それらは反応器系から取り出され、そうしてそれらは特性決定されることができる。この例で記載された実験からの代表的データが図17に示されており、これは以下データのまとまりから構成されている。
(a)2つの実験(「空運転」および「本運転」)のそれぞれについての対照試料、受け入れたまま、SC-1洗浄後、SC-1洗浄+HFエッチング後
(b)「空運転」試料(工程I~IIIによって、そして次いで工程(1)~(9)によって概略を述べた例によって、処理されたが、しかしながらこの実験の間に、Nのみが導入され、(CHNSi(CHは導入されないで):これは、開始時の表面(すなわち、SiO表面上のヒドロキシル表面末端およびSi(100)表面上のヒドリド表面末端)への予備処理工程(工程I~III)の全ての影響を明らかにする。
「本運転」試料(上記の工程によって処理され、そして次いで例Aの工程(1)~(9)で概略を述べた例によって実施された)
図17を参照すると、両方の実験からの対照試料の測定結果は、極めて同様であり、そして開発された洗浄プロセスの再現性の高い程度を反映している。最も重要なデータの一組は、本運転試料のデータである。それらは、トリメチルシリル表面の不動態化が、SiO表面上に選択に形成されており、しかしながらSi(100)表面上には形成されていないとの結論に非常に合致する性質を示している。すなわち、SiO表面は、処理の後に高い水接触角(100°に近い)を示しており、所望の不動態化層がSiO表面上に形成されており、一方でSi(100)表面は有意に低下された水接触角を示すことを示唆している(不動態層がSi(100)表面上には形成されていないことを示唆している)。
Si(100)の接触角の減少は、それらの反応器系からの取出しに際してのSi(100)表面の空気酸化に関連付けられる。このことは、Si(100)試料の水接触角を、空運転および本運転の両方から時間の関数として追跡することによって、ならびに洗浄され、そしてエッチングされるが、しかしながら反応器系中には一切配置されない(すなわち、HFエッチング工程の後に空気中にあることを許された)試料に関してデータを生成することによって、実証されている。全ての場合において、接触角は、約41.9°に近づくまで低下を続け、この値は、二酸化ケイ素の水接触角として当業者によって理解されている。更には、HFエッチングを受けていないSi(100)試料は、>96°の水接触角を示し、SiO表面と極めて同様である(それらはHFエッチング工程のないヒドロキシル化SiO表面であるので、当業者によって予想されるであろうように)。この挙動は、Si(100)表面上にいずれかのトリメチルシリル表面不動態の形成の欠乏と一致している。
例B:バイポーダル前駆体
上記で詳述された工程によって処理されたSiOおよびSi(100)使用の混合物が、反応器系中で、熱処理工程の最後に、20sccm超高純度Nの流れの下で、2.5Torrの圧力および420℃の温度で、処理された。超高純度Nの流れを、減圧に維持しながら、これらの試料は405℃に冷却され、そしてその温度に10分間に亘って平衡状態にされる。SiO試料は、次いでそれらを以下の反応手順を受けさせることによって選択的に不動態化される。
(1)この系へのNの流れは停止され、そして反応器管およびガスパネルは、系の基本の圧力が得られるまで、減圧される。
(2)ガスパネルは反応器系から分離され(静的真空条件)、そしてラインは気相の[ClSi(CH(CHで、この化合物の室温での0.2Torrの蒸気圧まで充填され、一方でこれらの試料を容れた管は、なおポンプ送気される。
(3)この管は、次いで真空ポンプから分離され、そして管を気相の[ClSi(CH(CHから分離するバルブが開放されて、[ClSi(CH(CHを加熱された管中に入れさせる。
(4)この管は、0.200~0.246Torrの作動圧力([ClSi(CH(CH)で構成される)で充填され、この時、液体の[ClSi(CH(CHを容れたバブラーへのバルブおよび気相を管から分離するバルブは、両方とも閉鎖される。
(5)加熱された管中の[ClSi(CH(CHの化学品充填物が、SiO基材表面と、20分間に亘って反応される。この時間の間、ガスパネル中に残っている[ClSi(CH(CHは、次の化学薬品の投与に備えて真空バイパスマニホールドを用いて排気される。
(6)反応が10分間に亘って進められた後に、20sccmの超高純度Nの流れが、ガスパネル中で開始され、そして次いで反応器系へと向けられ、そこで、分離バルブが開放され、そして化学薬品/超高純度Nの混合物を管から連続したNの流れの下で排気する前に、圧力が、200Torrに高められる。
(7)このN流は、2.5Torrの圧力で1分間維持され、そして次いで停止される。この管およびガスパネルは、次いで基本の圧力まで減圧される(減圧する時間は、用いられる前駆体に強く依存するが、しかしながら典型的には1~3分間が必要とされる)。
(8)工程(2)~(7)が、次いで9回繰り返されて、SiO表面上にビスジメチルシリルエタン表面不動態を形成し、一方で、Si(100)-H表面上には不動態を形成しない。
(9)所望の回数のサイクルが完了した後で、この管およびガスパネルは基本の圧力まで減圧され、次いで約20sccmのNの流れが、ガスパレネルを通してこの管まで、2.5Torrの圧力で向けられ、そしてこれらの試料を含む管が室温まで冷却される間、維持される。
これらの試料が室温まで冷却された後に、それらは反応系から取り出され、それによってそれらは特性決定することができる。ここに記載された実験からの代表的なデータが、図18に示されている。それは、以下のデータのまとまりから構成されている。
(a)2つの実験(「空運転」および「本運転」)のそれぞれについての対照の試料;受け入れたまま、SC-1洗浄後、SC-1洗浄+HFエッチング後
(b)「本運転」試料(工程I~IIIによって処理され、そして次いで例Bの工程(1)~(9)で概略を述べられた例によって実施された)
この例で示され、そして図18に示されたデータは、例1についてのデータと極めて同様であり、対照の試料からの測定結果は、例Aについてのデータとほぼ同じであり、Si(100)本運転試料は、例AにおいてSi(100)試料について観察された接触角とほぼ同じ接触角を示し(再度、この場合には空気酸化を示唆する)、そして不動態化前駆体への暴露の後のSiO試料についての接触角に大きくそして正の変化がある。
例10:(CHNSi(CH(ジメチルアミノトリメチルシラン)を用いて270℃での熱処理加工での「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)上への、そしてSi(100)上へではない、トリメチルシリル表面不動態の選択的形成
1000Åの熱SiO/Si(100)[「1000ÅSiO」]およびSi(100)の幾つかの1.5”×1.5”の細片が、4”ウエハから切り出され、粒子を取り除くように高純度の窒素の流れで吹き飛ばされ、そして次いでSC-1洗浄浴中に浸漬するのに好適なテフロンボート中に容れられた。ボートおよび試料は、次いで、70±5℃の温度に予備加熱された、SC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは10分間に亘って洗浄された。SC-1洗浄された1000ÅのSiO/Si(100)試料は、次いで洗浄浴から取り出され、そして3回のダンプリンスサイクルの、蒸留され、脱イオン化された水を用いて化学薬品をすすがれた。これらの試料は、次いで粒子をろ過された、超高純度のNガスの源を用いて完全に乾燥された。
乾燥されたSC-1洗浄された試料は、次いでHFエッチング浴中への浸漬に好適なテフロンボート中に配置された。このボートと試料は、次いで、21±2℃であった、HFエッチング浴(51mLの超高純度HF(48~49%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは90秒間に亘ってエッチングされた。「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)試料が次いでHF溶液から取り出され、そして迅速に蒸留され、脱イオン化された水中に浸漬され、そして次いで粒子をろ過だれた超高純度のNガス源を用いて完全に乾燥された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料が、次いで管式炉反応器系の管中に、250sccmの超高純度のNガスの流れの下で、室温で、可能な限り最小の遅れで、容れられた。この管は、次いで封止され、そして80mTorrの圧力にゆっくりと減圧された。20sccmのNの流れが、次いで反応器管中に導入され、そして減圧のNパージが、2分間行われた(2.3Torrの圧力で)。Nの流れは、次いで停止され、そして管は≦5mTorrの圧力に減圧された。前記のサイクルパージ工程が、この系の基本の圧力が達成されるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度のNの流れが、反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われて熱処理を開始する前に系中のバックグラウンドの水分濃度を低下させた。当業者に知られているように、ロードロックシステムの使用は、サイクル回数を大きく低下させることを可能にし、一方でここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
熱処理が、次いでこの炉のための温度制御器に記憶された予めプログラムされた加熱プロセスを用いて、超高純度のNガス(2.3Torrで)の減圧パージの下で行われた。2つの独立した熱電対(1つは外管温度を表し-「壁」、そして1つは試料温度を表す)の熱の軌跡が、図19に示されている。
熱処理が完了した後に、20sccm超高純度のNの流れが、管を通して2.5Torrの圧力で維持され、一方で試料温度は、270℃に低下された。これらの試料は、270℃で10分間に亘って平衡にされ、N流は停止され、そして管は約1mTorrの圧力に完全に排気された。管は、次いでジメチルアミノトリメチルシラン[(CHNSi(CH]の第1の化学量で、19.9Torrの圧力まで、充填され、そして次いでこの圧力で20分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHNSi(CHの第2の化学量が、第2の量の圧力が20.8Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHNSi(CHの第3の化学量は、次いで第3の量の圧力が20.5Torrであった以外は、第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の化学量と同じ方法で除去され、「SC-1洗浄され、HFエッチングされた」1000ÅSiO試料上への、しかしながらSi(100)試料上へではない、トリメチルシリル表面不動態の選択的形成を完結させる。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅSiOおよびSi(100)試料が室温まで、20sccm超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのNの流れの下で、取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅSiOおよびSi(100)試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、熱処理加工を受けなかった「SC-1洗浄され、HFエッチングされた」1000ÅSiO試料もまた、同じ方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000016
また、これらの試料は、X線光電子分光法(XPS)によって分析され、そして結果が下記の表に示されている。
Figure 0007085561000017
「SC-1洗浄され、HFエッチングされた」1000ÅSiOおよびSi(100)試料についてのTOF-SIMSスペクトルが図20に示されている。図20中のSi(100)のTOF-SIMSスペクトル中にトリメチルシリル表面不動態に関連付けられるピークの観察が得られないことが、不動態層の形成が「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)基材に限定されていることの証拠である。すなわち、不動態は、所望の表面上に選択的に形成されており、そしてSi(100)表面上には形成されていない。この結果はまた、これらの試料についての水接触角測定結果およびこれらの試料についてのAFM表面粗さの測定結果によって支持される。
「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)およびSi(100)についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンの正規化された強度が下記の表に示されている。
Figure 0007085561000018
Si(100)試料上のトリメチルシリル表面不動態に関連付けられるイオンの幾つかについてのトレース信号の観察は、下記の表で観察されることができる、対照試料(これについては、ジメチルアミノトリメチルシランは反応器系中に導入されていない)で観察された水準と一貫している。
Figure 0007085561000019
例11(比較):(CHNSi(CH(ジメチルアミノトリメチルシラン)を用いて270℃での熱処理加工なしでの「SC-1洗浄され、HF-エッチングされた」1000ÅのSiO/Si(100)上への、そしてSi(100)上へではないトリメチルシリル表面不動態の選択的形成
1000Åの熱SiO/Si(100)[「1000ÅSiO」]およびSi(100)の幾つかの1.5”×1.5細片が、4”ウエハから切り出され、粒子を除去するように高純度窒素の流れで吹き飛ばされ、そして次いでSC-1洗浄浴中での浸漬に好適なテフロンボート中に容れられた。ボートおよび試料は、次いで、70±5℃の温度に予備加熱されたSC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは10分間に亘って洗浄された。SC-1洗浄された、1000ÅのSiO/Si(100)およびSi(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水の3回のダンプリンスサイクルを用いて化学薬品をすすがれた。これらの試料は、次いで粒子をろ過された超高純度のNガス源を用いて、完全に乾燥された。
乾燥されたSC-1洗浄された試料は、次いでHFエッチング浴中での浸漬に好適なテフロンボート中に配置された。ボートおよび試料は、次いで、21±2℃であったHFエッチング浴(51mLの超高純度HF(48~49%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは90秒間に亘ってエッチングされた。「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)およびSi(100)試料は、次いでHF溶液から取り出され、そして蒸留され、脱イオン化された水の中に迅速に浸漬され、そして次いで粒子をろ過された超高純度のNガス源を用いて完全に乾燥された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料が、次いで管式炉反応器系の管中に、250sccmの超高純度のNガスの流れの下で、室温で、可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして80mTorrの圧力に減圧された。20sccmのNの流れが、次いで反応器管中に導入され、そして減圧のNパージが2分間に亘って行われた(2.3Torrの圧力で)。Nの流れは、次いで停止され、そして管は、<5mTorrの圧力に減圧された。前記のサイクルパージ工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧Nパージ(2.3Torrで)が1時間に亘って行われて、熱処理を開始する前に、系中のバックグラウンド水分濃度を低減させた。当業者に知られているように、ロードロックシステムの使用は、サイクル回数を大きく低下させることを可能にし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
これらの試料は、270℃で10分間に亘って平衡にされ、N流が停止され、そしてこの管は、1mTorr以下の圧力に完全に排気された。この管は、次いでジメチルアミノトリメチルシラン[(CHNSi(CH]の第1の化学量が、20.8Torrの圧力に充填され、そして次いでこの圧力で20分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHNSi(CHの第2の化学量が、次いで第2の量の圧力が21.0Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHNSi(CHの第3の化学量が、次いで第3の量の圧力が21.4Torrであった以外は第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の量と同じ方法で除去され、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上への、しかしながらSi(100)試料上へではない、トリメチルシリル表面不動態の選択的形成を完結させた。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料は室温まで、20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのNの流れの下で取り出され、迅速に容器中に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、熱処理加工を受けなかった「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料もまた、同じ方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000020
これらの試料はまた、X線光量子分光法(XPS)によって分析され、そして結果が下記の表に示されている。
Figure 0007085561000021
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料についてのTOF-SIMSスペクトルが図21に示されている。図21を参照すると、Si(100)のTOF-SIMSスペクトル中にトリメチルシリル表面不動態に関連付けられるピークの観察がされないことが、不動態層の形成が「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)基材に限定されていたことの証拠である。すなわち、不動態は、所望の表面上に選択的に形成され、そしてSi(100)表面上へは形成されなかった。例1の試料との比較で、熱処理を受けなかった試料の表面上の残留するヒドロキシル濃度は、本発明の教示による熱処理を受けた試料のそれよりも著しく高いことを明らかにしている。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)についての質量45amu、質量29amu、43amu、59amuおよび73amuの陽イオンについての正規化された強度が下記の表に示されている。
Figure 0007085561000022
例12:[Cl(CHSi](CH(1,2-ビスクロロジメチルシリルエタン)を用いて370℃で熱処理加工ありで「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)上への、そしてSi(100)上へではないビスジメチルシリルエタン表面不動態の選択的形成
1000Åの熱SiO/Si(100)の幾つかの1.5”×1.5”の細片が4”ウエハから切り出され、粒子を取り除くように高純度窒素の流れで吹き飛ばされ、そして次いでSC-1洗浄浴中への浸漬に好適なテフロンボート中に容れられた。ボートおよび試料は、次いで70±5℃の温度に予備加熱されたSC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは10分間に亘って洗浄された。SC-1洗浄された、1000ÅのSiO/Si(100)およびSi(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水の3回のダンプリンスサイクルを用いて化学薬品がすすがれた。これらの試料は、次いで粒子をろ過された、高純度のNガス源を用いて完全に乾燥された。
乾燥されたSC-1洗浄された試料は、次いでHFエッチング浴中での浸漬に好適なテフロンボート中に配置された。ボートおよび試料は、次いで21±2℃であったHFエッチング浴(51mLの超高純度HF(48~49%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは90秒間に亘ってエッチングされた。「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)およびSi(100)試料は、次いでHF溶液から取り出され、そして蒸留され、脱イオン化された水中に迅速に浸漬され、そして次いで粒子をろ過された超高純度のNガス源を用いて完全に乾燥された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料が、次いで管式炉反応器系の管中に、250sccmの超高純度Nガスの流れの下で、室温で、可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして80mTorrの圧力にゆっくりと減圧された。20sccmのNの流れが、次いで反応器管中に導入され、そして減圧のNパージが2分間に亘って行われた(2.3Torrの圧力で)。Nの流れは、次いで停止され、そして管は<5mTorrの圧力まで減圧された。前記のサイクルパージ工程は、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われて、熱処理を開始する前に系中のバックグラウンド水分濃度を低下させた。当業者には知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
熱処理が、次いでこの炉のための温度制御器に記憶された予めプログラムされた加熱プロセス処方を用いて、超高純度Nガスの減圧パージの下で行われた(2.3Torrで)。2つの独立した熱電対(1つは外管温度を表し-「壁」そして1つは試料温度を表す)の熱の軌跡が図22に示されている。
熱処理が完了した後に、20sccmの超高純度Nの流れが管を通して、2.5Torrの圧力で維持され、一方で試料の温度は370℃に低下された。これらの試料が、370℃で10分間に亘って平衡にされ、N流が停止され、そして管が1mTorr以下の圧力に完全に排気された。管は、次いで1,2-ビスクロロジメチルシリルエタン[(Cl(CHSi](CH]の第1の化学量で、0.24Torrの圧力に充填され、そして次いでこの圧力で10分間に亘って分離された。第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(Cl(CHSi](CHの第2の化学量が、次いで第2の量の圧力が0.25Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(Cl(CHSi](CHの第3から第12の化学量が、次いでそれらの量の圧力が、0.24Torr~0.26Torrの間で若干変化された以外は第1および第2の量と同じ方法で導入された。第3~第12の量は、次いで第1および第2の化学量と同じ方法で除去されて、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上への、しかしながらSi(100)試料上へではない、ビスジメチルシリルエタン表面不動態の選択的形成が完了した。
選択的不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料は、室温まで20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料が、水接触角測定、原子間力顕微鏡(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000023
それらの試料はまた、X線光量子分光法(XPS)によって分析され、そしてその結果が下記の表に示されている。
Figure 0007085561000024
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料のTOF-SIMSスペクトルが図23に示されている。図23を参照すると、Si(100)のTOF-SIMSスペクトル中にビスジメチルシリルエタン表面不動態に関連付けられるピークの観察がないことは、不動態層の形成が、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)基材に限定されていた証拠である。すなわち、不動態は、所望の表面上に選択的に形成され、Si(100)表面上へは形成されなかった。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)およびSi(100)についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンについての正規化されたイオン強度が下記の表に示されている。
Figure 0007085561000025
例13(比較):[Cl(CHSi](CH(1,2-ビスクロロジメチルシリルエタン)を用いた370℃での熱処理加工なしでの「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)上への、そしてSi)100)上へではないビスジメチルシリルエタン表面不動態の選択的形成
1000Åの熱SiO/Si(100)[「1000ÅSiO」]およびSi(100)の幾つかの1.5”×1.5”の細片が、4”ウエハから切り出され、粒子を除去するように高純度窒素の流れで吹き飛ばされ、そして次いでSC-1洗浄浴中での浸漬に好適なテフロンボート中に容れられた。このボートおよび試料は、次いで70±5℃の温度に予備加熱された、SC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは10分間に亘って洗浄された。SC-1洗浄された1000ÅのSiO/Si(100)およびSi(100)試料が、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水の3回のダンプリンスサイクルを用いて化学薬品がすすぎ落された。これらの試料は、次いで粒子をろ過された、超高純度Nガス源を用いて完全に乾燥された。
乾燥されたSC-1洗浄された試料は、次いでHFエッチング浴中での浸漬に好適なテフロンボート中に配置された。ボートおよび試料は、次いで21±2℃であった、HFエッチング浴(51mLの超高純度HF(48~49%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは90秒間に亘ってエッチングされた。「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)およびSi(100)試料は、次いでHF溶液から取り出され、そして蒸留され、脱イオン化された水中に迅速に浸漬され、そして次いで粒子をろ過された超高純度のNガス源を用いて完全に乾燥された。
幾つかの「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料は、次いで管式炉反応器系の管の中に、250sccmの超高純度Nガスの流れの下で、室温で、可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして80mTorrの圧力にゆっくりと減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが2分間に亘って(2.3Torrの圧力で)行われた。N流が、次いで停止され、そしてこの管は、<5mTorrの圧力まで減圧された。上記のサイクルパージ工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われて、熱処理を開始する前に系中のバックグラウンド水分濃度を低下させた。当業者には知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
これらの試料は、370℃で10分間に亘って平衡にされ、N流が停止され、そして管が1mTorr以下の圧力に完全に排気された。管は、次いで1,2-ビスクロロジメチルシリルエタン[(Cl(CHSi](CH]の第1の化学量で、0.24Torrの圧力に充填され、そして次いでこの圧力で10分間に亘って分離された。第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(Cl(CHSi](CHの第2の化学量が、次いで第2の量の圧力が0.25Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(Cl(CHSi](CHの第3から第12の化学量が、次いでそれらの量の圧力が、0.23Torr~0.25Torrの間で若干変化された以外は第1および第2の量と同じ方法で導入された。第3~第12の量は、次いで第1および第2の化学量と同じ方法で除去されて、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上への、しかしながらSi(100)試料上へではない、ビスジメチルシリルエタン表面不動態の選択的形成が完了した。
選択的不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料は、室温まで20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000026
これらの試料は、またX線光量子分光法(XPS)によって分析され、そして結果が下記の表に示されている。
Figure 0007085561000027
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料についてのTOF-SIMSスペクトルが図24に示されている。図24を参照すると、Si(100)のTOF-SIMSスペクトル中にビスジメチルシリルエタン表面不動態に関連付けられるピークの観察が得られないことが、不動態層の形成が「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)基材に限定されていたことの証拠である。すなわち、不動態は、所望の表面上に選択的に形成されており、そしてSi(100)表面上には形成されていない。
「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)およびSi(100)についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンの正規化された強度が下記の表に示されている。
Figure 0007085561000028
例14(比較):[Cl(CHSi](CH(1,2-ビスクロロジメチルシリルエタン)を用いて370℃での熱処理加工ありでの「受け入れたままの」1000ÅのSiO/Si(100)上への、そしてSi(100)上へではないビスジメチルシリルエタン表面不動態の選択的形成
1000Åの熱SiO/Si(100)[「1000ÅSiO」]およびSi(100)の幾つかの1.5”×1.5”の細片が、4”ウエハから切り出され、粒子を取り除くように高純度窒素の流れで吹き飛ばされた。
それらの「受け入れたままの」1000ÅSiOおよび「SC-1洗浄され、HFエッチングされた」Si(100)サンプルの幾つかが、次いで管式炉反応器の管中に、250sccmの超高純度Nガスの流れの下で、室温で可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして80mTorrの圧力にゆっくりと排気された。20sccmのNの流れは、次いで反応器管中に導入され、そして減圧Nパージが2分間に亘って行われた(2.3Torrの圧力で)。Nの流れは、次いで停止され、そして管は<5mTorrの圧力に減圧された。前記のサイクルパージ工程は、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われ、熱処理を開始する前に、系中のバックグラウンドの水分濃度が低下された。当業者には知られているように、ロードロックシステムの使用は、サイクル回数を大きく低下させることを可能にし、一方でここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
熱処理が、次いで超高純度Nガス(2.3Torrで)の減圧パージの下で、この炉のための温度制御器に記憶された予めプログラムされた加熱プロセス処方を用いて行われた。2つの独立した熱電対の熱の軌跡(1つは外管温度を表し「壁」、そして1つは試料温度を表す)が、時間の関数として図25に示されている。
熱処理が完了した後に、20sccmの超高純度Nの流れが管を通して、2.5Torrの圧力で維持され、一方で試料の温度は370℃に低下された。これらの試料が、370℃で10分間に亘って平衡にされ、N流が停止され、そして管が1mTorr以下の圧力に完全に排気された。管は、次いで1,2-ビスクロロジメチルシリルエタン[(Cl(CHSi](CH]の第1の化学量で、0.24Torrの圧力に充填され、そして次いでこの圧力で10分間に亘って分離された。第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(Cl(CHSi](CHの第2の化学量が、次いで第2の量の圧力が0.25Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(Cl(CHSi](CHの第3から第12の化学量が、次いでそれらの量の圧力が、0.24Torr~0.26Torrの間で若干変化された以外は第1および第2の量と同じ方法で導入された。第3~第12の量は、次いで第1および第2の化学量と同じ方法で除去されて、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上への、しかしながらSi(100)試料上へではない、ビスジメチルシリルエタン表面不動態の選択的形成が完了した。
選択的不動態の形成が完了した後に、「受け入れたままの」1000ÅのSiOおよびSi(100)試料は、室温まで20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「受け入れたままの」1000ÅのSiOおよびSi(100)試料が、水接触角測定、原子間力顕微鏡(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、熱処理加工を受けなかった「受け入れたままの」1000ÅのSiO試料もまた、同様の方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000029
これらの試料はまた、X線光量子分光法(XPS)によって分析され、そして結果が下記の表に示されている。
Figure 0007085561000030
「受け入れたままの」1000ÅのSiOおよびSi(100)試料についてのTOF-SIMSスペクトルが図26に示されている。図26を参照すると、Si(100)のTOF-SIMSスペクトル中にビスジメチルシリルエタン表面不動態に関連付けられるピークの観察が得られないことが、不動態層の形成が「受け入れたままの」1000ÅSiO/Si(100)基材に限定されていたことの証拠である。すなわち、不動態は、所望の表面上に選択的に形成されており、そしてSi(100)表面上には形成されていない。
「受け入れたままの」1000ÅSiO/Si(100)およびSi(100)についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンの正規化された強度が下記の表に示されている。
Figure 0007085561000031
例15(比較):[Cl(CHSi](CH(1,2-ビスクロロジメチルシリルエタン)を用いた370℃での熱処理加工なしでの「受け入れたままの」1000ÅのSiO/Si(100)上への、そしてSi(100)上へではないビスジメチルシリルエタン表面不動態の選択的形成
1000ÅのSiO/Si(100)[「1000ÅSiO」]およびSi(100)の幾つかの1.5”×1.5”の細片が、4”ウエハから切り出され、粒子を取り除くように高純度窒素の流れで吹き飛ばされた。
幾つかのそれらの「受け入れたままの」1000ÅのSiOおよび「SC-1洗浄され、HFエッチングされた」Si(100)試料が、次いで管式炉反応器系の管の中に、250sccmの超高純度Nガスの流れの下で、室温で、可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして40mTorrの圧力にゆっくりと減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが2分間に亘って(2.3Torrの圧力で)行われた。N流が、次いで停止され、そしてこの管は、<5mTorrの圧力まで減圧された。上記のサイクルパージ工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われて、熱処理を開始する前に系中のバックグラウンド水分濃度を低下させた。当業者には知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
これらの試料は、370℃で10分間に亘って平衡にされ、N流が停止され、そして管が1mTorr以下の圧力に完全に排気された。管は、次いで1,2-ビスクロロジメチルシリルエタン[(Cl(CHSi](CH]の第1の化学量で、0.23Torrの圧力に充填され、そして次いでこの圧力で10分間に亘って分離された。第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(Cl(CHSi](CHの第2の化学量が、次いで第2の量の圧力が0.23Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(Cl(CHSi](CHの第3から第12の化学量が、次いでそれらの量の圧力が、0.23Torr~0.25Torrの間で若干変化された以外は第1および第2の量と同じ方法で導入された。第3~第12の量は、次いで第1および第2の化学量と同じ方法で除去されて、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上への、しかしながらSi(100)試料上へではない、ビスジメチルシリルエタン表面不動態の選択的形成が完了した。
選択的不動態の形成が完了した後に、「受け入れたままの」1000ÅのSiOおよびSi(100)試料は、室温まで20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「受け入れたままの」1000ÅのSiOおよびSi(100)試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、熱処理加工を受けなかった「受け入れたままの」1000ÅSiO試料が、同様の方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000032
これらの試料はまた、X線光量子分光法(XPS)によって分析され、そして結果が下記の表に示されている。
Figure 0007085561000033
「受け入れたままの」1000ÅのSiO/Si(100)についてのTPF-SIMSスペクトルが図27に示されている。図27を参照すると、Si(100)のTOF-SIMSスペクトル中にビスジメチルシリルエタンの表面不動態に関連付けられるピークの観察がされないことが、不動態層の形成が「受け入れたままの」1000ÅのSiO/Si(100)基材に限定されることの証拠である。すなわち、不動態は、所望の表面上に選択的に形成され、そしてSi(100)表面上には形成されなかった。
「受け入れたままの」1000ÅのSiO/Si(100)およびSi(100)についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンの正規化された強度が下記の表に示されている。
Figure 0007085561000034
例16(比較):(CHNSi(CH(ジメチルアミノトリメチルシラン)を用いた270℃での515℃の熱処理ありでの「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)上へのトリエチルシリル表面不動態の選択的形成
1000Åの熱SiO/Si(100)[「1000ÅSiO」]およびSi(100)の幾つかの1.5”×1.5”の細片が、4”ウエハから切り出され、粒子を取り除くように高純度窒素の流れで吹き飛ばされ、そして次いでSC-1洗浄浴中での浸漬に好適なテフロンボート中に容れられた。このボートおよび試料は、次いで70±5℃の温度に予熱されたSC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、そこでそれらは10分間に亘って洗浄された。SC-1洗浄された1000ÅのSiO/Si(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水の3回のダンプリンスサイクルを用いて化学薬品をすすぎ落された。これらの試料は、次いで粒子をろ過された超高純度のNガス源を用いて完全に乾燥された。
乾燥されたSC-1洗浄された試料は、次いでHFエッチング浴中での浸漬に好適なテフロンボート中に配置された。このボートおよび試料は、次いで21±2℃であったHFエッチング浴(51mLの超高純度HF(48~49%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは90秒間に亘ってエッチングされた。「SC-1洗浄され、HFエッチングされた」1000ÅのSIO/Si(100)およびSi(100)試料は、次いでHF溶液から取り出され、そして蒸留され、脱イオン化された水中に迅速に浸漬され、そして粒子をろ過された超高純度のNガス源を用いて完全に乾燥された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSIOおよびSi(100)試料は、管式炉反応器系の管中に、250sccmの超高純度Nガスの流れの下で、室温で、可能な限り最小限の遅れで容れられた。この管は、次いで封止され、そして80mTorrの圧力までゆっくりと減圧された。20sccmのNの流れが、次いで反応器管中に導入され、そして減圧のNパージが2分間に亘って(2.3Torrの圧力で)行われた。Nの流れが、次いで停止され、そして管は<5mTorrの圧力まで排気された。上記のサイクルパージの工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度のNの流れが、反応器系中に導入され、そして減圧のNパージが(2.3Torrで)1時間に亘って行われて、熱処理が開始される前に、系中のバックグラウンドの水分濃度が低減された。当業者には知られているように、ロードロックシステムの使用は、サイクル回数の大きな減少を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
515℃の熱処理が、これらの試料を515℃まで炉の最大の昇温速度(約20℃/分)で昇温することによって、次いで超高純度のNガス(2.3Torrで)の減圧パージの下で行われた。
熱処理が完了した後に、20sccmの超高純度のNの流れが、管を通して2.5Torrの圧力で維持され、一方で試料の温度は270℃に低下された。これらの試料は、270℃で10分間に亘って平衡にされ、N流が停止され、そして管が1mTorr以下の圧力に完全に排気された。管は、次いでジメチルアミノトリメチルシラン[(CHNSi(CH]の第1の化学量で、20.0Torrの圧力に充填され、そして次いでこの圧力で20分間に亘って分離された。第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHNSi(CH)の第2の化学量が、次いで第2の量の圧力が20.8Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHNSi(CHの第3の化学量が、次いで第3の量の圧力が、20.0Torrであった以外は第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の化学量と同じ方法で除去されて、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上への、しかしながらSi(100)試料上へではない、トリメチルシリル表面不動態の選択的形成が完了した。
選択的不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料は、室温まで20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、「受け入れたままの」1000ÅSiO試料もまた、同様の方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000035
これらの試料はまた、X線光量子分公報(XPS)によって分析され、そして結果が、下記の表に示されている。
Figure 0007085561000036
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO、「受け入れたままの」1000ÅSiOおよびSi(100)試料についてのTOF-SIMSスペクトルが図28~30に示されている。図28~30を参照すると、Si(100)のTOF-SIMSスペクトル中にトリメチルシリル表面不動態に関連付けられるピークの観察がないことは、不動態層の形成が、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)基材に限定されていたことの証拠である。すなわち、不動態は、所望の表面上に選択的に形成され、そしてSi(10)表面上へは形成されなかった。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)およびSi(100)についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンの正規化された強度が下記の表に示されている。
Figure 0007085561000037
例17:[Cl(CHSi](CH(1,2-ビスクロロジメチルシリルエタン)および(CHNSi(CH(ジメチルアミノトリメチルシラン)を用いた370℃での熱処理加工ありでの「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)および「受け入れたままの」1000ÅSiO/Si(100)上へのビスジメチルシリルエタン/トリメチルシリル表面不動態の選択的な形成
1000Åの熱SiO/Si(100)[「1000ÅSiO」]およびSi(100)の幾つかの1.5”×1.5”細片が、4”ウエハから切り出され、粒子を取り除くように高純度窒素の流れで吹き飛ばされ、そして次いでそれらの幾つかは、SC-1洗浄浴中での浸漬に好適なテフロンボート中に容れられた。このボートおよび試料は、次いで70±5℃の温度に予備加熱されたSC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、そこでそれらは10分間に亘って洗浄された。SC-1洗浄された1000ÅのSiO/Si(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水の3回のダンプリンスサイクルを用いてすすぎ落とされた。これらの試料は、次いで粒子をろ過された超高純度のNガス源を用いて完全に乾燥された。
乾燥されたSC-1洗浄された試料は、次いでHFエッチング浴中への浸漬に好適なテフロンボート中に配置された。このボートおよび試料は、次いで21±2℃であったHFエッチング浴(51mLの超高純度HF(48~49%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、そこでそれらは90秒間に亘ってエッチングされた。「SC-1洗浄され、HFエッチングされた」1000ÅSiO/Si(100)試料は、HF溶液から取り出され、そして蒸留され、脱イオン化された水中に迅速に浸漬され、そして次いで粒子をろ過された超高純度のNガス源を用いて完全に乾燥された。
「SC-1洗浄され、HFエッチングされた」1000ÅSiOおよび「受け入れたままの」1000ÅのSiO/Si(100)試料の幾つかが、次いで管式炉反応器系の管中に、250sccmの超高純度Nガスの流れの下で、室温で、可能な限り最小限の遅れで容れられた。この管は、次いで封止され、そして8060mTorrの圧力にゆっくりと減圧された。20sccmのNの流れが、次いで反応器管中に導入され、そして減圧のNパージが2分間に亘って(2.3Torrで)行われた。Nの流れは次いで停止され、そして管は、<5mToorの圧力まで排気された。上記のサイクルパージの工程は、系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、超高純度Nの20sccmの流れが反応器系中に導入され、そして熱処理が開始される前に系中のバックグラウンドの水分濃度を低減させるように、減圧のNパージ(2.3Torrで)が1時間に亘って行われた。当業者には知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
熱処理が、次いでこの炉のための温度制御器に記憶された予めブログラムされた加熱プロセス処方を用いて、超高純度Nガスの減圧パージ(2.3Torrで)の下で、行われた。2つの独立した熱電対の熱の軌跡(1つは外管温度を表し「壁」、そして1つは試料温度を表す)が、図31に示されている。
熱処理が完了した後に、20sccmの超高純度Nの流れは管を通して2.5Torrの圧力で維持され、一方で試料の温度は370℃に低下された。これらの試料は、370℃で10分間に亘って平衡にされ、Nの流れは停止され、そして管は1mTorr以下の圧力に完全に排気された。この管は次いで、1,2-ビスクロロジメチルシリルエタン[(Cl(CHSi](CH]の第1の化学量で0.25Torrの圧力まで充填され、そして次いでこの圧力で10分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(Cl(CHSi](CHの第2の化学量が、第2の量の圧力が0.25Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。((Cl(CHSi](CHの第3~12の化学量が、次いでそれらの量の圧力が0.25Torr~0.26Torrの間でわずかに変化した以外は、第1および第2の量と同じ方法で導入された。第3~12の化学量は、次いで第1および第2の化学量と同じ方法で除去された。反応器管は、次いでジメチルアミノトリメチルシランの化学量の導入の開始前に15分間に亘って基本の圧力まで減圧された。この管は、次いでジメチルアミノトリメチルシラン[(CHNSi(CH]の第1の化学量で20.4Torrの圧力まで充填され、そしてこの圧力に10分間に亘って分離された。第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHNSi(CHの第2の化学量が、第2の量の圧力が20.3Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHNSi(CHの第3の化学量は、次いで第3の量の圧力が19.8Torrであった以外は、第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の化学量と同じ方法で除去され、「SC-1洗浄され、HFエッチングされた」1000ÅSiO試料および「受け入れたままの」1000ÅのSiO試料上への、トリメチルシリル表面不動態の選択的形成を完結される。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、室温まで、20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのNの流れの下で取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。これらの分析の結果が、下記の表および図32に示されている。
Figure 0007085561000038
また、これらの試料は、X線光量子分公報(XPS)によって分析され、そしてその結果が下記の表に示されている。
Figure 0007085561000039
図32を参照すると、「受け入れたままの」1000ÅのSiO試料に対して、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)試料上で、不動態化種の遥かにより高い表面被覆があることがスペクトルから明らかである。それぞれの試料からの陽イオンの分布は、ビスジメチルシリルエタンおよびトリメチルシリル前駆体化合物で処理された試料(例10および15)についてのTOF-SIMSスペクトルとの比較から推論することができるように、ビスジメチルシリルエタンおよびトリメチルシリルの両方の不動態化種の存在と整合する。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)および「受け入れたままの」1000ÅのSiO/Si(100)試料についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンの正規化された強度が下記の表に示されている。
Figure 0007085561000040
例18:[Cl(CHSi](CH(1,2-ビスクロロジメチルシリルエタン)および(CHNSi(CH(ジメチルアミノトリメチルシラン)を用いた、370℃での熱処理加工なしでの「SC-1洗浄され、HFエッチングされた」の1000ÅのSiO/Si(100)上への、そしてSi(100)上へではない、ビスジメチルシリルエタン/トリメチルシリル表面不動態の選択的な形成
1000Åの熱SiO/Si(100)[「1000ÅSiO」]およびSi(100)の幾つかの1.5”×1.5”の細片が4”ウエハから切り出され、粒子を除去するように高純度の窒素の流れで吹き飛ばされ、そして次いでそれらの幾つかが、次いでSC-1洗浄浴中での浸漬に好適なテフロンボート中に容れられた。このボートおよび試料は、次いで70±5℃の温度に予備加熱されたSC-1溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬された。SC-1洗浄された1000ÅのSiO/Si(100)およびSi(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水の3回のダンプリンスサイクルを用いて化学薬品をすすぎ落とされた。これらの試料は、次いで粒子をろ過された超高純度のNガス源を用いて完全に乾燥された。
乾燥されたSC-1洗浄された試料は、次いでHFエッチング浴中での浸漬に好適なテフロンボート中に配置された。このボートおよび試料は、次いで21±2℃であったHFエッチング浴(51mLの超高純度HF(48~49%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、そこでそれらは90秒間に亘ってエッチングされた。「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)およびSi(100)試料は、次いでHF溶液から取り出され、そして蒸留され、脱イオン化された水中に迅速に浸漬され、そして次いで粒子をろ過された超高純度Nガス源を用いて完全に乾燥された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO/Si(100)試料の幾つかが、次いで、250sccmの超高純度のNガス流の下で、室温で、可能な限り最小限の遅れで、管式炉反応器系の管中に容れられた。この管は、次いで封止され、そしてゆっくりと8060mTorrの圧力に減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが、2分間に亘って行われた(2.3Torrの圧力で)。このN流は、次いで停止され、そしてこの管は、<5mTorrの圧力に減圧された。上記のサイクルパージの工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われ、熱処理を開始する前に、系中のバックグラウンドの水分濃度が低下された。当業者には知られているように、ロードロックシステムの使用は、サイクル回数を大きく低下させることを可能にし、一方でここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
20sccm超高純度のNの流れが、管を通して2.5Torrの圧力で維持され、一方で試料温度は、370℃に上昇された。これらの試料は、370℃に10分間に亘って平衡にされ、N流は停止され、そして管は約1mTorrの圧力に完全に排気された。管は、次いで1,2-ビスクロロジメチルシリルエタン[(Cl(CHSi](CH]の第1の化学量で0.25Torrの圧力まで充填され、そして次いでこの圧力で10分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(Cl(CHSi](CHの第2の化学量が、第2の量の圧力が0.26Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。((Cl(CHSi](CHの第3~12の化学量は、次いでそれらの量の圧力が0.25Torr~0.26Torrの間で若干変化された以外は、第1および第2の量と同じ方法で導入された。第3~12の化学量は、次いで第1および第2の化学量と同じ方法で除去された。反応器管は、ジメチルアミノトリメチルシランの化学量導入の開始の前に、15分間に亘って基本の圧力に排気された。この管は、次いでジメチルアミノトリメチルシラン[(CHNSi(CH]の第1の化学量で20.4Torrの圧力に充填され、この圧力で10分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHNSi(CHの第2の化学量が、第2の量の圧力が20.3Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHNSi(CHの第3の化学量が、次いで第3の量の圧力が19.8Torrであった以外は、第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の化学量と同じ方法で除去され、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料および「受け入れたままの」1000ÅのSiO試料上への、トリメチルシリルシリル表面不動態の選択的形成を完結させる。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、20sccmの超高純度Nの流れの下で2.3Torrの圧力で室温まで冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、迅速に容器中に容れられ、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。これらの分析の結果が、下記の表および図33に示されている。
Figure 0007085561000041
これらの試料はまた、X線光量子分光法(XPS)によって分析され、そして結果が下記の表に示されている。
Figure 0007085561000042
図33を参照すると、「受け入れたままの」1000ÅのSiO試料に対して、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)試料上で、不動態化種の遥かにより高い表面被覆があることがスペクトルから明らかである。それぞれの試料からの陽イオンの分布は、ビスジメチルシリルエタンおよびトリメチルシリル前駆体化合物で処理された試料(例10~15)についてのTOF-SIMSスペクトルとの比較から推論することができるように、ビスジメチルシリルエタンおよびトリメチルシリルの両方の不動態化種の存在と整合する。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)および「受け入れたままの」1000ÅのSiO試料についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンの正規化された強度が下記の表に示されている。
Figure 0007085561000043
例19:(CHNSi(CHCHCH(ジメチルアミノトリ-n-プロピルシラン)を用いて270℃での熱処理加工ありでの「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)、「受け入れたままの」1000ÅのSiO/Si(100)上へのそしてSi(100)上へではないトリ-n-プロピルシリル表面不動態の選択的な形成
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料が、不動態層の形成の前に、例12中での試料について記載されたのと同じ方法に付された。トリ-n-プロピルシリル不動態層が、次いで「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上へ、そして「SC-1洗浄され、HFエッチングされた」1000ÅのSi(100)試料上へではなく選択的に形成された。
熱処理が完了した後に、20sccm超高純度のNの流れが、管を通して2.5Torrの圧力で維持され、一方で試料温度は、270℃に低下された。これらの試料は、270℃10分間に亘って平衡にされ、N流は停止され、そして管は約1mTorrの圧力に完全に排気された。管は、次いでジメチルアミノ(トリ-n-プロピル)シラン(CHCHCHSiN(CHの第1の化学量で、0.089Torrの圧力まで、充填され、そして次いでこの圧力で10分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHCHCHSiN(CHの第2の化学量が、第2の量の圧力が0.073Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHCHCHSiN(CHの第3~11の化学量が、次いで第3の量の圧力が0.070Torr~0.090Torrの間で若干変化された以外は、第1および第2の量と同じ方法で導入された。第3~11の量は、次いで第1および第2の化学量と同じ方法で除去され、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料および「受け入れたままの」1000ÅのSiO試料上への、トリ-n-プロピルシリル表面不動態の選択的形成を完結させる。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れられた」1000ÅのSiO試料が室温まで、20sccm超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのNの流れの下で、取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。これらの分析の結果が、以下の表および図34に示されている。
Figure 0007085561000044
これらの試料はまた、X線光量子分光法(XPS)によって分析され、そして結果が下記の表に示されている。
Figure 0007085561000045
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料についてのTOF-SIMSスペクトルが図34に示されている。このスペクトルから、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)試料上への、「受け入れたままの」1000ÅのSiO試料に対する。遥かにより高いに表面被覆があることが明らかである。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)および「受け入れたままの」1000ÅのSiO試料についての質量45amu、質量29amu、43amu、59amuおよび73amuの陽イオンについての正規化された強度が下記の表に示されている。
Figure 0007085561000046
例20:(CHNSi(CHCHCH(ジメチルアミノトリ-n-プロピルシラン)を用いて270℃での熱処理加工なしでの「SC-1洗浄された、HFエッチングされた」1000ÅのSiO/Si(100)、「受け入れたままの」1000ÅのSiO/Si(100)上への、そしてSi(100)上へではないトリ-n-プロピルシリル表面不動態の選択的な形成
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料が、不動態層の形成の前に、例13中での試料について記載されたのと同じ方法に付された。トリ-n-プロピルシリル不動態層が、次いで以下の方法を用いて、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上へ、そして「SC-1洗浄され、HFエッチングされた」Si(100)試料上へではなく選択的に形成された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO/Si(100)試料の幾つかが、次いで、250sccmの超高純度のNガス流の下で、室温で、可能な限り最小限の遅れで、管式炉反応器系の管中に容れられた。この管は、次いで封止され、そしてゆっくりと60mTorrの圧力に減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが、2分間に亘って行われた(2.3Torrの圧力で)。このN流は、次いで停止され、そしてこの管は、<5mTorrの圧力に減圧された。上記のサイクルパージの工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われ、熱処理を開始する前に、系中のバックグラウンドの水分濃度が低下された。当業者には知られているように、ロードロックシステムの使用は、サイクル回数を大きく低下させることを可能にし、一方でここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
20sccm超高純度のNの流れが、管を通して2.5Torrの圧力で維持され、一方で試料温度は、270℃に上昇された。これらの試料は、270℃に10分間に亘って平衡にされ、N流は停止され、そして管は約1mTorrの圧力に完全に排気された。管は、次いでジメチルアミノ(トリ-n-プロピル)シラン(CHCHCHSiN(CHの第1の化学量で0.085Torrの圧力まで充填され、そして次いでこの圧力で10分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHCHCHSiN(CHの第2の化学量が、第2の量の圧力が0.079Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHCHCHSiN(CHの第3~11の化学量は、次いでそれらの量の圧力が0.081Torr~0.084Torrの間で若干変化された以外は、第1および第2の量と同じ方法で導入された。第3~11の化学量は、次いで第1および第2の化学量と同じ方法で除去され、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料および「受け入れたままの」1000ÅのSiO試料上へのトリ-n-プロピルシリル表面不動態の選択的な形成が完了した。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、20sccmの超高純度Nの流れの下で2.3Torrの圧力で室温まで冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、迅速に容器中に容れられ、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、水接触角測定、原子間力顕微鏡法(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。これらの分析の結果が、下記の表および図33に示されている。
Figure 0007085561000047
これらの試料はまた、X線光量子分光法(XPS)によって分析され、そして結果が下記の表に示されている。
Figure 0007085561000048
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料についてのTOF-SIMSスペクトルが図35に示されている。「受け入れたままの」1000ÅのSiO試料に対して、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)試料上で、不動態化種の遥かにより高い表面被覆があることが図35のスペクトルから明らかである。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)および「受け入れたままの」1000ÅのSiO試料についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンの正規化された強度が下記の表に示されている。
Figure 0007085561000049
例21(比較):(トリ-n-プロピルクロロシラン)を用いた445℃での「SC-1洗浄された」1000ÅのSiO/Si(100)および「受け入れたままの」1000ÅのSiO/Si(100)上へのトリ-n-プロピルシリル表面不動態の形成
「SC-1洗浄された」1000ÅのSiO試料が、不動態層の形成の前に、前述の「SC-1」洗浄工程に付された。トリ-n-プロピルシリル不動態層は、次いで「SC-1洗浄された」1000ÅのSiO試料および「受け入れたままの」1000ÅのSiO/Si(100)上に選択的に形成された。「受け入れたままの」試料は、洗浄されなかった。
「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO/Si(100)試料の幾つかが、次いで、250sccmの超高純度のNガス流の下で、室温で、可能な限り最小限の遅れで、管式炉反応器系の管中に容れられた。この管は、次いで封止され、そしてゆっくりと30mTorrの圧力に減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが、2分間に亘って行われた(2.3Torrの圧力で)。このN流は、次いで停止され、そしてこの管は、<5mTorrの圧力に減圧された。上記のサイクルパージの工程が、反応器系内に、基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nが反応器系中に導入され、そして熱処理を開始する前に系中のバックグラウンドの水分濃度を低減させるように、減圧のNパージ(2.3Torrで)が1時間に亘って行われた。当業者には知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
この管を通した2.5Torrの圧力での20sccmの超高純度Nの流れの下で、一方試料の温度は445℃に上昇された。これらの試料は、445℃で10分間に亘って平衡にされ、N流が停止され、そしてこの管は、1mTorr以下の圧力に完全に排気された。この管は、次いでトリ-n-プロピルクロロシラン(CHCHCHSiClの第1の化学量が、0.296Torrの圧力に充填され、そして次いでこの圧力で6分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に1分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHCHCHSiClの第2の化学量が、次いで第2の量の圧力が0.320Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHCHCHSiClの第3~10の化学量が、次いでそれらの量の圧力が0.300Torr~0.350Torrの間で若干変化された以外は第1および第2の量と同じ方法で導入された。第3~11の化学量は、次いで第1および第2の量と同じ方法で除去され、「SC-1洗浄された」1000ÅのSiO試料および「受け入れたままの」1000ÅのSiO試料上へのトリ-n-プロピルシリル表面不動態の選択的形成を完結させた。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は室温まで、20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのNの流れの下で取り出され、迅速に容器中に封入され、そして次いでNの下で貯蔵された。
「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、水接触角測定を用いて特性決定された。それらの分析の結果が下記の表に示されている。
Figure 0007085561000050
例22(比較):BrSi(CH(トリメチルブロモシラン)を用いた220℃での「SC-1洗浄された」1000ÅのSO/Si(100)および「受け入れたままの」1000ÅのSiO/Si(100)上へのトリメチルシリル表面不動態の形成
「SC-1洗浄された」1000ÅのSiO試料が、不動態層の形成の前に、前述の「SC-1」洗浄プロセスに付された。トリメチルシリル不動態層が、次いで「SC-1洗浄された」1000ÅのSiO試料および「受け入れたままの」1000ÅのSiO試料上に、下記のプロセスを用いて選択的に形成された。「受け入れたままの」試料は洗浄されなかった。
「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅSiO/Si(100)試料の幾つかが、次いで管式炉反応器の管中に、250sccmの超高純度Nガスの流れの下で、室温で可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして40mTorrの圧力にゆっくりと排気された。20sccmのNの流れは、次いで反応器管中に導入され、そして減圧のNパージが2分間に亘って行われた(2.3Torrの圧力で)。Nの流れは、次いで停止され、そして管は<5mTorrの圧力に減圧された。前記のサイクルパージ工程は、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われ、熱処理を開始する前に、系中のバックグラウンドの水分濃度が低下された。当業者には知られているように、ロードロックシステムの使用は、サイクル回数を大きく低下させることを可能にし、一方でここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
2.5Torrの圧力での管を通した20sccmの超高純度のNの流れの下で、一方で試料温度は、220℃に上昇された。これらの試料は、220℃に10分間に亘って平衡にされ、N流は停止され、そして管は約1mTorrの圧力に完全に排気された。管は、次いでトリメチルブロモシラン(CHSiBrの第1の化学量で20.5Torrの圧力まで充填され、そして次いでこの圧力で10分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に1分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHSiBrの第2の化学量が、第2の量の圧力が20.5Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHSiBrの第3の化学量は、次いで第3の量の圧力が19.5Torrであった以外は、第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の化学量と同じ方法で除去され、「SC-1洗浄された」1000ÅのSiO試料および「受け入れたままの」1000ÅのSiO試料上へのトリメチルシリル表面不動態の選択的な形成が完了した。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、室温に、20sccmの超高純度のNの流れの下で2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのNの流れの下で取り出され、迅速に容器中に封入され、そして次いでNの下で貯蔵された。
「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、水接触角測定を用いて特性決定された。それらの分析の結果が下記の表に示されている。
Figure 0007085561000051
例23(比較):ClSi(CH(トリメチルクロロシラン)を用いた405℃での「SC-1洗浄された」1000ÅのSiO/Si(100)および「受け入れたままの」1000ÅのSiO/Si(100)上へのトリメチルシリル表面不動態の形成
「SC-1洗浄された」1000ÅSiO試料が、不動態層の形成の前に、前述の「SC-1」洗浄プロセスに付された。トリメチルシリル不動態層が、次いで「SC-1洗浄された」1000ÅSiO試料および「受け入れたままの」1000ÅのSiO試料上に、以下のプロセスを用いて、選択的に形成された。「受け入れたままの」試料は、洗浄されなかった。
「SC-1洗浄された」1000ÅSiOおよび「受け入れたままの」1000ÅSiO/Si(100)試料の幾つかが、管式炉反応器系の管の中に、250sccmの超高純度Nガスの流れの下で、室温で、可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして40mTorrの圧力にゆっくりと減圧された。20sccmのN流が、次いでこの反応管中に導入され、そして減圧のNパージが2分間に亘って(2.3Torrの圧力で)行われた。N流が、次いで停止され、そしてこの管は、<5mTorrの圧力まで減圧された。上記のサイクルパージ工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、熱処理を開始する前にバックグラウンド水分濃度を低減させるように、20sccmの超高純度Nの流れが、反応系中に導入され、そして減圧のNパージが1時間に亘って行われた。当業者に知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
20sccm超高純度のNの管を通した2.5Torrの圧力での流れの下で、一方で試料温度は、405℃に上昇された。これらの試料は、405℃で10分間に亘って平衡にされ、N流は停止され、そして管は1mTorr以下の圧力に完全に排気された。管は、次いでトリメチルクロロシラン(CHSiClの第1の化学量で、3.35Torrの圧力まで、充填され、そして次いでこの圧力で5分間に亘って分離された。この第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に1分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHSiClの第2の化学量が、第2の量の圧力が24.7Torrであったこと以外は、次いで第1の量と同じ方法で導入された。第2の量は、第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHSiBrの第3の化学量は、次いで第3の量の圧力が1.9Torrであり、そして暴露時間が10分間であった以外は、第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の化学量と同じ方法で除去され、「SC-1洗浄された」1000ÅSiO試料および「受け入れたままの」1000ÅSiO試料上への、トリメチルシリル表面不動態の選択的形成を完結させる。
選択的な不動態の形成が完了した後に、「SC-1洗浄され、HFエッチングされた」1000ÅSiOおよび「受け入れたままの」1000ÅSiO試料は、室温まで、20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は次いで、500sccmのNの流れの下で取り出され、容器中に迅速に封入され、そして次いでNの下で貯蔵された。
「SC-1洗浄された」1000ÅSiOおよび「受け入れたままの」1000ÅSiO試料は、水接触角測定を用いて特性決定された。それらの分析の結果が下記の表に示されている。
Figure 0007085561000052
例24:ISi(CH(ヨードトリメチルシラン)を用いた370℃での熱処理加工ありでの「SC-1洗浄された」1000ÅのSiO/Si(100)および「受け入れたままの」1000ÅのSiO/Si(100)上へのトリメチルシリル表面不動態の形成
1000Åの熱SiO/Si(100)[「1000ÅSiO」]の幾つかの1.5”×1.5細片が、4”ウエハから切り出され、粒子を除去するように高純度窒素の流れで吹き飛ばされ、そして次いでSC-1洗浄浴中での浸漬に好適なテフロンボート中に容れられた。ボートおよび試料は、次いで、70±5℃の温度に予備加熱されたSC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは10分間に亘って洗浄された。SC-1洗浄された、1000ÅのSiO/Si(100)およびSi(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水の3回のダンプリンスサイクルを用いて化学薬品をすすがれた。これらの試料は、次いで粒子をろ過された超高純度のNガス源を用いて、完全に乾燥された。
「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO/Si(100)試料の幾つかが、次いで管式炉反応器系の管中に、250sccmの超高純度のNガスの流れの下で、室温で、可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして80mTorrの圧力に減圧された。20sccmのNの流れが、次いで反応器管中に導入され、そして減圧のNパージが2分間に亘って行われた(2.3Torrの圧力で)。Nの流れは、次いで停止され、そして管は、<5mTorrの圧力に減圧された。前記のサイクルパージ工程が、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧Nパージ(2.3Torrで)が1時間に亘って行われて、熱処理を開始する前に、系中のバックグラウンド水分濃度を低減させた。当業者に知られているように、ロードロックシステムの使用は、サイクル回数を大きく低下させることを可能にし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
熱処理が、次いでこの炉のための温度制御器に記憶された予めプログラムされた加熱プロセス処方を用いて、超高純度Nガスの減圧パージの下で行われた(2.3Torrで)。2つの独立した熱電対(1つは外管温度を表し-「壁」そして1つは試料温度を表す)の熱の軌跡が図36に示されている。
熱処理が完了した後に、20sccmの超高純度Nの流れが管を通して、2.5Torrの圧力で維持され、一方で試料の温度は370℃に低下された。これらの試料が、370℃で10分間に亘って平衡にされ、N流が停止され、そして管が約1mTorrの圧力に完全に排気された。管は、次いでヨードトリメチルシラン[ISi(CH]の第1の化学量で、20.1Torrの圧力に充填され、そして次いでこの圧力で20分間に亘って分離された。第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に1分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。[ISi(CH]の第2の化学量が、次いで第2の量の圧力が19.8Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。[ISi(CH]の第3の化学量が、次いで第3の量の圧力が、20.2Torrであった以外は第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の化学量と同じ方法で除去されて、「SC-1洗浄された」1000ÅのSiO試料および「受け入れたままの」1000ÅのSiO試料上への、トリメチルシリル表面不動態の選択的形成が完了した。
選択的不動態の形成が完了した後に、「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、室温まで20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料が、水接触角測定、原子間力顕微鏡(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000053
また、これらの試料は、X線光量子分光法(XPS)を用いて分析され、そしてその結果が下記の表に示されている。
Figure 0007085561000054
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料のTOF-SIMSスペクトルが図37に示されている。図37を参照すると、Si(100)のTOF-SIMSスペクトル中にトリメチルシリル表面不動態に関連付けられるピークの観察がないことは、不動態層の形成が、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)基材に限定されていた証拠である。すなわち、不動態は、所望の表面上に選択的に形成され、Si(100)表面上へは形成されなかった。この結論はまた、これらの試料についての水接触角の測定結果およびこれらの試料についてのAFM表面粗さの測定結果によって支持されている。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiO/Si(100)およびSi(100)についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンについての正規化されたイオン強度が下記の表に示されている。
Figure 0007085561000055
例25:ISi(CH(ヨードトリメチルシラン)を用いた370℃での熱処理加工なしでの「SC-1洗浄された」1000ÅのAiO/Si(100)および「受け入れたままの」1000ÅのSiO/Si(100)上へのトリメチルシリル表面不動態の形成
1000Åの熱SiO/Si(100)「「1000ÅSiO」」のいくつかの1.5”×1.5”の細片が4”ウエハから切り出され、粒子を取り除くように高純度窒素の流れで吹き飛ばされ、そして次いでSC-1洗浄浴中への浸漬に好適なテフロンボート中に容れられた。ボートおよび試料は、次いで70±5℃の温度に予備加熱されたSC-1洗浄溶液(100mLの超高純度NHOH(28%~30%)、200mLの超高純度H(28~30%)、1000mLの蒸留され、脱イオン化されたHO)中に浸漬され、それらは10分間に亘って洗浄された。SC-1洗浄された、1000ÅのSiO/Si(100)およびSi(100)試料は、次いで洗浄浴から取り出され、そして蒸留され、脱イオン化された水の3回のダンプリンスサイクルを用いて化学薬品がすすがれた。これらの試料は、次いで粒子をろ過された、高純度のNガス源を用いて完全に乾燥された。
「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料は、次いで管式炉反応器系の管中に、250sccmの超高純度Nガスの流れの下で、室温で、可能な限り最小限の遅れで、容れられた。この管は、次いで封止され、そして80mTorrの圧力にゆっくりと減圧された。20sccmのNの流れが、次いで反応器管中に導入され、そして減圧のNパージが2分間に亘って行われた(2.3Torrの圧力で)。Nの流れは、次いで停止され、そして管は<5mTorrの圧力まで減圧された。前記のサイクルパージ工程は、この系の基本の圧力が得られるまで繰り返された。
基本の圧力が得られた後に、20sccmの超高純度Nの流れが反応器系中に導入され、そして減圧のNパージ(2.3Torrで)が1時間に亘って行われて、熱処理を開始する前に系中のバックグラウンド水分濃度を低下させた。当業者には知られているように、ロードロックシステムの使用は、大きく低減されたサイクル回数を可能とし、一方で、ここに記載されたプロセスが有効であるために必要とされる系の純度を更に与える。
20sccmの超高純度Nの2.5Torrの圧力での管を通した流れの下で、一方で試料の温度は370℃に上昇された。これらの試料が、370℃で10分間に亘って平衡にされ、N流が停止され、そして管が1mTorr以下の圧力に完全に排気された。管は、次いでジメチルアミノトリメチルシラン[(CHNSi(CH]の第1の化学量で、20.8Torrの圧力に充填され、そして次いでこの圧力で20分間に亘って分離された。第1の化学量は、次いでチャンバから、減圧のNパージと、20sccmNの動的流れを2.5Torrの圧力で1分間に亘って最初に導入すること、次いでこの管を10mTorr以下の圧力に2分間に亘って減圧することを含む排気との組み合わせを用いて、除去された。(CHNSi(CHの第2の化学量が、次いで第2の量の圧力が21.0Torrであった以外は、第1の量と同じ方法で導入された。第2の量は、次いで第3の化学量の導入の前に、第1の化学量と同じ方法で除去された。(CHNSi(CHの第3の化学量が、次いで第3の量の圧力が、21.4Torrであった以外は第1および第2の量と同じ方法で導入された。第3の化学量は、次いで第1および第2の化学量と同じ方法で除去されて、「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料上への、しかしながらSi(100)試料上へではない、トリメチルシリル表面不動態の選択的形成が完了した。
選択的な不動態の形成が完了した後に、「SC-1洗浄された」1000ÅのSiOおよびSi(100)および「受け入れたままの」1000ÅのSiO試料は、室温まで20sccmの超高純度Nの流れの下で、2.3Torrの圧力で冷却された。これらの試料は、次いで500sccmのN流の下で取り出され、容器中に迅速に封入され、そして次いでそれらの性質の分析的な特性決定のための販売業者への出荷のために、Nの下で貯蔵された。
「SC-1洗浄され、HFエッチングされた」1000ÅのSiOおよびSi(100)試料が、水接触角測定、原子間力顕微鏡(AFM)および飛行時間型二次イオン質量分析(TOF-SIMS)を用いて特性決定された。比較のために、熱処理加工を受けなかった「SC-1洗浄され、HFエッチングされた」1000ÅのSiO試料もまた、同様の方法で特性決定された。これらの分析の結果が、以下の表に示されている。
Figure 0007085561000056
それらの試料はまた、X線光量子分光法(XPS)によって分析され、そしてその結果が下記の表に示されている。
Figure 0007085561000057
「SC-1洗浄された」1000ÅのSiOおよび「受け入れたままの」1000ÅのSiO試料のTOF-SIMSスペクトルが図38に示されている。
「SC-1洗浄された」1000ÅのSiO/Si(100)およびSi(100)についての質量45amu、29amu、43amu、59amuおよび73amuを有する陽イオンについての正規化されたイオン強度が下記の表に示されている。
Figure 0007085561000058
本発明の原理が、好ましい態様に関して上述されているが、この記載は、例としてのみなされているのであって、本発明の範囲を限定するものではないことが明確に理解されなければならない。
本発明は、以下の態様を含んでいる。
(1) 選択的な膜の堆積のための基材の表面の調製方法であって、該基材の該表面は、少なくとも、SiO と初期濃度の表面ヒドロキシル基とを含む第1の表面およびSiHを含む第2の表面を含み、該方法は、以下の工程、
該基材を、該初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基含む処理された基材を得るように、湿式の化学組成物と接触させる工程、ならびに、
該処理された基材を、約200℃~約600℃の温度に加熱する工程、該加熱する工程は、該第1の表面上の該表面ヒドロキシル基の少なくとも一部を、該基材の該表面上の表面シロキサン基へと変換させる、
を含んでなる方法。
(2)前記接触させる工程が、約50℃~約100℃の温度で行われる、(1)記載の方法。
(3)前記接触させる工程が、約55℃~約95℃の温度で行われる、(2)記載の方法。
(4)前記接触させる工程が、約60℃~約90℃の温度で行われる、(3)記載の方法。
(5)前記加熱する工程が、約200℃~約550℃の温度で行われる、(1)記載の方法。
(6)前記加熱する工程が、約300℃~約500℃の温度で行われる、(5)記載の方法。
(7)前記加熱する工程が、約400℃~約450℃の温度で行われる、(5)記載の方法。
(8)前記加熱する工程が、前記基材を200℃未満の温度に5~10分間に亘って先ず加熱し、次いで該温度を約400℃~約500℃の温度に上昇させることによって行われる、(1)記載の方法。
(9)前記湿式の化学組成物が、H (28%~30%水溶液)、NH (28~30%)、およびH O、HF(0.01%~5%(水溶液))、過酸化物、およびH SO /H の混合物を含む組成物からなる群から選択される少なくとも1種を含む、(1)記載の方法。
(10)SiHを含む前記第2の表面が、-SiH 、-SiH 、および-SiHからなる群から選択された少なくとも1種を含む、(1)記載の方法。
(11)SiHを含む前記第2の表面が、Si(100)を含む、(1)記載の方法。
(12)SiO を含む前記第1の表面が、-SiH 、-SiH 、および-SiHからなる群から選択された少なくとも1種を含む、(1)記載の方法。
(13)SiHを含む前記第2の表面が、SiNを含む、(1)記載の方法。
(14)SiHを含む前記第2の表面が、金属または金属酸化物を含む、(1)記載の方法。
(15)基材の表面を、気相反応によって選択的に不動態化する方法であって、該基材の表面は、少なくとも、SiO と初期濃度の表面ヒドロキシル基とを含む第1の表面、およびSiHを含む第2の表面を含み、該方法は、以下の工程、
該基材を、該初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基含む処理された基材を得るように、湿式の化学組成物と接触させる工程、
該処理された基材を、約200℃~約600℃の温度および10-10Torr~3000Torrの圧力で加熱する工程、該加熱する工程は、該第1の表面上の該表面ヒドロキシル基の少なくとも一部を、該基材の該表面上の表面シロキサン基へと変換させる、
該基材を、該加熱する工程以下の温度で、式Iおよび式IIからなる群から選択されるケイ素含有化合物に暴露させる工程、
Figure 0007085561000059
式中、
、R およびR は、それぞれ独立してH、C ~C 直鎖アルキル基、分岐C ~C アルキル基、C ~C 環式アルキル基、C ~C 10 ヘテロ環式基、C ~C 10 アルケニル基、C ~C アリール基、およびC ~C 10 アルキニル基から選択され、
は、C ~C 18 アルキル基、分岐C ~C 10 アルキル基、C ~C 10 ヘテロ環式基およびC ~C 10 アリール基から選択され、
は、結合、C ~C 直鎖アルキル基、分岐C ~C アルキル基、C ~C 環式アルキル基、C ~C 10 ヘテロ環式基、C ~C 10 アルケニル基、C ~C アリール基、およびC ~C 10 アルキニル基から選択され、
Xは、NR 、Cl、F、Br、I、-OCH および-OHから選択され、ここでR およびR は、それぞれ独立してH,C ~C 直鎖アルキル基およびC ~C 分岐アルキル基から選択され、そして、
nおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11であり、
該ケイ素含有化合物は、該第1の表面の該表面ヒドロキシル基と反応して、シリルエーテル末端の表面を形成して、そしてそれによって該表面を不動態化する、
を含んでなる、方法。
(16)前記ケイ素含有化合物が、式1によって表される少なくとも1種の化合物である、(15)記載の方法。
(17)式Iによって表される前記化合物が、ヨードトリス(3,3,3-トリフルオロプロピル)シラン、ジメチルアミノトリス(3,3,3-トリフルオロプロピル)シラン、[(CF CF (CH (CH SiCl]、およびブロモトリス(1,1,1-3,3,3-ヘキサフルオロ-イソプロピル)シランからなる群から選択される少なくとも1種である、(16)記載の方法。
(18)前記ケイ素含有化合物が、式IIによって表される化合物である、(15)記載の方法。
(19)式IIによって表される前記化合物が、1,3-ビス-クロロジメチルシリル(エタン)、1,3-ビス-ブロモジメチルシリル(エタン)、1,3-ビス-ヨードジメチルシリル(エタン)、1,3-ビス-ジメチルアミノ-ジメチルシリル(エタン)、1,3-ビス-クロロジメチルシリル(プロパン)、1,3-ビス-ブロモジメチルシリル(プロパン)、1,3-ビス-ヨードジメチルシリル(プロパン)、1,3-ビス-ジメチルアミノ-ジメチルシリル(プロパン)、1,3-ビス-クロロジメチルシリル(ブタン)、1,3-ビス-ブロモジメチルシリル(ブタン)、1,3-ビス-ヨードジメチルシリル(ブタン)および1,3-ビス-ジメチルアミノ-ジメチルシリル(ブタン)からなる群から選択される、(18)記載の方法。
(20)前記接触させる工程が、約50℃~約100℃の温度で行われる、(15)記載の方法。
(21)前記接触させる工程が、約55℃~約95℃の温度で行われる、(20)記載の方法。
(22)前記接触させる工程が、約60℃~約90℃の温度で行われる、(21)記載の方法。
(23)前記加熱する工程が、約200℃~約650℃の温度で行われる、(15)記載の方法。
(24)前記加熱する工程が、約300℃~約550℃の温度で行われる、(23)記載の方法。
(25)前記加熱する工程が、約400℃~約500℃の温度で行われる、(24)記載の方法。
(26)前記加熱する工程が、前記基材を200℃未満の温度に5~10分間に亘って先ず加熱し、次いで該温度を約400℃~約500℃の温度に上昇させることによって行われる、(15)記載の方法。
(27)前記湿式の化学組成物が、H (28%~30%水溶液)、NH (28~30%)、およびH O、HF(0.01%~5%(水溶液))、過酸化物、およびH SO /H の混合物を含む組成物からなる群から選択される少なくとも1種を含む、(15)記載の方法。
(28)SiHを含む前記第2の表面が、-SiH 、-SiH 、および-SiHからなる群から選択された少なくとも1種を含む、(15)記載の方法。
(29)SiHを含む前記第2の表面が、Si(100)を含む、(15)記載の方法。
(30)SiO を含む前記第1の表面が、-SiH 、-SiH 、および-SiHからなる群から選択された少なくとも1種を含む、(15)記載の方法。
(31)SiHを含む前記第2の表面が、SiNを含む、(15)記載の方法。
(32)SiHを含む前記第2の表面が、金属または金属酸化物を含む、(15)記載の方法。
(33)前記暴露させる工程が、150℃~500℃の範囲の温度で行われる、(15)記載の方法。
(34)前記暴露させる工程が、150℃~450℃の範囲の温度で行われる、(15)記載の方法。
(35)基材の表面を、選択的に膜を堆積させる方法であって、該基材の表面は、少なくとも、SiO と初期濃度の表面ヒドロキシル基とを含む第1の表面、およびSiHを含む第2の表面を含み、該方法は、以下の工程、
該基材を、該初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基含む処理された基材を得るように、湿式の化学組成物と接触させる工程、
該処理された基材を、約200℃~約600℃の温度および10-10Torr~3000Torrの圧力で加熱する工程、該加熱する工程は、該第1の表面上の該表面ヒドロキシル基の少なくとも一部を、該基材の該表面上の表面シロキサン基へと変換させる、
該基材を、該加熱する工程以下の温度で、式Iおよび式IIからなる群から選択されるケイ素含有化合物に暴露させる工程、
Figure 0007085561000060
式中、
、R およびR は、それぞれ独立してH、C ~C 直鎖アルキル基、分岐C ~C アルキル基、C ~C 環式アルキル基、C ~C 10 ヘテロ環式基、C ~C 10 アルケニル基、C ~C アリール基、およびC ~C 10 アルキニル基から選択され、
は、C ~C 18 アルキル基、分岐C ~C 10 アルキル基、C ~C 10 ヘテロ環式基およびC ~C 10 アリール基から選択され、
は、結合、C ~C 直鎖アルキル基、分岐C ~C アルキル基、C ~C 環式アルキル基、C ~C 10 ヘテロ環式基、C ~C 10 アルケニル基、C ~C アリール基、およびC ~C 10 アルキニル基から選択され、
Xは、NR 、Cl、F、Br、I、-OCH および-OHから選択され、ここでR およびR は、それぞれ独立してH,C ~C 直鎖アルキル基およびC ~C 分岐アルキル基から選択され、そして、
nおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11であり、
該ケイ素含有化合物は、該第1の表面の該表面ヒドロキシル基と反応して、シリルエーテル末端の表面を形成して、そしてそれによって該表面を不動態化する、ならびに、
該基材を、該第1の表面に対して選択的に該第2の表面上に膜を堆積させるように、1種もしくは2種以上の堆積前駆体に暴露させる工程、
を含んでなる、方法。
(36)前記ケイ素含有化合物が、式1によって表される少なくとも1種の化合物である、(15)記載の方法。
(37)式Iによって表される前記化合物が、ヨードトリス(3,3,3-トリフルオロプロピル)シラン、ジメチルアミノトリス(3,3,3-トリフルオロプロピル)シラン、[(CF CF (CH (CH SiCl]、およびブロモトリス(1,1,1-3,3,3-ヘキサフルオロ-イソプロピル)シランからなる群から選択される、(36)記載の方法。
(38)前記ケイ素含有化合物が、式IIによって表される化合物である、(35)記載の方法。
(39)式IIによって表される前記化合物が、1,3-ビス-クロロジメチルシリル(エタン)、1,3-ビス-ブロモジメチルシリル(エタン)、1,3-ビス-ヨードジメチルシリル(エタン)、1,3-ビス-ジメチルアミノ-ジメチルシリル(エタン)、1,3-ビス-クロロジメチルシリル(プロパン)、1,3-ビス-ブロモジメチルシリル(プロパン)、1,3-ビス-ヨードジメチルシリル(プロパン)、1,3-ビス-ジメチルアミノ-ジメチルシリル(プロパン)、1,3-ビス-クロロジメチルシリル(ブタン)、1,3-ビス-ブロモジメチルシリル(ブタン)、1,3-ビス-ヨードジメチルシリル(ブタン)および1,3-ビス-ジメチルアミノ-ジメチルシリル(ブタン)からなる群から選択される、(38)記載の方法。
(40)前記接触させる工程が、約50℃~約100℃の温度で行われる、(35)記載の方法。
(41)前記接触させる工程が、約55℃~約95℃の温度で行われる、(40)記載の方法。
(42)前記接触させる工程が、約60℃~約90℃の温度で行われる、(41)記載の方法。
(43)前記加熱する工程が、約200℃~約650℃の温度で行われる、(35)記載の方法。
(44)前記加熱する工程が、約300℃~約550℃の温度で行われる、(35)記載の方法。
(45)前記加熱する工程が、約400℃~約500℃の温度で行われる、(44)記載の方法。
(46)前記加熱する工程が、前記基材を200℃未満の温度に5~10分間に亘って先ず加熱し、次いで該温度を約400℃~約500℃の温度に上昇させることによって行われる、(35)記載の方法。
(47)前記湿式の化学組成物が、H (28%水溶液)、NH (28~30%)、およびH O、HF(0.01%~5%(水溶液))、過酸化物、およびH SO /H の混合物を含む組成物からなる群から選択される少なくとも1種を含む、(35)記載の方法。
(48)SiHを含む前記第2の表面が、-SiH 、-SiH 、および-SiHからなる群から選択された少なくとも1種を含む、(35)記載の方法。
(49)SiHを含む前記第2の表面が、Si(100)を含む、(35)記載の方法。
(50)SiO を含む前記第1の表面が、-SiH 、-SiH 、および-SiHからなる群から選択された少なくとも1種を含む、(35)記載の方法。
(51)SiHを含む前記第2の表面が、SiNを含む、(35)記載の方法。
(52)SiHを含む前記第2の表面が、金属または金属酸化物を含む、(35)記載の方法。
(53)前記暴露させる工程が、150℃~500℃の範囲の温度で行われる、(35)記載の方法。
(54)前記暴露させる工程が、150℃~450℃の範囲の温度で行われる、(35)記載の方法。
(55)前記処理された基材を加熱する工程が、少なくとも2つの別個の加熱する工程でなされる、(1)記載の方法。
(56)前記処理された基材を加熱する工程が、少なくとも2つの別個の加熱する工程でなされる、(15)記載の方法。
(57)前記処理された基材を加熱する工程が、少なくとも2つの別個の加熱する工程でなされる、(35)記載の方法。
(58)式Iによって表される前記化合物が、塩化トリメチルケイ素、臭化トリメチルケイ素、ヨウ化トリメチルケイ素、ジメチルアミノトリメチルシラン、エチルメチルアミノトリメチルシラン、ジエチルアミノトリメチルシラン、エチルプロピルアミノトリメチルシラン、ジプロピルアミノトリメチルシラン、エチルイソプロピルアミノトリメチルシラン、ジイソプロピルアミノトリメチルシラン、ジ-n-ブチルトリメチルシラン、ジイソブチルトリメチルシラン、およびジ-sec-ブチルトリメチルシランからなる群から選択される少なくとも1種である、(16)記載の方法。
(59)式Iによって表される前記化合物が、塩化トリエチルケイ素、臭化トリエチルケイ素、ヨウ化トリエチルケイ素、ジメチルアミノトリエチルシラン、エチルメチルアミノトリエチルシラン、ジエチルアミノトリエチルシラン、エチルプロピルアミノトリエチルシラン、ジプロピルアミノトリエチルシラン、エチルイソプロピルアミノトリエチルシラン、ジイソプロピルアミノトリエチルシラン、ジ-n-ブチルトリエチルシラン、ジイソブチルトリエチルシラン、およびジ-sec-ブチルトリエチルシランからなる群から選択される少なくとも1種である、(16)記載の方法。
(60)式Iによって表される前記化合物が、塩化トリ-n-プロピルケイ素、臭化トリ-n-プロピルケイ素、ヨウ化トリ-n-プロピルケイ素、ジメチルアミノトリ-n-プロピルシラン、エチルメチルアミノトリ-n-プロピルシラン、ジエチルアミノトリ-n-プロピルシラン、エチルプロピルアミノトリ-n-プロピルシラン、ジプロピルアミノトリ-n-プロピルシラン、エチルイソプロピルアミノトリ-n-プロピルシラン、およびジイソプロピルアミノトリ-n-プロピルシランからなる群から選択される少なくとも1種である、(16)記載の方法。
(61)式Iによって表される前記化合物が、塩化トリイソプロピルケイ素、臭化トリイソプロピルケイ素、ヨウ化トリイソプロピルケイ素、ジメチルアミノトリイソプロピルシラン、エチルメチルアミノトリイソプロピルシラン、ジエチルアミノトリイソプロピルシラン、エチルプロピルアミノトリイソプロピルシラン、ジプロピルアミノトリイソプロピルシラン、エチルイソプロピルアミノトリイソプロピルシラン、およびジイソプロピルアミノトリイソプロピルシランからなる群から選択される少なくとも1種である、(16)記載の方法。
(62)される前記化合物が、塩化トリ-n-ブチルケイ素、臭化トリ-n-ブチルケイ素、ヨウ化トリ-n-ブチルケイ素、ジメチルアミノトリ-n-ブチルシラン、エチルメチルアミノトリ-n-ブチルシラン、およびジエチルアミノトリ-n-ブチルシランからなる群から選択される少なくとも1種である、(16)記載の方法。
(63)式Iによって表される前記化合物が、塩化トリイソブチルケイ素、臭化トリイソブチルケイ素、ヨウ化トリイソブチルケイ素、ジメチルアミノトリイソブチルシラン、エチルメチルアミノトリイソブチルシラン、およびジエチルアミノトリイソブチルシランからなる群から選択される少なくとも1種である、(16)記載の方法。
(64)式Iによって表される前記化合物が、塩化トリ-sec-ブチルケイ素、臭化トリ-sec-ブチルケイ素、ヨウ化トリ-sec-ブチルケイ素、ジメチルアミノトリ-sec-ブチルシラン、エチルメチルアミノトリ-sec-ブチルシラン、ジエチルアミノトリ-sec-ブチルシラン、塩化トリ-n-ペンチルケイ素、臭化トリ-n-ペンチルケイ素、ヨウ化トリ-n-ペンチルケイ素、およびジメチルアミノトリ-n-ペンチルシランからなる群から選択される少なくとも1種である、(16)記載の方法。
(65)式Iによって表される前記化合物が、クロロトリス(3,3,3-トリフルオロプロピル)シラン、ブロモトリス(3,3,3-トリフルオロプロピル)シラン、ヨードトリス(3,3,3-トリフルオロプロピル)シラン、ジメチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルメチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジエチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルイソプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジイソプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、クロロトリス(4,4,4-トリフルオロブチル)シラン、ブロモトリス(4,4,4-トリフルオロブチル)シラン、ヨードトリス(4,4,4-トリフルオロブチル)シラン、およびジメチルアミノ-トリス(4,4,4-トリフルオロブチル)シランからなる群から選択される少なくとも1種である、(16)記載の方法。
(66)式Iによって表される前記化合物が、塩化オクチルジメチルケイ素、臭化オクチルジメチルケイ素、ヨウ化オクチルジメチルケイ素、ジメチルアミノオクチルジメチルシラン、塩化デシルジメチルケイ素、臭化デシルジメチルケイ素、ヨウ化デシルジメチルケイ素、ジメチルアミノデシルジメチルシラン、塩化ドデシルジメチルケイ素、臭化ドデシルジメチルケイ素、ヨウ化ドデシルジメチルケイ素、ジメチルアミノドデシルジメチルシラン、塩化ヘキサデシルジメチルケイ素、臭化ヘキサデシルジメチルケイ素、ヨウ化ヘキサデシルジメチルケイ素、ジメチルアミノヘキサデシルジメチルシラン、塩化オクタデシルジメチルケイ素、臭化オクタデシルジメチルケイ素、ヨウ化オクタデシルジメチルケイ素、ジメチルアミノオクタデシルジメチルシラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ジメチルアミノジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ジメチルアミノ-ジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、およびジメチルアミノ-ジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シランからなる群から選択される少なくとも1種である、(16)記載の方法。
(67)式Iによって表される前記化合物が、塩化トリメチルケイ素、臭化トリメチルケイ素、ヨウ化トリメチルケイ素、ジメチルアミノトリメチルシラン、エチルメチルアミノトリメチルシラン、ジエチルアミノトリメチルシラン、エチルプロピルアミノトリメチルシラン、ジプロピルアミノトリメチルシラン、エチルイソプロピルアミノトリメチルシラン、ジイソプロピルアミノトリメチルシラン、ジ-n-ブチルトリメチルシラン、ジイソブチルトリメチルシラン、およびジ-sec-ブチルトリメチルシランからなる群から選択される少なくとも1種である、(36)記載の方法。
(68)式Iによって表される前記化合物が、塩化トリエチルケイ素、臭化トリエチルケイ素、ヨウ化トリエチルケイ素、ジメチルアミノトリエチルシラン、エチルメチルアミノトリエチルシラン、ジエチルアミノトリエチルシラン、エチルプロピルアミノトリエチルシラン、ジプロピルアミノトリエチルシラン、エチルイソプロピルアミノトリエチルシラン、ジイソプロピルアミノトリエチルシラン、ジ-n-ブチルトリエチルシラン、ジイソブチルトリエチルシラン、およびジ-sec-ブチルトリエチルシランからなる群から選択される少なくとも1種である、(36)記載の方法。
(69)式Iによって表される前記化合物が、塩化トリ-n-プロピルケイ素、臭化トリ-n-プロピルケイ素、ヨウ化トリ-n-プロピルケイ素、ジメチルアミノトリ-n-プロピルシラン、エチルメチルアミノトリ-n-プロピルシラン、ジエチルアミノトリ-n-プロピルシラン、エチルプロピルアミノトリ-n-プロピルシラン、ジプロピルアミノトリ-n-プロピルシラン、エチルイソプロピルアミノトリ-n-プロピルシラン、およびジイソプロピルアミノトリ-n-プロピルシランからなる群から選択される少なくとも1種である、(36)記載の方法。
(70)式Iによって表される前記化合物が、塩化トリイソプロピルケイ素、臭化トリイソプロピルケイ素、ヨウ化トリイソプロピルケイ素、ジメチルアミノトリイソプロピルシラン、エチルメチルアミノトリイソプロピルシラン、ジエチルアミノトリイソプロピルシラン、エチルプロピルアミノトリイソプロピルシラン、ジプロピルアミノトリイソプロピルシラン、エチルイソプロピルアミノトリイソプロピルシラン、およびジイソプロピルアミノトリイソプロピルシランからなる群から選択される少なくとも1種である、(36)記載の方法。
(71)式Iによって表される前記化合物が、塩化トリ-n-ブチルケイ素、臭化トリ-n-ブチルケイ素、ヨウ化トリ-n-ブチルケイ素、ジメチルアミノトリ-n-ブチルシラン、エチルメチルアミノトリ-n-ブチルシラン、およびジエチルアミノトリ-n-ブチルシランからなる群から選択される少なくとも1種である、(36)記載の方法。
(72)式Iによって表される前記化合物が、塩化トリイソブチルケイ素、臭化トリイソブチルケイ素、ヨウ化トリイソブチルケイ素、ジメチルアミノトリイソブチルシラン、エチルメチルアミノトリイソブチルシラン、およびジエチルアミノトリイソブチルシランからなる群から選択される少なくとも1種である、(36)記載の方法。
(73)式Iによって表される前記化合物が、塩化トリ-sec-ブチルケイ素、臭化トリ-sec-ブチルケイ素、ヨウ化トリ-sec-ブチルケイ素、ジメチルアミノトリ-sec-ブチルシラン、エチルメチルアミノトリ-sec-ブチルシラン、ジエチルアミノトリ-sec-ブチルシラン、塩化トリ-n-ペンチルケイ素、臭化トリ-n-ペンチルケイ素、ヨウ化トリ-n-ペンチルケイ素、およびジメチルアミノトリ-n-ペンチルシランからなる群から選択される少なくとも1種である、(36)記載の方法。
(74)式Iによって表される前記化合物が、クロロトリス(3,3,3-トリフルオロプロピル)シラン、ブロモトリス(3,3,3-トリフルオロプロピル)シラン、ヨードトリス(3,3,3-トリフルオロプロピル)シラン、ジメチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルメチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジエチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルイソプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジイソプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、クロロトリス(4,4,4-トリフルオロブチル)シラン、ブロモトリス(4,4,4-トリフルオロブチル)シラン、ヨードトリス(4,4,4-トリフルオロブチル)シラン、およびジメチルアミノ-トリス(4,4,4-トリフルオロブチル)シランからなる群から選択される少なくとも1種である、(36)記載の方法。
(75)式Iによって表される前記化合物が、塩化オクチルジメチルケイ素、臭化オクチルジメチルケイ素、ヨウ化オクチルジメチルケイ素、ジメチルアミノオクチルジメチルシラン、塩化デシルジメチルケイ素、臭化デシルジメチルケイ素、ヨウ化デシルジメチルケイ素、ジメチルアミノデシルジメチルシラン、塩化ドデシルジメチルケイ素、臭化ドデシルジメチルケイ素、ヨウ化ドデシルジメチルケイ素、ジメチルアミノドデシルジメチルシラン、塩化ヘキサデシルジメチルケイ素、臭化ヘキサデシルジメチルケイ素、ヨウ化ヘキサデシルジメチルケイ素、ジメチルアミノヘキサデシルジメチルシラン、塩化オクタデシルジメチルケイ素、臭化オクタデシルジメチルケイ素、ヨウ化オクタデシルジメチルケイ素、ジメチルアミノオクタデシルジメチルシラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ジメチルアミノジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ジメチルアミノ-ジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、およびジメチルアミノ-ジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シランからなる群から選択される少なくとも1種である、(36記載の方法。

Claims (19)

  1. 基材の表面を、気相反応によって選択的に不動態化する方法であって、該基材の表面は、少なくとも、SiOと初期濃度の表面ヒドロキシル基とを含む第1の表面、およびSiHを含む第2の表面を含み、該方法は、以下の工程、
    該基材を、該初期濃度の表面ヒドロキシル基に対して増加した濃度の表面ヒドロキシル基含む処理された基材を得るように、湿式の化学組成物と接触させる工程、
    該処理された基材を、約200℃~約600℃の温度および10-10Torr~3000Torrの圧力で加熱する工程、該加熱する工程は、該第1の表面上の該表面ヒドロキシル基の少なくとも一部を、該基材の該表面上の表面シロキサン基へと変換させる、
    該基材を、該加熱する工程以下の温度で、式Iおよび式IIからなる群から選択されるケイ素含有化合物に暴露させる工程、
    Figure 0007085561000061
    式中、
    、RおよびRは、それぞれ独立してH、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、
    は、C~C18アルキル基、分岐C~C10アルキル基、C~C10ヘテロ環式基およびC~C10アリール基から選択され、
    は、結合、C~C直鎖アルキル基、分岐C~Cアルキル基、C~C環式アルキル基、C~C10ヘテロ環式基、C~C10アルケニル基、C~Cアリール基、およびC~C10アルキニル基から選択され、
    Xは、NR、Cl、F、Br、I、-OCHおよび-OHから選択され、ここでRおよびRは、それぞれ独立してH,C~C直鎖アルキル基およびC~C分岐アルキル基から選択され、そして、
    nおよびn’は、それぞれ独立して0~5の数から選択され、ここでn+n’>1および<11であり、
    該ケイ素含有化合物は、該第1の表面の該表面ヒドロキシル基と反応して、シリルエーテル末端の表面を形成して、そしてそれによって該表面を不動態化する、
    但し、少なくとも、SiOと初期濃度の表面ヒドロキシル基とを含む第1の表面およびSiHを含む第2の表面とを含む前記基材として、基材を湿式の化学組成物と接触させる前記工程に付された基材を除く、
    を含んでなる、方法。
  2. 前記ケイ素含有化合物が、式1によって表される少なくとも1種の化合物である、請求項記載の方法。
  3. 式Iによって表される前記化合物が、ヨードトリス(3,3,3-トリフルオロプロピル)シラン、ジメチルアミノトリス(3,3,3-トリフルオロプロピル)シラン、[(CFCF(CH(CHSiCl]、およびブロモトリス(1,1,1-3,3,3-ヘキサフルオロ-イソプロピル)シランからなる群から選択される、請求項記載の方法。
  4. 前記ケイ素含有化合物が、式IIによって表される化合物である、請求項記載の方法。
  5. 式IIによって表される前記化合物が、1,3-ビス-クロロジメチルシリル(エタン)、1,3-ビス-ブロモジメチルシリル(エタン)、1,3-ビス-ヨードジメチルシリル(エタン)、1,3-ビス-ジメチルアミノ-ジメチルシリル(エタン)、1,3-ビス-クロロジメチルシリル(プロパン)、1,3-ビス-ブロモジメチルシリル(プロパン)、1,3-ビス-ヨードジメチルシリル(プロパン)、1,3-ビス-ジメチルアミノ-ジメチルシリル(プロパン)、1,3-ビス-クロロジメチルシリル(ブタン)、1,3-ビス-ブロモジメチルシリル(ブタン)、1,3-ビス-ヨードジメチルシリル(ブタン)および1,3-ビス-ジメチルアミノ-ジメチルシリル(ブタン)からなる群から選択される、請求項記載の方法。
  6. 前記接触させる工程が、約50℃~約100℃の温度で行われる、請求項記載の方法。
  7. 前記加熱する工程が、約200℃~約550℃の温度で行われる、請求項記載の方法。
  8. 前記湿式の化学組成物が、H(28%~30%水溶液)、NHOH(28~30%)、およびHO、HF(0.01%~5%(水溶液))、過酸化物、およびHSO/Hの混合物を含む組成物からなる群から選択される少なくとも1種を含む、請求項記載の方法。
  9. SiHを含む前記第2の表面が、Si(100)を含む、請求項記載の方法。
  10. 前記基材を前記ケイ素含有化合物に暴露させる前記暴露させる工程が、150℃~500℃の範囲の温度で行われる、請求項記載の方法。
  11. 式Iによって表される前記化合物が、塩化トリメチルケイ素、臭化トリメチルケイ素、ヨウ化トリメチルケイ素、ジメチルアミノトリメチルシラン、エチルメチルアミノトリメチルシラン、ジエチルアミノトリメチルシラン、エチルプロピルアミノトリメチルシラン、ジプロピルアミノトリメチルシラン、エチルイソプロピルアミノトリメチルシラン、ジイソプロピルアミノトリメチルシラン、ジ-n-ブチルトリメチルシラン、ジイソブチルトリメチルシラン、およびジ-sec-ブチルトリメチルシランからなる群から選択される少なくとも1種である、請求項記載の方法。
  12. 式Iによって表される前記化合物が、塩化トリエチルケイ素、臭化トリエチルケイ素、ヨウ化トリエチルケイ素、ジメチルアミノトリエチルシラン、エチルメチルアミノトリエチルシラン、ジエチルアミノトリエチルシラン、エチルプロピルアミノトリエチルシラン、ジプロピルアミノトリエチルシラン、エチルイソプロピルアミノトリエチルシラン、ジイソプロピルアミノトリエチルシラン、ジ-n-ブチルトリエチルシラン、ジイソブチルトリエチルシラン、およびジ-sec-ブチルトリエチルシランからなる群から選択される少なくとも1種である、請求項記載の方法。
  13. 式Iによって表される前記化合物が、塩化トリ-n-プロピルケイ素、臭化トリ-n-プロピルケイ素、ヨウ化トリ-n-プロピルケイ素、ジメチルアミノトリ-n-プロピルシラン、エチルメチルアミノトリ-n-プロピルシラン、ジエチルアミノトリ-n-プロピルシラン、エチルプロピルアミノトリ-n-プロピルシラン、ジプロピルアミノトリ-n-プロピルシラン、エチルイソプロピルアミノトリ-n-プロピルシラン、およびジイソプロピルアミノトリ-n-プロピルシランからなる群から選択される少なくとも1種である、請求項記載の方法。
  14. 式Iによって表される前記化合物が、塩化トリイソプロピルケイ素、臭化トリイソプロピルケイ素、ヨウ化トリイソプロピルケイ素、ジメチルアミノトリイソプロピルシラン、エチルメチルアミノトリイソプロピルシラン、ジエチルアミノトリイソプロピルシラン、エチルプロピルアミノトリイソプロピルシラン、ジプロピルアミノトリイソプロピルシラン、エチルイソプロピルアミノトリイソプロピルシラン、およびジイソプロピルアミノトリイソプロピルシランからなる群から選択される少なくとも1種である、請求項記載の方法。
  15. 式Iによって表される前記化合物が、塩化トリ-n-ブチルケイ素、臭化トリ-n-ブチルケイ素、ヨウ化トリ-n-ブチルケイ素、ジメチルアミノトリ-n-ブチルシラン、エチルメチルアミノトリ-n-ブチルシラン、およびジエチルアミノトリ-n-ブチルシランからなる群から選択される少なくとも1種である、請求項記載の方法。
  16. 式Iによって表される前記化合物が、塩化トリイソブチルケイ素、臭化トリイソブチルケイ素、ヨウ化トリイソブチルケイ素、ジメチルアミノトリイソブチルシラン、エチルメチルアミノトリイソブチルシラン、およびジエチルアミノトリイソブチルシランからなる群から選択される少なくとも1種である、請求項記載の方法。
  17. 式Iによって表される前記化合物が、塩化トリ-sec-ブチルケイ素、臭化トリ-sec-ブチルケイ素、ヨウ化トリ-sec-ブチルケイ素、ジメチルアミノトリ-sec-ブチルシラン、エチルメチルアミノトリ-sec-ブチルシラン、ジエチルアミノトリ-sec-ブチルシラン、塩化トリ-n-ペンチルケイ素、臭化トリ-n-ペンチルケイ素、ヨウ化トリ-n-ペンチルケイ素、およびジメチルアミノトリ-n-ペンチルシランからなる群から選択される少なくとも1種である、請求項記載の方法。
  18. 式Iによって表される前記化合物が、クロロトリス(3,3,3-トリフルオロプロピル)シラン、ブロモトリス(3,3,3-トリフルオロプロピル)シラン、ヨードトリス(3,3,3-トリフルオロプロピル)シラン、ジメチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルメチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジエチルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、エチルイソプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、ジイソプロピルアミノ-トリス(3,3,3-トリフルオロプロピル)シラン、クロロトリス(4,4,4-トリフルオロブチル)シラン、ブロモトリス(4,4,4-トリフルオロブチル)シラン、ヨードトリス(4,4,4-トリフルオロブチル)シラン、およびジメチルアミノ-トリス(4,4,4-トリフルオロブチル)シランからなる群から選択される少なくとも1種である、請求項記載の方法。
  19. 式Iによって表される前記化合物が、塩化オクチルジメチルケイ素、臭化オクチルジメチルケイ素、ヨウ化オクチルジメチルケイ素、ジメチルアミノオクチルジメチルシラン、塩化デシルジメチルケイ素、臭化デシルジメチルケイ素、ヨウ化デシルジメチルケイ素、ジメチルアミノデシルジメチルシラン、塩化ドデシルジメチルケイ素、臭化ドデシルジメチルケイ素、ヨウ化ドデシルジメチルケイ素、ジメチルアミノドデシルジメチルシラン、塩化ヘキサデシルジメチルケイ素、臭化ヘキサデシルジメチルケイ素、ヨウ化ヘキサデシルジメチルケイ素、ジメチルアミノヘキサデシルジメチルシラン、塩化オクタデシルジメチルケイ素、臭化オクタデシルジメチルケイ素、ヨウ化オクタデシルジメチルケイ素、ジメチルアミノオクタデシルジメチルシラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、ジメチルアミノジメチル(1H,1H-2H,2H-ペルフルオロオクチル)シラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、ジメチルアミノ-ジメチル(1H,1H-2H,2H-ペルフルオロデシル)シラン、クロロジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ブロモジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、ヨードジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シラン、およびジメチルアミノ-ジメチル(1H,1H-2H,2H-ペルフルオロドデシル)シランからなる群から選択される少なくとも1種である、請求項記載の方法。
JP2019551291A 2017-03-17 2018-03-16 ケイ素含有表面への選択的堆積 Active JP7085561B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762472724P 2017-03-17 2017-03-17
US62/472,724 2017-03-17
PCT/US2018/022836 WO2018170382A1 (en) 2017-03-17 2018-03-16 Selective deposition on silicon containing surfaces

Publications (2)

Publication Number Publication Date
JP2020515713A JP2020515713A (ja) 2020-05-28
JP7085561B2 true JP7085561B2 (ja) 2022-06-16

Family

ID=63523711

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019551291A Active JP7085561B2 (ja) 2017-03-17 2018-03-16 ケイ素含有表面への選択的堆積

Country Status (8)

Country Link
US (1) US11670512B2 (ja)
EP (1) EP3596254A4 (ja)
JP (1) JP7085561B2 (ja)
KR (1) KR102338066B1 (ja)
CN (1) CN110612364B (ja)
SG (1) SG11201908486UA (ja)
TW (1) TWI688673B (ja)
WO (1) WO2018170382A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
MX2019013111A (es) 2017-05-05 2019-12-16 Quantum Si Inc Sustratos que tienen reactividad de superficie modificada y propiedades antiincrustantes en reacciones biologicas.
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
JP6860605B2 (ja) 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2021034491A1 (en) * 2019-08-21 2021-02-25 Fujifilm Electronic Materials U.S.A., Inc. Surface treatment compositions and methods
EP4028570A1 (en) 2019-10-11 2022-07-20 Quantum-Si Incorporated Surface modification in the vapor phase
EP4225964A1 (en) * 2020-11-16 2023-08-16 Versum Materials US, LLC Selective deposition of silicon and oxygen containing dielectric film on dielectrics
KR20220109843A (ko) * 2021-01-29 2022-08-05 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법
US20240183035A1 (en) * 2022-11-22 2024-06-06 Applied Materials, Inc. Area selective deposition through surface silylation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009088388A (ja) 2007-10-02 2009-04-23 Kagawa Univ 太陽エネルギー利用装置及びその製造方法
JP2016066811A (ja) 2008-06-16 2016-04-28 株式会社東芝 基板処理装置
US20160222504A1 (en) 2015-02-03 2016-08-04 Asm Ip Holding B.V. Selective deposition

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007025565A1 (en) * 2005-09-01 2007-03-08 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and material for coupling a dielectric layer and a metal layer in a semiconductor device
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
CN107533951B (zh) * 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009088388A (ja) 2007-10-02 2009-04-23 Kagawa Univ 太陽エネルギー利用装置及びその製造方法
JP2016066811A (ja) 2008-06-16 2016-04-28 株式会社東芝 基板処理装置
US20160222504A1 (en) 2015-02-03 2016-08-04 Asm Ip Holding B.V. Selective deposition

Also Published As

Publication number Publication date
CN110612364A (zh) 2019-12-24
TW201835382A (zh) 2018-10-01
SG11201908486UA (en) 2019-10-30
KR20190120425A (ko) 2019-10-23
JP2020515713A (ja) 2020-05-28
TWI688673B (zh) 2020-03-21
US20210118684A1 (en) 2021-04-22
EP3596254A1 (en) 2020-01-22
US11670512B2 (en) 2023-06-06
KR102338066B1 (ko) 2021-12-10
WO2018170382A1 (en) 2018-09-20
CN110612364B (zh) 2022-04-05
EP3596254A4 (en) 2020-12-30

Similar Documents

Publication Publication Date Title
JP7085561B2 (ja) ケイ素含有表面への選択的堆積
JP7153100B2 (ja) 炭素ドープケイ素含有膜のための組成物およびそれを用いた方法
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
KR102376352B1 (ko) 다공성의 낮은 유전상수 필름 상에 기공 밀봉 층을 제공하기 위한 방법 및 조성물
US9679808B2 (en) Selective formation of metallic films on metallic surfaces
KR20230132760A (ko) 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
US20120263876A1 (en) Deposition of silicon dioxide on hydrophobic surfaces
CN117334560A (zh) 利用间歇性空气-水暴露的改良自组装单层阻挡
US10790141B2 (en) Surface-selective atomic layer deposition using hydrosilylation passivation
Soethoudt et al. Impact of SiO 2 surface composition on trimethylsilane passivation for area-selective deposition
WO2012106600A9 (en) In situ vapor phase surface activation of sio2
KR102431745B1 (ko) 실리콘 함유 표면 상의 선택적 증착
WO2018194899A1 (en) Low temperature selective epitaxial silicon deposition
KR20110125651A (ko) 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
US20240105443A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing system, and recording medium

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200207

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200207

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210803

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220302

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220302

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220311

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220315

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220510

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220606

R150 Certificate of patent or registration of utility model

Ref document number: 7085561

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150