CN112602169A - 在含硅表面上的选择性沉积 - Google Patents

在含硅表面上的选择性沉积 Download PDF

Info

Publication number
CN112602169A
CN112602169A CN201980056149.2A CN201980056149A CN112602169A CN 112602169 A CN112602169 A CN 112602169A CN 201980056149 A CN201980056149 A CN 201980056149A CN 112602169 A CN112602169 A CN 112602169A
Authority
CN
China
Prior art keywords
isocyanate
substituted
unsubstituted
group
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980056149.2A
Other languages
English (en)
Inventor
R·M·皮尔斯坦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN112602169A publication Critical patent/CN112602169A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种用于选择性钝化衬底表面的方法,其中所述衬底表面包括包含氮化硅的至少第一表面和包含除氮化硅以外的材料的至少第二表面。该方法包括将表面暴露于至少一种有机异氰酸酯的步骤,其中所述有机异氰酸酯选择性地与氮化硅反应以使第一表面钝化,从而保留第二表面基本上未反应。

Description

在含硅表面上的选择性沉积
相关申请的交叉引用
本申请要求2018年8月27日提交的美国临时申请号62/723,023和2019年8月23日提交的美国专利申请号16/548,983的优先权,其公开内容在此全部引入作为参考。
背景技术
本申请涉及在衬底的第一表面上相对于第二表面的选择性沉积。另外,进一步处理可用于随后在第二表面上相对于第一表面沉积不同的材料。
主要由于现代光刻工艺在使得能够制造基于不断缩小的物理尺寸的先进半导体器件上的限制,选择性沉积工艺获得了很大发展势头。传统上,微电子工业中的图案化使用各种光刻术和蚀刻工艺完成。然而,由于光刻术以指数方式变得更复杂和昂贵,使用选择性沉积形成自对准特征正变得远远更具吸引力。自对准通孔结构的制造显著受益于可制造的选择性沉积工艺。选择性沉积的另一个潜在应用是间隙填充。在间隙填充中,介电“填充”膜从沟槽底部向顶部选择性生长。选择性沉积可用于其他应用,例如选择性侧壁沉积,其中膜选择性地沉积在三维FIN-FET结构的暴露表面上。这将使得能够沉积侧壁间隔物而不需要复杂的图案化步骤。用作栅极介电质和电容器介电质的金属和金属氧化物膜的选择性沉积工艺在半导体器件制造中也具有很大的用途。
技术文献中有许多先前的实例涉及在具有暴露的多个不同化学表面的晶片上选择性地形成表面钝化涂层。这样做的目的是延缓或防止在这些钝化表面上通过ALD工艺的膜沉积,但不阻止在需要ALD沉积工艺来沉积膜的表面上的沉积。通常,由于表面的不完全钝化和/或由于ALD前体分子的物理吸附以及随后在钝化层本身内或其中不希望沉积的表面上形成ALD膜材料,该工艺的选择性不够充分。本发明寻求克服现有技术的限制,并提供使用ALD沉积工艺选择性沉积薄膜材料的改进方法。
Liu,L.-H.等,J.Phys.:Condens.Matter 28(2016)094014(doi:10.1088/0953-8984/28/9/094014)教导氮化硅可以通过用含醛溶液对经处理表面进行处理在一定程度上相对于氧化硅选择性地钝化。
发明内容
在一个方面,本文提供了一种通过气相反应选择性地钝化衬底表面的方法,其中衬底表面包括包含氮化硅的至少第一表面和包含除氮化硅以外的材料的至少第二表面,该方法包括以下步骤:a.使衬底表面与湿化学组合物接触;b.用去离子水冲洗表面;c.干燥表面;d.任选地,用氢等离子体或氨等离子体处理表面;和e.将表面暴露于包含至少一种具有式I结构的有机异氰酸酯的蒸气:R-N=C=O(I),其中,R选自H、取代或未取代的C1至C18直链烷基、取代或未取代的支链C3至C18烷基、取代或未取代的C3至C8环烷基、取代或未取代的C3至C10杂环基、取代或未取代的C3至C18烯基、取代或未取代的C4至C18芳基、取代或未取代的C5至C20芳基烷基和取代或未取代的C3至C10炔基,其中该至少一种有机异氰酸酯选择性地与氮化硅反应以钝化第一表面,从而保留第二表面基本上未反应。
在另一方面,本文提供了一种在衬底表面上选择性沉积膜的方法,其中衬底表面包括包含氮化硅的至少第一表面和包含除氮化硅以外的材料的至少第二表面,该方法包括以下步骤:a.使衬底表面与湿化学组合物接触;b.用去离子水冲洗表面;c.干燥表面;d.任选地,用氢等离子体或氨等离子体处理表面;e.将表面暴露于包含至少一种具有式I结构的有机异氰酸酯的蒸气:R-N=C=O(I),其中,R选自H、取代或未取代的C1至C18直链烷基、取代或未取代的支链C3至C18烷基、取代或未取代的C3至C8环烷基、取代或未取代的C3至C10杂环基、取代或未取代的C3至C18烯基、取代或未取代的C4至C18芳基、取代或未取代的C5至C20芳基烷基和取代或未取代的C3至C10炔基,其中至少一种有机异氰酸酯选择性地与氮化硅反应以钝化第一表面,从而保留第二表面基本上未反应;以及f.将衬底表面暴露于一种或多种沉积前体以相对于第一表面选择性地在第二表面上沉积膜。
本发明的实施方案可以单独使用或相互组合使用。
具体实施方式
在此引用的所有参考文献(包括公开出版物、专利申请和专利)均在此引入作为参考,达到如同每一参考文献单独且明确地被指明引入作为参考并在此全文列出的程度。
在描述本发明的上下文中(特别是在以下权利要求的上下文中)使用术语“一个”和“一”以及“该”和类似的指称应被解释为涵盖单数和复数,除非本文中另有说明或上下文明显矛盾。除非另有说明,术语“包括”、“具有”、“包含”和“含有”应被解释为开放式术语(即,指“包括但不限于”)。除非本说明书中另有指示,本说明书中对数值范围的列举仅旨在用作单独提及落入该范围内的每一单独数值的简写方法,且每一单独数值均被纳入本说明书中,如同其在本说明书中被单独列举一样。除非本文另有说明或上下文明显矛盾,本文中所述的所有方法可按任何合适的顺序执行。除非另有声明,使用本申请中提供的任何和所有实例或示例性语言(例如,“如”)仅旨在更好地说明本发明,而不对本发明的范围构成限制。说明书中的任何语言不应被解释为指示任何未要求保护的元素对实施本发明为必需的。
本文描述了本发明的优选实施方案,包括发明人已知实施本发明的最佳模式。在阅读前述说明后,这些优选实施方案的变化对于本领域普通技术人员可以变得清楚。发明人预期熟练的技术人员在适当时采用这些变化,并且发明人意图本发明以不同于本文具体描述的方式实施。因此,本发明包括适用法律允许的本文所附权利要求中所述的主题的所有修改和等同物。此外,本发明包括上述元素在其所有可能变化中的任何组合,除非本文中另有说明或与上下文明显矛盾。
有多种方法可用于选择性沉积。本公开的实施方案涉及通过利用两个不同表面的表面化学性质来使用表面失活的方法。由于两个不同的表面具有不同的反应性手柄(reactive handles),因此可以通过采用与一个表面发生反应(以使该表面失活)而不与另一个表面发生反应的分子来利用该差异。
在一个实施方案中,提供了一种用于通过气相反应选择性地钝化衬底表面的方法,其中衬底表面包括包含氮化硅的至少第一表面和包含除氮化硅以外的材料的至少第二表面,该方法包括以下步骤:a.使衬底表面与湿化学组合物接触;b.用去离子水冲洗表面;c.干燥表面;d.任选地,用氢等离子体或氨等离子体处理表面;和e.将表面暴露于包含至少一种具有式I结构的有机异氰酸酯的蒸气:R-N=C=O(I),其中,R选自H、取代或未取代的C1至C18直链烷基、取代或未取代的支链C3至C18烷基、取代或未取代的C3至C8环烷基、取代或未取代的C3至C10杂环基、取代或未取代的C3至C18烯基、取代或未取代的C4至C18芳基、取代或未取代的C5至C20芳基烷基和取代或未取代的C3至C10炔基,其中至少一种有机异氰酸酯选择性地与氮化硅反应以钝化第一表面,从而保留第二表面基本上未反应。
在另一实施方案中,提供了一种在衬底表面上选择性地沉积膜的方法,其中所述衬底表面包括包含氮化硅的至少第一表面和包含除氮化硅以外的材料的至少第二表面,所述方法包括以下步骤:a.使所述衬底表面与湿化学组合物接触;b.用去离子水冲洗表面;c.干燥表面;d.任选地,用氢等离子体或氨等离子体处理表面;e.将所述表面暴露于包含至少一种具有式I结构的有机异氰酸酯的蒸气:R-N=C=O(I),其中,R选自H、取代或未取代的C1至C18直链烷基、取代或未取代的支链C3至C18烷基、取代或未取代的C3至C8环烷基、取代或未取代的C3至C10杂环基、取代或未取代的C3至C18烯基、取代或未取代的C4至C18芳基、取代或未取代的C5至C20芳基烷基和取代或未取代的C3至C10炔基,其中至少一种有机异氰酸酯选择性地与氮化硅反应以钝化第一表面,从而保留第二表面基本上未反应;以及f.将衬底暴露于一种或多种沉积前体以相对于第一表面选择性地在第二表面上沉积膜。
本说明书和所附权利要求书中使用的术语“衬底”和“晶片”可互换使用,两者指处理作用于其上的表面或表面的一部分。本领域技术人员还将理解,除非上下文另有明确指示,提及衬底也可以仅指衬底的一部分。另外,提及在衬底上沉积可以指裸衬底及其上沉积或形成有一个或多个膜或特征的衬底。
本文使用的“衬底”指在制造过程中在其上进行膜处理的任何衬底或衬底上形成的材料表面。例如,可在其上进行处理的衬底表面包括如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石的材料,以及任何其他材料如金属、金属氮化物、金属合金和其他导电材料,这取决于应用。衬底包括但不限于半导体晶片。衬底可暴露于预处理过程以对衬底表面抛光、蚀刻、还原、氧化、羟基化、退火和/或烘烤。除了直接在衬底本身的表面上的膜加工外,在本公开中,所公开的任何膜处理步骤也可以在形成于衬底上的底层上进行,如以下更详细公开的,且术语“衬底表面”旨在包括这种底层,如上下文所指示的。因此,例如,在膜/层或部分膜/层被沉积在衬底表面上的情况中,新沉积的膜/层的暴露表面成为衬底表面。给定的衬底表面中所包含的将取决于将沉积什么膜以及所使用的特定化学。在一个或多个实施方案中,第一衬底表面包含金属,和第二衬底表面包含电介质,反之亦然。在一些实施方案中,衬底表面可包含某些官能团(例如,-OH、-NH等)。
同样,可用于本文所述方法的膜具有相当大的变化。在一些实施方案中,膜可以包含金属或基本上由金属组成。金属膜的例子包括但不限于钴(Co)、铜(Cu)、镍(Ni)、钨(W)等。在一些实施方案中,膜包含电介质。实例包括SiO2、SiN、HfO2等。
在本发明的实施方案中,衬底具有至少两个离散的表面,其中每个离散表面特征在于不同的化学性质。例如,在一个实施方案中,衬底的表面包括包含氮化硅的至少第一表面和包含除氮化硅以外的材料的至少第二表面。
包含除氮化硅以外的材料的该至少一个第二表面可以是例如选自二氧化硅、金属氧化物、铜、钴、钨、非晶硅、多晶硅、单晶硅、锗和非晶氢化锗的任何材料。在一些实施方案中,包含二氧化硅的该至少一个第二表面是介电表面,如二氧化硅表面。在一些实施方案中,包含二氧化硅的表面可包含硅氧化物、氟化硅玻璃(FSG)、碳掺杂氧化硅(SiOC)和/或包含超过约50%氧化硅的材料。在一些实施方案中,包含二氧化硅的表面包含-OH基团,并且还可以包括例如具有-OH表面基团的氧化铝(Al2O3)表面。
本公开的实施方案提供了相对于同一衬底的第二表面选择性地将膜(例如金属膜)沉积在衬底的一个表面上的方法。如本说明书和所附权利要求书中所使用的,术语“相对于另一个表面在一个表面上选择性地沉积膜”等指第一或第二表面之一被钝化以基本上防止在钝化层上沉积,且膜被沉积在第二(非钝化)表面上。在这方面使用的术语“相对于(over)”并不意味着一个表面在另一个表面顶部的物理定向,而是与一个表面相对于另一表面的化学反应热力学或动力学性质的关系。例如,相对于介电表面将钴膜选择性地沉积在铜表面上意味着钴膜沉积在铜表面上而较少或没有钴膜沉积在介电表面上;或者铜表面上钴膜的形成相对于介电表面上钴膜的形成在热力学或动力学上是有利的。
在一些情况下,希望的是相对于同一衬底的第二不同表面在衬底的一个表面上选择性地沉积材料。例如,选择性沉积可用于形成覆盖层、阻挡层、蚀刻停止层、牺牲层和/或保护层或用于密封孔隙,如在多孔低k材料中。
本发明的方法包括使衬底表面与湿化学组合物接触以获得处理的衬底的任选步骤。示例性湿化学处理包括已知的化学处理,如例如RCA清洁化学品SC-1和SC-2、HF水溶液、过氧化物、H2SO4/H2O2、NH4OH、缓冲HF溶液及其混合物。
在优选实施方案中,湿化学组合物包含选自包含H2O2(28%aq)、NH4OH(28-30%)和H2O的组合物;HF(0.01%-10%(aq));过氧化物;RCA清洁化学品SC-1和SC-2;和H2SO4/H2O2的混合物的至少一种。
如本领域所知,“RCA清洁化学品”指包含氢氧化铵和过氧化氢混合物的组合物,其中Radio Corporation of America在20世纪60年代开发了基础清洁程序。RCA标准-清洁-1(SC-1)程序使用加热至约70℃的氢氧化铵和过氧化氢溶液以及水。SC-1程序溶解膜并去除I和II族金属。I和II族金属通过与SC-1溶液中的试剂络合而被去除。RCA标准-清洁-2(SC-2)程序利用加热至约70℃的过氧化氢、盐酸和水的混合物。SC-2程序去除未被SC-1程序去除的金属。
与湿化学组合物接触可以通过本领域技术人员已知的任何方法进行,如例如浸渍或喷涂。接触步骤可以是一个独立的步骤或超过一个步骤。
在一些实施方案中,接触步骤期间湿化学组合物的温度可以是例如大致环境温度至约100℃。在其他实施方案中,接触步骤期间湿化学组合物的温度可以是例如约55℃至约95℃。在其他实施方案中,接触步骤期间湿化学组合物的温度可以是例如约60℃至约90℃。
实施方案还包括在将衬底表面与湿化学组合物接触的步骤后用去离子水冲洗衬底表面的步骤。冲洗步骤通常通过任何合适的方式进行,例如通过浸入或喷雾技术用去离子水冲洗衬底表面。
实施方案还包括在冲洗步骤后干燥至少衬底表面的步骤。干燥步骤通常通过任何合适的方式进行,例如施加热、异丙醇(IPA)蒸气干燥或通过向心力。
实施方案还任选地包括用氢等离子体或氨等离子体处理表面的步骤。合适的过程包括等离子体处理(氢等离子体、NH3/NF3等离子体、水等离子体等)。任选的等离子体步骤用于去除表面上不希望的沉积物,并激活表面用于钝化试剂的后续沉积。这种等离子体处理可以最优选地在表面上已经进行一些沉积之后进行,以便从先前钝化的表面去除非选择性沉积的材料和在达到期望的沉积厚度后去除残留的钝化试剂。
实施方案包括将表面暴露于包含至少一种具有式I结构的有机异氰酸酯的蒸气的步骤:
R-N=C=O(I),
其中R选自H、取代或未取代的C1-C18直链烷基、取代或未取代的支链C3-C18烷基、取代或未取代的C3-C8环烷基、取代或未取代的C3-C10杂环基、取代或未取代的C3-C18烯基、取代或未取代的C4-C18芳基、取代或未取代的C5-C20芳基烷基和取代或未取代的C3-C10炔基,其中至少一种有机异氰酸酯选择性地与氮化硅反应以钝化第一表面,从而保留第二表面基本上未反应。
在一些实施方案中,有机异氰酸酯是氟取代的C1至C18直链烷基,其结构选自CnF2n+ 1CH2N=C=O和CnF2n+1(C2H4)N=C=O。优选的结构为CnF2n+1CH2N=C=O的具有氟取代的C1至C18直链烷基的有机异氰酸酯前体包括选自CF3CH2N=C=O、C2F5CH2N=C=O、C3F7CH2N=C=O、C4F9CH2N=C=O、C5F11CH2N=C=O、C6F13CH2N=C=O、C7F15CH2N=C=O、C8F17CH2N=C=O和C9F19CH2N=C=O的那些。
在其他实施方案中,式I中的R为氯取代的C1至C18直链烷基,其结构为CnCl2n+1CH2N=C=O。优选的结构为CnCl2n+1CH2N=C=O的具有氯取代的C1至C18直链烷基的有机异氰酸酯前体包括选自CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O和C9Cl19CH2N=C=O的那些
在其他实施方案中,式I中的R是取代或未取代的C3至C8环烷基。在这样的实施方案中,至少一种有机异氰酸酯包括选自环丙基异氰酸酯、环丁基异氰酸酯、环己基异氰酸酯和甲基环己基异氰酸酯的那些。
在其他实施方案中,式I中的R是取代或未取代的C4至C18芳基。在这样的实施方案中,有机异氰酸酯包括选自苯基异氰酸酯、甲苯基异氰酸酯、二甲基苯基异氰酸酯、萘基异氰酸酯、2-乙基苯基异氰酸酯和二甲苯基异氰酸酯的那些。
在其他实施方案中,式I中的R为未取代的C1至C18直链烷基,或R为取代或未取代的支链C3至C18烷基。在这样的实施方案中,有机异氰酸酯包括选自甲基异氰酸酯、乙基异氰酸酯、丙基异氰酸酯、异丙基异氰酸酯、正丁基异氰酸酯、仲丁基异氰酸酯、叔丁基异氰酸酯、戊基异氰酸酯、己基异氰酸酯、辛基异氰酸酯、癸基异氰酸酯、十二烷基异氰酸酯、硬脂基异氰酸酯、1,1,3,3-四甲基丁基异氰酸酯和1-甲基庚基异氰酸酯的那些。
在另一个实施方案中,式I中的R是取代或未取代的C54至C20芳基烷基。在这样的实施方案中,有机异氰酸酯包括苄基异氰酸酯。
如在整个说明书中使用的,术语“烷基”指直链或支链的饱和烃基。在一些实施方案中,烷基具有1-20个碳原子、2-20个碳原子、1-10个碳原子、2-10个碳原子、1-8个碳原子、2-8个碳原子、1-6个碳原子、2-6个碳原子、1-4个碳原子、2-4个碳原子、1-3个碳原子或者2或3个碳原子。烷基的例子包括但不限于甲基(Me)、乙基(Et)、丙基(例如,正丙基和异丙基)、丁基(例如,正丁基、叔丁基、异丁基)、戊基(例如,正戊基、异戊基、新戊基)、己基、异己基、庚基、辛基、壬基、4,4-二甲基戊基、2,2,4-三甲基戊基、癸基、十一烷基、十二烷基、2-甲基-1-丙基、2-甲基-2-丙基、2-甲基-1-丁基、3-甲基-1-丁基、2-甲基-3-丁基、2-甲基-1-戊基、2,2-二甲基-1-丙基、3-甲基-1-戊基、4-甲基-1-戊基、2-甲基-2-戊基、3-甲基-2-戊基、4-甲基-2-戊基、2,2-二甲基-1-丁基、3,3-二甲基-1-丁基、2-乙基-1-丁基等。
如在整个说明书中使用的,术语“环烷基”指具有3至10个或4至10个碳原子的环状官能团。示例性的环烷基包括但不限于环丁基、环戊基、环己基和环辛基。
如本文所用,术语“芳基”指单环、双环或多环(例如,具有2、3或4个稠合的环)的芳族烃。在一些实施方案中,芳基具有6至20个碳原子或6至10个碳原子。芳基的实例包括但不限于苯基、萘基、蒽基、菲基、茚满基、茚基和四氢萘基等。
如本文所用,术语“芳基烷基”指被芳基取代的烷基。在一些实施方案中,该烷基是C1-6烷基。
如在整个说明书中使用的,术语“烯基”指具有一个或多个碳-碳双键并具有2至18个或2至10个碳原子的基团。示例性烯基包括但不限于乙烯基或烯丙基。
如本文所用,术语“炔基”指具有2至20个碳原子和一个或多个碳-碳三键的直链或支链烷基。在一些实施方案中,炔基具有2至10个碳原子、2至8个碳原子、2至6个碳原子或2至4个碳原子。炔基的实例包括但不限于乙炔、1-丙烯、2-丙烯等。
如本文所用,短语“任选取代的”是指取代是任选的,且因此包括未取代和取代的原子和部分。“取代的”原子或部分表示指定化合物或部分上的任何氢原子可以被从指明的取代基中选择的取代基替代,条件是指定化合物或部分的正常化合价未被超过,并且该取代得到稳定的化合物。例如,如果甲基是任选取代的,则甲基内的碳原子上的1、2或3个氢原子可被1、2或3个所述的取代基替代。
如本文使用的术语“苯基”指-C6H5。苯基可以是未取代的或被一个、两个或三个合适的取代基取代的。
如本文所用,术语“环烷基”指非芳族环烃,包括具有最多20个成环碳原子的环化烷基、烯基和炔基。环烷基具有3-15个成环碳原子、3-10个成环碳原子、3-8个成环碳原子、3-6个成环碳原子、4-6个成环碳原子、3-5个成环碳原子或者5或6个成环碳原子。环烷基的成环碳原子可任选被氧代或硫代取代。环烷基包括但不限于单环或多环环体系,例如稠合环体系、桥连环体系和螺环体系。在一些实施方案中,多环环体系包括2、3或4个稠合的环。环烷基的例子包括但不限于环丙基、环丁基、环戊基、环己基、环庚基、环辛基、环壬基、环戊烯基、环己烯基、环己二烯基、环庚三烯基、降冰片基、降蒎基(norpinyl)、降蒈基(norcarnyl)、金刚烷基等。环烷基还可以具有一个或多个与环烷基环稠合(具有共同的键)的芳族环,例如戊烷、戊烯、己烷等的苯并或噻吩基衍生物(例如,2,3-二氢-1H-茚-1-基或1H-茚-2(3H)-酮-1-基)。
如本文所用,术语“卤代”意指卤素基团,且包括但不限于氟、氯、溴和碘。
如本文所用,术语“杂环”或“杂环的环”指5-7元单环或7-10元双环环体系,其任何环可以是饱和的或不饱和的,并且该环由碳原子和1-3个选自N、O和S的杂原子组成,且其中N和S杂原子可以任选被氧化和N杂原子可以任选被季铵化,并且包括其中任何上述定义的杂环的环与苯环稠合的任何双环基团。杂环包括含有一个氧或硫、一至三个氮原子或与一或两个氮原子组合的一个氧或硫的环。杂环的环可以连接在任何杂原子或碳原子处,其导致产生稳定的结构。杂环基的例子包括但不限于哌啶基、哌嗪基、2-氧代哌嗪基、2-氧代哌啶基、2-氧代吡咯烷基(2-oxopyrrolodinyl)、2-氧代氮杂
Figure BDA0002951834990000111
基、氮杂
Figure BDA0002951834990000112
基、吡咯基、4-哌啶酮基、吡咯烷基、吡唑基、吡唑烷基、咪唑基、咪唑啉基、吡啶基、咪唑烷基、吡嗪基、嘧啶基、哒嗪基、噁唑基、噁唑烷基、异噁唑基、异噁唑烷基、吗啉基、噻唑基、噻唑烷基、异噻唑基、奎宁环基、异噻唑烷基、吲哚基、喹啉基、异喹啉基、苯并咪唑基、噻二唑基、苯并吡喃基、苯并噻唑基、苯并噁唑基、呋喃基、四氢呋喃基、四氢吡喃基、噻吩基、苯并噻吩基、硫代吗啉基、硫代吗啉基亚砜、硫代吗啉基砜、噁二唑基等。
蒸气相或气相反应包括在合适的腔室中将加热的衬底暴露于前体分子和/或共反应物,该室必须能够提供必要的压力控制,并且还可以向衬底和/或室壁供应热量;该室还应为将要发生的反应提供合适的纯度,通常通过高泄漏完整性和使用超高纯度载气和反应性气体。
本说明书和所附权利要求书中使用的术语“反应性气体”、“前体”、“反应物”等可互换使用,指包括与衬底表面具有反应性的物质的气体。例如,第一“反应性气体”可以简单地吸附在衬底表面上,并可用于与第二反应性气体的进一步化学反应。它们可以与超高纯度载气(如先前所定义的)结合使用,和以任何所需的相互混合物的形式使用(即,超过一种类型的前体可以一起使用或以任何所需的前体引入顺序在单独的独立步骤中使用,以形成所需的钝化层)。
前体和/或共反应物可使用质量流量控制器(可能具有加热的管线)、液体注射气化器(可能具有加热的管线)或不使用计量装置(即从使用简单的阀门与反应器隔离的容器净引入蒸气和/或气体)输送至反应器。任何前述方式也可相互结合使用。可以使用向反应室提供气体和/或蒸气的任何方式,其提供足够的纯度和可重复性。
前体和/或共反应物可独立地引入到反应器,在引入反应器前混合,在反应器中混合,或在多个独立的步骤中以前述的任意组合引入,这些步骤可包括步骤之间前体引入方式的差异。
反应的温度范围可以在室温和400℃之间。在一些情况下,反应的温度范围可以在室温和200℃之间。又在其他情况下,反应的温度范围可在室温和100℃之间。压力范围可在10-10托至3000托之间,并可维持在动态流条件下(即,具有阀和蝶形阀类型的装置),或可维持在静态条件下(即,抽真空的室暴露于所需的前体和/或共反应物直至达到所需的总压力,然后室与前体和/或共反应物源和真空泵隔离)。反应器可被完全抽真空,并按照需要多次重新暴露于新的前体和/或共反应物。前体和/或共反应物可以使用任何所需的混合物和/或浓度引入。
表面的暴露可进行0.1-60分钟,优选1-5分钟,最优选1分钟。反应室中异氰酸酯的分压可从其在衬底温度下的饱和蒸气压的约1%直至其饱和蒸气压的几乎100%变化。最优选地,其在饱和蒸气压的20%至50%之间。室压力可以与异氰酸酯蒸气的分压相同,但在与包含载气的气氛平衡时可以更高。优选的载气包括N2、He和Ar,但也可包括其他气体,例如H2、二氧化碳和干燥氧气。暴露蒸气可以在整个或部分暴露期间是静态的(不流动)。优选的实施方案是使异氰酸酯的蒸气与任选的载气一起流过暴露室,使得新鲜蒸气在至少一部分暴露期内暴露于衬底表面。
暴露室可以保持在近似环境温度下,或者可以任选地加热。热量可供应至室的外壁(热壁)或仅供应至衬底(冷壁反应器)。冷壁反应器中的衬底加热可通过使用穿过透明窗的入射辐射(灯加热)、通过衬底自身或衬底与其接触的平台中的电阻加热元件的电阻加热通过感应或本领域已知的其他方式实现。处理温度优选在约20℃至约400℃之间,优选在20℃至约200℃之间,并且最优选在20℃至约100℃之间。温度在暴露期间可以是恒定的,或者可以在指定温度范围内变化。
然后,至少一种有机异氰酸酯的未反应蒸气可任选地在从室中移除衬底之前或在化学气相沉积或原子层沉积处理之前通过抽真空或用合适的惰性气体吹扫室而移除。任选地,暴露室也可用于后续处理步骤以提高处理效率,使得该过程可从步骤c)重复进行(如有必要),以剥离保护膜和任何非选择性的ALD沉积物,然后重新形成保护膜。
该方法中使用的所述至少一种有机异氰酸酯和暴露条件的选择应通过标准实验进行优化以根据后续处理步骤的要求优化对于氮化硅表面提供的保护针对潜在的非选择性钝化的选择性、处理时间、试剂成本等。例如,可通过改变具有式I所示结构的至少一种有机异氰酸酯的R基团的性质来调整/优化选择性。典型地,由于反应性和选择性通常呈负相关,如果两个表面在化学性质上相似,则可能需要对R基团进行实验以优化工艺。例如在烷基R-基团和芳基R-基团之间存在反应性的差异;通常,与烷基异氰酸酯(alkylisocyanide)相比,芳基异氰酸酯(arylisocyanide)与带有活性氢的表面具有更高反应性。因此,在一些情况下,可能需要烷基异氰酸酯来选择性地钝化SiN而不同时钝化也具有较少反应性的活性氢原子的相邻表面。
一旦氮化硅表面被钝化,则包含例如氧化硅的第二表面对于进一步的选择性反应(例如在Si-H表面上的SiCN选择性ALD沉积)是活性的。可以选择性地沉积在第二表面上的另外的材料,包括含氧、氮、氢和碳的硅薄膜(即,SiOx、SiNx、SiOxNy、SiCxNy、SiOxCy,其全部还可能掺入H)、金属、金属氮化物和金属氧化物。
在一些实施方案中,金属氧化物膜选择性地沉积在第二表面上。在一个实施例中,金属氧化物膜可以用作第二表面上的覆盖层。金属氧化物膜可以例如通过原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)或脉冲化学气相沉积来沉积。根据一个实施方案,金属氧化物膜可选自HfO2、ZrO2、TiO2、Al2O3及其组合。在一些实施例中,金属氧化物膜可使用金属有机前体和氧化剂(例如,H2O、过氧化氢、等离子体激发的O2或O3)的交替暴露通过ALD来沉积,如2017年3月17日提交的美国临时专利申请序列号62/472,724中详述的,其全部内容通过引用并入本文。
根据本发明的选择性沉积可以是,例如,在Hamalainen等,"Atomic LayerDeposition of Noble Metals and Their Oxides,"Chem.Mater.2014,26,786-801和Johnson等,"A Brief review of Atomic layer Deposition:From Fundamentals toApplications",Materials Today,17卷,第5期,June 2014中公开的金属和金属氧化物层,两者均通过引用整体并入本文。
在一些实施方案中,金属膜选择性地沉积在第二表面上。在一个实施例中,金属膜可以用作第二表面上的覆盖层。在另一实施例中,金属膜可用作第二表面上的导电通路(即线路、衬垫或插塞)。在另一实施例中,金属膜可以例如通过原子层沉积(ALD)、等离子体增强ALD(PEALD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)或脉冲化学气相沉积来沉积。根据一个实施方案,金属膜可选自Al、Ti、Co、Rh、Ir、Fe、Ru、Os、Mn、Tc、Re、Cu、Ag、Au、Ni、Pd或Pt,及其组合。
在一些实施方案中,金属或金属氮化物膜选择性地沉积在第二表面上。在一个实施例中,金属或金属氮化物膜可用作第二表面上的覆盖层。在另一实施例中,金属或金属氮化物膜可用作扩散阻挡层。金属或金属氮化物膜可以例如通过原子层沉积(ALD)、等离子体增强的ALD(PEALD)、化学气相沉积(CVD)、等离子体增强的化学气相沉积(PECVD)或脉冲化学气相沉积来沉积。实例见于例如IBM Research Report,"Atomic Layer Deposition ofMetal and Metal Nitride Thin Films:Current Research Efforts and Applicationsfor Semiconductor Device Processing,"RC22737(W0303-012),March 5,2003中。
在选择性沉积工艺过程中,先前用至少一种有机异氰酸酯选择性地沉积在氮化硅表面上的前述保护性表面可以开始反应或另外地变得较少惰性。可以任选地重复进行所述至少一种有机异氰酸酯的任选再施用(有或没有任何水性或等离子体预处理步骤),以防止或延迟氮化硅表面上的非选择性沉积。
在一些实施方案中,在如本文所述的衬底第一表面(如衬底的氮化硅表面)上相对于衬底的第二表面的钝化是至少约90%选择性的、至少约95%选择性的、至少约96%、97%、98%或99%或更高选择性的。在一些实施方案中,钝化仅发生在第一表面上,而不发生在第二表面上。在一些实施方案中,相对于衬底的第二表面,在衬底第一表面上的钝化为至少约70%选择性的,或至少约80%选择性的,这对于某些特定应用可能是足够高的选择性。在一些实施方案中,相对于衬底的第二表面,在衬底第一表面上的钝化为至少约50%选择性的,这对于某些特定应用可能是足够高的选择性。
湿化学清洁可用于去除钝化层。示例性湿化学清洁包括本领域中已知的和在上文中对于使衬底与湿化学组合物接触的任选步骤描述的酸性、碱性和氧化性(例如,含过氧化物)湿化学组合物。去除钝化层的另一种方法是通过施加热量或其他能量。
实施例1:将具有包含氮化硅和氧化硅特征的图案化表面的300毫米直径硅晶片(衬底)浸入包含1%氢氟酸水溶液(0.3摩尔/升)的浴中60秒,然后用脱气去离子水冲洗并在氮气下干燥。将晶片转移至沉积室中,该室被抽真空并加热至100℃。正辛基异氰酸酯的蒸气从加热至70℃的源容器中输送至该室,并允许浸泡5分钟。该室再次被抽真空。在不将衬底暴露于空气的情况下,通过交替的四(二甲胺基)钛暴露之后吹扫,和然后水蒸气暴露之后吹扫在衬底存在的情况下进行氧化钛的循环原子层沉积。衬底的氧化硅部分上的沉积厚度大于衬底的氮化硅部分上的沉积厚度。
对比实施例2:将具有包含氮化硅和氧化硅特征的图案化表面的300mm直径硅晶片(衬底)浸入包含1%氢氟酸水溶液(0.3摩尔/升)的浴中60秒,然后用脱气去离子水冲洗并在氮气下干燥。将晶片转移到沉积室中,该室被抽真空并加热至100℃。在不将衬底暴露于空气的情况下,通过交替的四(二甲基氨基)钛暴露之后吹扫,和随后水蒸气暴露之后吹扫在衬底存在的情况下进行氧化钛的循环原子层沉积。衬底的氧化硅部分上的沉积厚度与衬底的氮化硅部分上的沉积厚度基本相同。
实施例3:将具有包含氮化硅和氧化硅特征的图案化表面的300毫米直径硅晶片(衬底)浸入包含0.5%氢氟酸水溶液(0.1摩尔/升)的浴中30秒,然后用脱气去离子水冲洗并在氮气下干燥。然后将晶片浸入含有溶解在无水己烷中的10%重量的十八烷基异氰酸酯的溶液中,并静置30分钟。然后取出晶片,并用无水己烷冲洗和在氮气流下干燥。将晶片转移到沉积室中,该室被抽真空并加热至100℃。在不将衬底暴露于空气的情况下,通过交替的四(二甲基氨基)钛暴露之后吹扫,和随后水蒸气暴露之后吹扫在衬底存在的情况下进行氧化钛的循环原子层沉积。衬底的氧化硅部分上的沉积厚度大于衬底的氮化硅部分上的沉积厚度。
实施例4:将具有包含氮化硅和氧化硅特征的图案化表面的300毫米直径硅晶片(衬底)浸入包含0.5%氢氟酸水溶液(0.1摩尔/升)的浴中60秒,然后用脱气去离子水冲洗并在氮气下干燥。将晶片转移至沉积室中,该室被抽真空并加热至100℃。正辛基异氰酸酯的蒸气从加热至70℃的源容器中输送至该室,并允许浸泡15分钟。该室再次被抽真空。在不将衬底暴露于空气的情况下,通过交替的二仲丁基氨基硅烷蒸气暴露之后吹扫,和随后氧气中5%臭氧暴露之后吹扫在衬底存在的情况下进行氧化硅的循环原子层沉积。衬底的氧化硅部分上的沉积厚度大于在衬底的氮化硅部分上的沉积厚度。
实施例5:将具有包含氮化硅和氧化硅特征的图案化表面的300毫米直径硅晶片(衬底)浸入包含0.2%氢氟酸水溶液(0.06摩尔/升)的浴中60秒,然后用脱气去离子水冲洗并在氮气下干燥。将晶片转移至沉积室中,该室被抽真空并加热至100℃。正辛基异氰酸酯的蒸气从加热至70℃的源容器中输送至该室,并允许浸泡5分钟。该室再次被抽真空。在不将衬底暴露于空气的情况下,通过交替的四(二甲基氨基)钛暴露之后吹扫,然后水蒸气暴露之后吹扫,和然后正辛基异氰酸酯暴露之后吹扫而在衬底存在的情况下进行氧化钛的循环原子层沉积。衬底的氧化硅部分上的沉积厚度大于衬底的氮化硅部分上的沉积厚度。
实施例6:将具有包含氮化硅和钴特征的图案化表面的300毫米直径硅晶片(衬底)浸入包含1%氢氟酸水溶液(0.3摩尔/升)的浴中60秒,然后用脱气去离子水冲洗并在氮气下干燥。将晶片转移至沉积室中,该室抽真空并加热至100℃。正辛基异氰酸酯的蒸气从加热至70℃的源容器输送至该室并允许其浸泡5分钟。该室再次被抽真空。在不将衬底暴露于空气的情况下,通过交替的四(二甲基氨基)钛暴露之后吹扫,和然后水蒸气暴露之后吹扫而在衬底存在下进行氧化钛的循环原子层沉积。衬底的钴部分上的沉积厚度大于衬底的氮化硅部分上的沉积厚度。
尽管本发明的原理已经结合优选实施方案在上文中进行了描述,但是应当清楚地理解,该描述仅作为示例进行而非对本发明范围的限制。

Claims (34)

1.一种用于选择性钝化衬底表面的方法,其中所述衬底表面包括包含氮化硅的至少第一表面和包含除氮化硅以外的材料的至少第二表面,该方法包括以下步骤:
a.任选地,用氢等离子体或氨等离子体处理所述表面;和
b.将所述表面暴露于至少一种具有根据式I的结构的有机异氰酸酯:
R-N=C=O (I),
其中R选自H、取代或未取代的C1-C18直链烷基、取代或未取代的支链C3-C18烷基、取代或未取代的C3-C8环烷基、取代或未取代的C3-C10杂环基、取代或未取代的C3-C18烯基、取代或未取代的C4-C18芳基、取代或未取代的C5-C20芳基烷基和取代或未取代的C3-C10炔基,其中所述至少一种有机异氰酸酯选择性地与所述氮化硅反应以钝化所述第一表面,从而保留所述第二表面基本上未反应。
2.根据权利要求1所述的方法,进一步包括在步骤a和b之前执行的以下步骤:
使所述衬底表面与湿化学组合物接触;
用去离子水冲洗所述表面;和
干燥所述表面,
其中所述湿化学组合物包含选自以下的至少一种:包含H2O2(28%aq)、NH4O4(28-30%)和H2O的组合物;HF(0.01%-5%(aq));过氧化物;RCA清洁化学品SC-1和SC-2;和H2SO4/H2O2的混合物。
3.根据权利要求1所述的方法,其中所述第二表面包含选自SiO2、金属氧化物、铜、钴、钨、非晶硅、多晶硅、单晶硅、锗和非晶氢化锗中的至少一种。
4.根据权利要求3所述的方法,其中所述第二表面包含SiO2
5.根据权利要求1所述的方法,其中所述至少一种有机异氰酸酯是具有结构CnF2n+1CH2N=C=O的氟取代的C1至C18直链烷基,其中n为1至17。
6.根据权利要求5所述的方法,其中所述至少一种有机异氰酸酯选自CF3CH2N=C=O、C2F5CH2N=C=O、C3F7CH2N=C=O、C4F9CH2N=C=O、C5F11CH2N=C=O、C6F13CH2N=C=O、C7F15CH2N=C=O、C8F17CH2N=C=O和C9F19CH2N=C=O。
7.根据权利要求1所述的方法,其中R是具有结构CnCl2n+1CH2N=C=O的氯取代的C1至C18直链烷基,其中n为1至17。
8.根据权利要求7所述的方法,其中所述至少一种有机异氰酸酯选自CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O和C9Cl19CH2N=C=O。
9.根据权利要求1所述的方法,其中R是取代或未取代的C3至C8环烷基。
10.根据权利要求9所述的方法,其中所述至少一种有机异氰酸酯选自环丙基异氰酸酯、环丁基异氰酸酯、环己基异氰酸酯和甲基环己基异氰酸酯。
11.根据权利要求1所述的方法,其中R是取代或未取代的C4至C18芳基。
12.根据权利要求11所述的方法,其中所述至少一种有机异氰酸酯选自苯基异氰酸酯、甲苯基异氰酸酯、二甲基苯基异氰酸酯、萘基异氰酸酯、2-乙基苯基异氰酸酯和二甲苯基异氰酸酯。
13.根据权利要求1所述的方法,其中R是未取代的C1至C18直链烷基,或R是取代或未取代的支链C3至C18烷基。
14.根据权利要求13所述的方法,其中所述至少一种有机异氰酸酯选自甲基异氰酸酯、乙基异氰酸酯、丙基异氰酸酯、异丙基异氰酸酯、正丁基异氰酸酯、仲丁基异氰酸酯、叔丁基异氰酸酯、戊基异氰酸酯、己基异氰酸酯、辛基异氰酸酯、癸基异氰酸酯、十二烷基异氰酸酯、硬脂基异氰酸酯、1,1,3,3-四甲基丁基异氰酸酯和1-甲基庚基异氰酸酯。
15.根据权利要求1所述的方法,其中R是取代或未取代的C5至C20芳基烷基。
16.根据权利要求15所述的方法,其中所述至少一种有机异氰酸酯是苄基异氰酸酯。
17.根据权利要求1所述的方法,其中所述暴露步骤用所述至少一种有机异氰酸酯的蒸气进行。
18.一种在衬底表面上选择性地沉积膜的方法,其中所述衬底表面包括包含氮化硅的至少第一表面和包含除氮化硅以外的材料的至少第二表面,所述方法包括以下步骤:
a.任选地,用氢等离子体或氨等离子体处理所述表面;
b.将所述表面暴露于至少一种具有根据式I的结构的有机异氰酸酯:
R-N=C=O (I),
其中,R选自H、取代或未取代的C1-C18直链烷基、取代或未取代的支链C3-C18烷基、取代或未取代的C3-C8环烷基、取代或未取代的C3-C10杂环基、取代或未取代的C3-C18烯基、取代或未取代的C4-C18芳基、取代或未取代的C5-C20芳基烷基和取代或未取代的C3-C10炔基,其中所述至少一种有机异氰酸酯选择性地与所述氮化硅反应以使所述第一表面钝化,从而保留所述第二表面基本上未反应;和
c.将所述衬底表面暴露于一种或多种沉积前体以相对于所述第一表面选择性地在所述第二表面上沉积膜。
19.根据权利要求18所述的方法,进一步包括在步骤a和b和c之前执行的以下步骤:
使所述衬底表面与湿化学组合物接触;
用去离子水冲洗所述表面;和
干燥所述表面,
其中所述湿化学组合物包含选自包含H2O2(28%aq)、NH4O4(28-30%)和H2O的组合物;HF(0.01%-5%(aq));过氧化物;RCA清洁化学品SC-1和SC-2;和H2SO4/H2O2混合物的至少一种。
20.根据权利要求18所述的方法,其中所述第二表面包含选自SiO2、金属氧化物、铜、钴、钨、非晶硅、多晶硅、单晶硅、锗和非晶氢化锗的至少一种。
21.根据权利要求20所述的方法,其中所述第二表面包含SiO2
22.根据权利要求18所述的方法,其中所述至少一种有机异氰酸酯是具有结构CnF2n+ 1CH2N=C=O的氟取代的C1至C18直链烷基,其中n为1至17。
23.根据权利要求22所述的方法,其中所述至少一种有机异氰酸酯选自CF3CH2N=C=O、C2F5CH2N=C=O、C3F7CH2N=C=O、C4F9CH2N=C=O、C5F11CH2N=C=O、C6F13CH2N=C=O、C7F15CH2N=C=O、C8F17CH2N=C=O和C9F19CH2N=C=O。
24.根据权利要求18所述的方法,其中R是具有结构CnCl2n+1CH2N=C=O的氯取代的C1至C18直链烷基,其中n为1至17。
25.根据权利要求24所述的方法,其中所述至少一种有机异氰酸酯选自CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O和C9Cl19CH2N=C=O。
26.根据权利要求18所述的方法,其中R是取代或未取代的C3至C8环烷基。
27.根据权利要求26所述的方法,其中所述至少一种有机异氰酸酯选自环丙基异氰酸酯、环丁基异氰酸酯、环己基异氰酸酯和甲基环己基异氰酸酯。
28.根据权利要求18所述的方法,其中R是取代或未取代的C4至C18芳基。
29.根据权利要求28所述的方法,其中所述至少一种有机异氰酸酯选自苯基异氰酸酯、甲苯基异氰酸酯、二甲基苯基异氰酸酯、萘基异氰酸酯、2-乙基苯基异氰酸酯和二甲苯基异氰酸酯。
30.根据权利要求18所述的方法,其中R是未取代的C1至C18直链烷基,或R是取代或未取代的支链C3至C18烷基。
31.根据权利要求30所述的方法,其中所述至少一种有机异氰酸酯选自甲基异氰酸酯、乙基异氰酸酯、丙基异氰酸酯、异丙基异氰酸酯、正丁基异氰酸酯、仲丁基异氰酸酯、叔丁基异氰酸酯、戊基异氰酸酯、己基异氰酸酯、辛基异氰酸酯、癸基异氰酸酯、十二烷基异氰酸酯、硬脂基异氰酸酯、1,1,3,3-四甲基丁基异氰酸酯和1-甲基庚基异氰酸酯。
32.根据权利要求18所述的方法,其中R是取代或未取代的C5至C20芳基烷基。
33.根据权利要求32所述的方法,其中所述至少一种有机异氰酸酯是苄基异氰酸酯。
34.根据权利要求18所述的方法,其中所述暴露步骤用所述至少一种有机异氰酸酯的蒸气进行。
CN201980056149.2A 2018-08-27 2019-08-23 在含硅表面上的选择性沉积 Pending CN112602169A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862723023P 2018-08-27 2018-08-27
US62/723,023 2018-08-27
PCT/US2019/047900 WO2020046746A1 (en) 2018-08-27 2019-08-23 Selective deposition on silicon containing surfaces

Publications (1)

Publication Number Publication Date
CN112602169A true CN112602169A (zh) 2021-04-02

Family

ID=69586286

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980056149.2A Pending CN112602169A (zh) 2018-08-27 2019-08-23 在含硅表面上的选择性沉积

Country Status (9)

Country Link
US (1) US11282710B2 (zh)
EP (1) EP3844799A4 (zh)
JP (2) JP7288501B2 (zh)
KR (1) KR102431745B1 (zh)
CN (1) CN112602169A (zh)
IL (1) IL280881A (zh)
SG (1) SG11202101696UA (zh)
TW (1) TWI720597B (zh)
WO (1) WO2020046746A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
JP2023553386A (ja) * 2020-12-01 2023-12-21 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 選択的プラズマ強化原子層堆積

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6187699A (ja) * 1984-10-05 1986-05-06 Nok Corp 生理活性物質の固定化方法
JP3592226B2 (ja) * 2000-10-06 2004-11-24 松下電器産業株式会社 機能性有機薄膜の製造方法
US6686017B2 (en) * 2001-02-28 2004-02-03 Matsushita Electric Industrial Co., Ltd. Optical recording film, method for manufacturing the same, optical recording medium, method for manufacturing the same, optical recording method, information recording/reproducing apparatus, information reproducing/recording method, computer system and video signal recording/reproducing system
US6641899B1 (en) * 2002-11-05 2003-11-04 International Business Machines Corporation Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
US7132678B2 (en) * 2003-03-21 2006-11-07 International Business Machines Corporation Electronic device including a self-assembled monolayer, and a method of fabricating the same
CA2571483A1 (en) * 2004-06-21 2005-12-29 Wageningen University Tailor-made functionalized silicon and/or germanium surfaces
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP2013011465A (ja) * 2011-06-28 2013-01-17 Konica Minolta Advanced Layers Inc 修飾基導入基板の製造方法およびリガンド固定化基板の製造方法,修飾基導入基板およびリガンド固定化基板,ならびに,分子間相互作用検出方法
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
JP6078051B2 (ja) * 2012-03-30 2017-02-08 リンテック株式会社 ガスバリアフィルム積層体、電子デバイス用部材、及び電子デバイス
EP2824717B1 (en) * 2013-07-09 2016-12-28 Agfa-Gevaert A backsheet for photovoltaic modules
WO2016161340A1 (en) * 2015-04-01 2016-10-06 Ayon Arturo A Compositions for uv sequestration and methods of use
CN107533951B (zh) * 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
WO2017151639A1 (en) 2016-03-03 2017-09-08 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
JP7153566B2 (ja) 2017-01-11 2022-10-14 株式会社フジミインコーポレーテッド 研磨用組成物
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10519035B1 (en) * 2017-02-23 2019-12-31 Rhode Island Council On Postsecondary Education Covalent chemical surface modification of surfaces with available silicon or nitrogen
JP2018182021A (ja) * 2017-04-11 2018-11-15 ソニーセミコンダクタソリューションズ株式会社 撮像素子、積層型撮像素子及び固体撮像装置
US20200330931A1 (en) * 2018-01-05 2020-10-22 Simpore Inc. Functionalized silicon nanomembranes and uses thereof

Also Published As

Publication number Publication date
WO2020046746A1 (en) 2020-03-05
US11282710B2 (en) 2022-03-22
TWI720597B (zh) 2021-03-01
KR102431745B1 (ko) 2022-08-10
TW202009319A (zh) 2020-03-01
EP3844799A1 (en) 2021-07-07
IL280881A (en) 2021-04-29
US20200066539A1 (en) 2020-02-27
JP7288501B2 (ja) 2023-06-07
EP3844799A4 (en) 2022-05-25
SG11202101696UA (en) 2021-03-30
JP2021536527A (ja) 2021-12-27
JP2023105023A (ja) 2023-07-28
KR20210035337A (ko) 2021-03-31

Similar Documents

Publication Publication Date Title
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
TWI709655B (zh) 金屬膜的選擇性沈積
KR102579784B1 (ko) 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
KR102185458B1 (ko) 선택적 퇴적
CN110612364B (zh) 在含硅表面上的选择性沉积
CN112602169A (zh) 在含硅表面上的选择性沉积
KR102306680B1 (ko) 실리콘계 유전체들 상에서의 선택적 증착을 위한 방법들
US10790141B2 (en) Surface-selective atomic layer deposition using hydrosilylation passivation
KR20140074942A (ko) 저온 증착용 활성화된 규소 전구체
JP2014506013A (ja) SiO2のインシトゥ気相表面活性化
JP2011146711A5 (zh)
KR20160011155A (ko) Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체
KR20110125651A (ko) 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
KR102373339B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
Sato et al. In situ vapor phase surface activation of SiO 2

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination