KR20160011155A - Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체 - Google Patents

Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체 Download PDF

Info

Publication number
KR20160011155A
KR20160011155A KR1020150101185A KR20150101185A KR20160011155A KR 20160011155 A KR20160011155 A KR 20160011155A KR 1020150101185 A KR1020150101185 A KR 1020150101185A KR 20150101185 A KR20150101185 A KR 20150101185A KR 20160011155 A KR20160011155 A KR 20160011155A
Authority
KR
South Korea
Prior art keywords
silicon
film
plasma
organic
substrate
Prior art date
Application number
KR1020150101185A
Other languages
English (en)
Other versions
KR102415780B1 (ko
Inventor
마크 샐리
데이비드 톰슨
제시카 세반느 카치안
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160011155A publication Critical patent/KR20160011155A/ko
Application granted granted Critical
Publication of KR102415780B1 publication Critical patent/KR102415780B1/ko

Links

Images

Classifications

    • H01L21/2053
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 유기 반응물, 규소 전구체 및 플라즈마를 사용하여 규소-함유 필름을 증착시키는 방법을 개시하고 있다.

Description

PE-ALD에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체{CARBON AND/OR NITROGEN INCORPORATION IN SILICON-BASED FILMS USING SILICON PRECURSORS WITH ORGANIC CO-REACTANTS BY PE-ALD}
본원 개시내용의 구체예는 일반적으로 박막을 증착시키는 방법에 관한 것이다. 특히, 본원 개시내용의 구체예는 Si-함유 막의 증착 및 도핑을 위한 프로세스(process)에 관한 것이다.
기판 표면 상의 박막의 증착은 반도체 프로세싱(semiconductor processing), 확산 배리어 코팅 및 자성 판독/기록 헤드(magnetic read/write head)를 위한 유전체를 포함한 다양한 산업에서 중요한 프로세스이다. 반도체 산업에서, 특히, 소형화는 높은 가로세로 비 구조물 상에 컨포멀 코팅(conformal coating)을 생성시키기 위한 원자 수준 제어의 박막 증착을 요구한다.
디바이스 노드(device node)가 45nm 미만으로 줄어듦에 따라서, 높은 가로세로 비 구조물 상의 유전 막의 낮은 패턴 부하 효과를 지닌 컨포멀 피복(Conformal coverage)이 중요한 요건이다. 추가로, 실리콘 니트라이드 박막은 반도체 산업 전체에 걸쳐서 일반적으로 사용되는 유전체이지만, 더 낮은 유전 상수(k) 값을 지니며 산-기반 세정 용액에서의 더 낮은 애칭률을 지니는 유전 물질에 대한 요구가 증가하고 있다.
실리콘 카바이드 (옥시)니트라이드(SiCON/SiCN) 막은 유리한 이들 품질 중 일부를 나타낼 수 있지만, 노 프로세스(furnace process)로부터의 SiCON/SiCN 막의 증착은 심각한 단점이 있다. 예를 들어, 이들 단점은 높은 온도 요건(≥550℃), 필름 조성물 및 결합 구조를 조작하는 적은 능력을 포함한다. 이들 성질은 습식 에칭 내성 및 전공정(front-end of line: FEOL) 적용을 위한 열적 사이클링 동안의 전기적 안정성에 영향을 준다. 저온에서 플라즈마 강화 화학적 기상 증착(plasma enhanced chemical vapor deposition: PE-CVD)을 통해서 증착된 막은 라디칼 플럭스(radicals' flux)의 방향성으로 인해서 불량한 단차 피복(step coverage)을 지닌다.
따라서, 다양한 Si 함유 막, 예컨대, SiCN을 증착시키기 위한 개선된 방법에 대힌 요구가 존재한다.
요약
본원 개시내용의 하나 이상의 구체예는 기판 상에 막을 증착시키는 방법에 관한 것이다. 기판의 적어도 일부가 규소-함유 전구체에 노출되어 규소-함유 막을 형성시킨다. 규소-함유 막은 유기 반응물에 노출되어 규소-탄소 막 또는 규소-붕소 막 중 하나 이상을 형성시킨다. 규소-함유 막 또는 규소-탄소 막 또는 규소-붕소 막 중 하나 이상이 플라즈마에 노출된다.
본원 개시내용의 추가의 구체예는 기판 상에 막을 증착시키는 방법에 관한 것이다. 기판의 적어도 일부가 규소-함유 전구체에 노출되어 규소-함유 막을 형성시킨다. 규소-함유 막은 플라즈마에 노출된다. 규소-함유 막은 플라즈마 내로의 유기 반응물의 하나 이상의 펄스(pulse)에 노출되어 규소-탄소 막을 형성시킨다.
본원 개시내용의 추가의 구체예는 기판 상에 막을 증착시키는 방법에 관한 것이다. 기판의 적어도 일부가 규소-함유 전구체에 노출되어 규소-함유 막을 형성시킨다. 규소-함유 막은 유기 반응물에 노출되어 규소-탄소 막을 형성시킨다. 규소-탄소 막이 플라즈마에 노출되어 실리콘 카르보니트라이드 막(silicon carbonitride film)을 형성시킨다.
본원 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 상기 간단히 요약된 본원 개시내용의 더욱 특정한 설명이 구체예를 참조로 하여 이루어질 수 있으며, 이들 구체예 중 일부는 첨부된 도면에 예시되어 있다. 그러나, 첨부된 도면은 단지 전형적인 구체예를 예시하는 것이고, 그에 따라서, 본 발명의 범위를 제한하는 것으로 여겨지지 않아야 하는데, 그 이유는 본 발명이 다른 동일한 효과의 구체예도 인정할 수 있기 때문임이 주지되어야 한다.
도 1은 본원 개시내용의 하나 이상의 구체예에 따른 원자층 증착 프로세스의 흐름도를 도시하고 있다.
도 2는 본원 개시내용의 하나 이상의 구체예에 따른 원자층 증착 프로세스의 흐름도를 도시하고 있다.
도 3은 본원 개시내용의 하나 이상의 구체예에 따라 증착된 막에 대한 FTIR 스펙트럼을 도시하고 있다.
도 4는 본원 개시내용의 하나 이상의 구체예에 따른 프로세스 조건의 함수로서 습식 에칭률의 그래프를 도시하고 있다.
도 5는 본원 개시내용의 하나 이상의 구체예에 따른 에칭 시간의 함수로서 성분들의 XPS 원자 백분율의 그래프를 도시하고 있다.
상세한 설명
본원 개시내용의 몇 가지 예시적인 구체예를 설명하기 전에, 본원 개시내용이 이하 설명에 기재된 구성 또는 프로세스 단계들의 상세사항으로 한정되지 않음이 이해되어야 한다. 본원 개시내용은 다른 구체예가 가능할 수 있고, 다양한 방식으로 실시되거나 수행될 수 있다. 또한, 본원 개시내용에서의 착화합물 및 리간드가 특정의 입체 화학을 지니는 구조적 일반식 또는 명칭을 이용하여 본원에서 기재되거나 예시될 수 있음이 이해되어야 한다. 이들 예시는 단지 예를 들기 위한 것이며 개시된 구조를 어떠한 특정의 입체 화학으로 제한하는 것으로 구성되는 것이 아니다. 오히려, 예시된 구조는 표시된 화학식을 지니는 그러한 모든 착화합물 및 리간드를 포함시키기 위한 것이다.
본원 개시내용의 구체예는 규소-탄소 막(예, SiCN 막)의 PE-ALD에 관한 것이다. 본원 개시내용의 구체예는 다양한 플라즈마에 의한 SiCN의 증착을 가능하게 한다.
플라즈마 강화 프로세스에 의해서 막을 증착시키는 때에, 플라즈마 가스, 예컨대, NH3 및 N2는 유기 모이어티(organic moiety)들과 반응하여 막 내의 탄소 함량을 감소시키는 휘발성 아민 또는 니트릴을 생성시킨다. 탄소를 함유하는 전구체, 예컨대, 비스(트리클로로실릴)메탄(BTCSM)을 사용한 질화규소 기반 막 내로의 탄소의 결합(incorporation)이 시도되었다. 이러한 전구체는 450℃에서 공동-반응물로서 NH3 가스를 사용한 열적 ALD에 의해서 C를 결합시키고 있다. 그러나, 그러한 막은 매우 조밀하지 못하며, 염소 수준이 많은 적용에 적합하지 못한 높은 에칭률을 유도할 수 있다. NH3 플라즈마와 함께 BTCSM를 사용하는 때에, 대부분의 염소가 달아나지만, 탄소가 또한 반응되어 나가서 SiN 막을 초래한다.
SiN 막을 증착시키고, 이어서, 이온 주입에 의해서 탄소를 도핑하는 것이 또한 가능하다. 불행하게도, 이온 주입이 방향성이기 때문에, 이러한 방법은 막을 손상시킬 수 있고 착화합물 특징에 비해서 조성 상의 변화를 유도할 수 있다.
본원 개시내용의 구체예는 다양한 유기 시약(아민, 알칸, 알켄, 및 알킨)을 규소 전구체(예컨대, Si2Cl6, H2SiCl2, SiCl4, BTBAS, BDEAS)와 조합하여 PE-ALD 프로세스에 의해서 SiCN 또는 SiC를 증착시킨다. 도 1은, 순서대로, Si 전구체 펄스, 불활성 가스 퍼지(또는 펌핑 또는 둘 모두), 유기 공동-반응물 펄스와 함께 플라즈마 노출, 및 불활성 가스 퍼지(또는 펌핑 또는 둘 모두)를 포함하는 ALD 사이클의 구체예를 예시하고 있다. 어떠한 특정의 작업 이론으로 한정하고자 하는 것은 아니지만, 플라즈마 펄스 동안에 유기 시약을 펄싱하는 것은 필름 내로 결합될 수 있는 반응성 유기 종(예컨대, NH 라디칼 또는 메틸 라디칼)을 형성시키는 것으로 사료된다.
도 2는 ALD 사이클이, 순서대로, Si 전구체 펄스, 불활성 가스 퍼지(또는 펌핑 또는 둘 모두), 유기 공동-반응물 펄스, 불활성 가스 퍼지(또는 펌핑 또는 둘 모두), 플라즈마 처리, 및 불활성 가스 퍼지(또는 펌핑 또는 둘 모두)를 포함하는 본원 개시내용의 또 다른 구체예를 도시하고 있다. 또한, 어떠한 특정의 작업 이론으로 한정하고자 하는 것은 아니지만, 이러한 유형의 ALD 사이클에서, 유기 공동-반응물은 기판 표면과 반응할 수 있고, 이어서, 플라즈마 처리가 막을 치밀하게 하는 것으로 사료된다. 또한, 플라즈마 처리가 다음 사이클에서의 Si 전구체를 위한 기판 표면을 재-활성화시키는 것으로 사료된다.
본원 개시내용의 구체예는 C 및 N 공급원으로서 유기 분자를 사용한 PE-ALD 프로세스에 의해서 SiC 또는 SiCN 막을 생성시키기 위해 규소 전구체를 사용하는 것을 가능하게 한다. 직접적인 Si-C 결합을 함유하는 규소 전구체가 막 내로 결합될 수 있는 것으로 사료된다. 불행하게도, 플라즈마는 휘발성 유기 화합물을 생성시킴으로써 막으로부터 탄소를 제거하는 것으로 공지되어 있다. 본원 개시내용의 구체예는 생성되는 막 내의 탄소 또는 질소 수준의 제어를 가능하게 한다. 예를 들어, 본 발명자들은 유기 공동-반응물, 유기 공동-반응물의 투입량 또는 플라즈마 조건(예, 플라즈마 가스, 전력, 펄스 시간 등)을 변화시키는 것이 막의 최종 조성 및 습식 에칭률 및 유전 상수에 영향을 준다는 것을 발견하였다. 본 명세서 및 첨부된 청구범위에서 사용된 용어, "반응물" 및 "공동-반응물"은 기판 표면 상의 분자와 반응할 수 있는 가스성 종을 나타내기 위해서 상호 교환적으로 사용된다.
본원 개시내용의 구체예에서, 유기 공동-반응물의 사용은 C, N, 및/또는 B를 막 내로 결합시킨다. C 및 N의 경우에, 어떠한 탄소 및 질소 함유 분자가 탄소 및 질소를 도핑시키기 위해서 사용될 수 있다(예, 아민 또는 니트릴). 본 발명자들은 단지 탄소(예, 아세틸렌) 또는 붕소(예, 보란)을 함유하는 어떠한 공동-반응물이 또한 사용될 수 있음을 발견하였다.
본원에서 사용된 용어 "기판"은 제조 프로세스 동안에 그 위에 막 프로세싱이 수행되는 어떠한 기판 또는 기판 상에 형성된 물질 표면을 나타낸다. 예를 들어, 프로세싱이 수행될 수 있는 기판 표면은, 적용에 따라서, 규소, 실리콘 옥사이드(silicon oxide), 스트레인드 실리콘(strained silicon), 실리콘 온 인슐레이터(silicon on insulator: SOI), 탄소 도핑된 실리콘 옥사이드, 실리콘 니트라이드, 도핑된 실리콘, 게르마늄, 갈륨 아르제나이드, 유리, 사파이어, 및 그 밖의 물질, 예컨대, 금속, 금속 니트라이드, 금속 합금, 및 그 밖의 전도성 물질을 포함한다. 기판은, 이로 한정되는 것은 아니지만, 반도체 웨이퍼를 포함한다. 기판은 기판 표면을 연마, 에칭, 환원, 산화, 하이드록실화, 어닐링 및/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 자체의 표면 상의 직접적인 막 프로세싱에 추가로, 본원 개시내용에서는, 개시된 막 프로세싱 단계 중 어떠한 단계가 이하 더욱 상세히 개시된 바와 같이 기판상에 형성된 하부층에 대해서 또한 수행될 수 있고, 용어 "기판 표면"은 본 문맥에서 나타낸 바와 같은 하부층을 포함하는 것으로 의도된다.
일부 구체예에서, 기판 표면은 기판 표면과 Si-함유 막을 증착시키기 위해서 사용된 전구체 사이의 반응을 가능하게 하는 층을 포함한다. 그러한 예는 반응성 -OH 또는 -NH 모이어티 또는 핸들을 함유하는 층을 포함한다.
Si-함유 막은 다양한 증착 프로세스를 이용하여 증착될 수 있다. 하나 이상의 구체예에서, Si-함유 막은 원자층 증착(atomic layer deposition: ALD), 플라즈마-강화 원자층 증착(plasma-enhanced atomic layer deposition: PEALD), 화학적 기상 증착(chemical vapor deposition: CVD), 또는 스핀-온 유전체(spin-on dielectric: SOD) 증착에 의해서 증착된다. 일부 구체예에서, Si-함유 층의 두께의 정밀한 제어가 있도록 하기 위해서 ALD 또는 PEALD가 이용된다.
예시적인 ALD 프로세스에서, 기판은 기판 표면과 반응하여 모든 구조적 표면 상에 전구체의 단일층을 제공하는 첫 번째 전구체, 예컨대, 규소 전구체에 노출된다. 규소 전구체는, 이로 한정되는 것은 아니지만, 할로겐-말단 결합을 지니는 할로겐화 실란, 아미노-할로겐화-실란, 또는 카르보-할로겐화-실란 전구체를 포함한다. 그러한 할로겐화 전구체의 예는 헥사클로로디실란(HCDS), 디클로로실란(DCS), 및 비스(트리스클로로실릴) 메탄(BTCSM)을 포함한다. 일부 구체예에서, 반응은 자기-제한적인데, 그 이유는 층이 할로겐-말단 결합을 지녀서 우수한 단차 피복(step coverage)을 제공하기 때문이다. 하나 이상의 구체예에서, 규소-함유 가스(규소 전구체로도 일컬어짐)는 하나 이상의 할로겐을 포함한다.
도핑된 Si-함유 막은 어떠한 두께의 컬포멀 막일 수 있다. 도핑된 막의 막 두께는 두께의 Si-함유 막을 증착시키고, 이어서, Si-함유 막을 도핑시킴으로써 조절될 수 있다. 다양한 구체예에서, 도핑된 Si-함유 막의 두께는 2 내지 30nm, 예컨대, 2 내지 10nm의 범위에 있다. 예시적인 막 두께는 30, 25, 20, 15, 10, 9, 8, 7, 6, 5, 4, 3 또는 2 nm 또는 그 미만일 수 있다.
본원 개시내용의 하나 이상의 구체예는 기판 상에 막을 증착시키는 방법에 관한 것이다. 기판 상에 막을 증착시킨다는 것은 또한 기판의 표면의 일부 상에 또는 기판 상에 이미 형성된 표면 상에 막을 증착시킴을 의미함이 이해될 것이다. 기판의 적어도 일부가 규소-함유 전구체에 노출되어 기판상에 규소-함유 막을 형성시킨다. 규소-함유 막은 단일 단계 반응 또는 다단계 반응의 결과로 형성될 수 있다. 예를 들어, 단일 단계 반응에서, 기판은 기판 표면과 반응하고 그 위에 증착하는 하나 이상의 규소 전구체에 노출될 수 있다. 후속 프로세스 단계는 이들을 증착된 규소 막과 반응할 수 있다. 다단계 프로세스에서, 기판은 규소 전구체에 노출된 다음에, 추가의 프로세싱이 수행될 규소 막에 대한 환원제에 노출될 수 있다.
규소-함유 막은 이어서 유기 반응물에 노출되어 규소-탄소 막을 형성시킨다. 본 명세서 및 첨부된 청구범위에서 사용된 용어 "규소-탄소 막"은 규소와 탄소를 지니는 막을 나타낸다. 예를 들어, 규소-탄소 막은 실리콘 카르보니트라이드(SiCN) 막 또는 실리콘 카르보보라이드(SiCB) 막일 수 있다. 일부 구체예에서, 규소-함유 막은 유기 반응물에 노출되어 규소-붕소 막을 형성시킬 수 있다. 본 명세서 및 첨부된 청구범위에서 사용된 용어 "규소-붕소 막"은 규소와 붕소를 지니는 막을 나타낸다. 예를 들어, 규소-붕소 막은 실리콘 보로니트라이드(SiBN) 막 또는 실리콘 보로라이드(SiB) 막일 수 있다.
일부 구체예에서, 유기 반응물은 아민이다. 하나 이상의 구체예에서, 아민은 피롤, 디에틸아민, 피페리딘, 피리딘, 퀴누클리딘, 에틸렌디아민, t-부틸아민, 테트라메틸렌디아민 및 이들의 조합물로 이루어진 군으로부터 선택된다.
일부 구체예에서, 유기 반응물은 알칸이다. 하나 이상의 구체예에서, 알칸은 헥산, 부탄, 프로판, 사이클로헥산, 사이클로프로판 및 이들의 조합물로 이루어진 군으로부터 선택된다.
일부 구체예에서, 유기 반응물은 알켄이다. 하나 이상의 구체예에서, 알켄은 헥센, 1,3-헥사디엔, 1,4-부타디엔, 1,4-사이클로헤사디엔, 프로펜 및 이들의 조합물로 이루어진 군으로부터 선택된다.
일부 구체예에서, 유기 반응물은 알킨이다. 하나 이상의 구체예에서, 알킨은 아세틸렌, t-부틸아세틸렌, 2,2-디메틸-1-부틴 및 이들의 조합물로 이루어진 군으로부터 선택된다.
규소-함유 막 또는 규소-탄소 막 또는 규소-붕소 막은 플라즈마에 노출된다. 플라즈마는 어떠한 적합한 가스로부터 형성될 수 있다. 일부 구체예에서, 플라즈마는 질소, 아르곤, 헬륨, 네온 및 이들의 조합물로 이루어진 군으로부터 선택된 불활성 가스로부터 형성된다.
플라즈마 노출의 순서는 다양할 수 있다. 일부 구체예에서, 도 1에 도시된 바와 같이, 플라즈마 노출은 유기 반응물에 대한 노출 전에 발생하거나 시작된다. 일부 구체예에서, 도 2에 도시된 바와 같이, 플라즈마 노출은 유기 반응물에 대한 노출 후에 발생한다. 일부 구체예에서, 규소-함유 막은 플라즈마와 유기 반응물에 동시에 노출된다.
도 1에 도시된 구체예를 참조하면, 규소-함유 막이 플라즈마에 노출되고 유기 반응물의 하나 이상의 펄스가 플라즈마내로 흐른다. 예를 들어, 아르곤 플라즈마가 생성되고, 규소-함유 막이 증착된 기판의 표면에 노출된다. 플라즈마 노출이 시작되면, 짧은 지연 후에, 유기 반응물이 챔버 내로 펄싱되어 기판과 접촉된다. 유기 반응물은 플라즈마로서 여기될 필요가 없으며, 단지 플라즈마 내에 존재할 수 있다.
플라즈마 노출이 시작된 후의 유기 반응물의 개시 사이의 지연은 다양할 수 있다. 일부 구체예에서, 지연은 약 0.1초, 0.2초, 0.3초, 0.4초 또는 0.5초 이상이다. 일부 구체예에서, 지연은 약 30초 미만이다. 하나 이상의 구체예에서, 지연은 약 0.5초 내지 약 1초의 범위에 있다.
플라즈마 노출 동안의 유기 반응물의 펄스 수가 또한 다양할 수 있다. 일부 구체예에서, 유기 반응물의 두 번, 세 번 또는 네 번 이상의 펄스가 플라즈마 내로 흐른다. 플라즈마는 펄스들 사이에 중단될 수 있거나 계속될 수 있다.
유기 반응물과 플라즈마는 동시에 또는 상이한 시점에 중단될 수 있다. 일부 구체예에서, 유기 반응물과 플라즈마는 실질적으로 동시에 중단된다. 하나 이상의 구체예에서, 유기 반응물의 흐름은 플라즈마를 중단하기 전에 중단된다.
실시예
모든 증착 실험은 HCDS 및 에틸렌디아민에 대해 약 200 sccm의 캐리어 가스 흐름과 함께 약 350℃의 기판 온도에서 수행되었다. 퍼지 가스 흐름 및 플라즈마 가스 흐름은 약 1 내지 3 Torr의 압력을 발생시키는 약 1000 sccm에서 일정하게 유지되었다. 샘플을 표 1에 열거된 조건에 따라 증착시켰다.
표 1. 샘플 조건
Figure pat00001
도 3은 가변 플라즈마 조건(예, 가스, 파워)에 따른 도 1 또는 도 2에 예시된 펄스 트레인(pulse train)에 의해서 증착된 막의 FTIR 스펙트럼을 도시하고 있다. 도 3 내의 스펙트럼 모두에서, 두 Si 원자를 연결하는 CH2 기와 일치하는 약 900 cm-1 에서의 쇼울더 피크(shoulder peak)가 존재한다. Si-N, SiN-H 및 Si-H 결합 모드와 관련된 공명이 또한 관찰되었다. 증착된 막은 막 내에 약간의 알 수 없는 양의 수소와 함께 SiCN을 함유하였다.
도 4는 프로세스 조건의 함수로서 묽은 HF(1:100) 중의 습식 에칭률(wet etch rate: WER)의 그래프를 도시하고 있다. 프로세스 조건은 관찰된 WER에 영향을 주는 것으로 관찰되었다. 아르곤 플라즈마가 사용되는 때에, WER은 5 Å/min 미만이었고, 펄스 트레인을 도 2의 펄스 트레인으로 조정함으로써 <0.5까지 추가로 감소될 수 있다. 특정의 작업 이론으로 한정하고자 하는 것은 아니지만, 실시예 A에서의 조건에 의해서 증착된 막에 대해 관찰된 더 낮은 WER은 플라즈마 치밀화 및 더 높은 탄소 결합과 관련이 있을 것으로 사료된다. 아르곤 플라즈마는 더 큰 크기의 이온 때문에 질소 보다 더 우수하게 막을 치밀화시켜서 더 낮은 습식 에칭률을 유도하는 것으로 사료된다.
도 5는 실시예 A에 따른 막에 대한 x-선 광전자 스펙트럼(x-ray photoelectron spectra: XPS) 깊이 프로파일 분석을 도시하고 있다. 막은 약 60Å의 두께로 증착되었다. Si, C 및 N에 상응하는 이온화가 존재하였으며, 이는 SiCN 막이 상당히 높은 C로 증착될 수 있음을 나타내는 것으로 사료된다. 추가로, 막내의 염소 잔류물은 XPS 한계(<0.5원자%) 미만이었다. 표 2는 500초 에칭 시간에서의 XPS 결과를 나타내고 있다. 막 내에서 관찰된 산소(약 6원자%)는 증착 챔버로부터의 막의 제거 후에 주위 환경으로부터 O를 흡수하는 막에 기인한 것으로 사료된다.
표 2. XPS 깊이 프로파일
Figure pat00002
하나 이상의 구체예에 따르면, 기판은 도핑된 Si-함유 막을 형성시키기 전에 및/또는 그 후에 프로세싱에 주어진다. 이러한 프로세싱은 동일한 챔버에서 수행될 수 있거나 하나 이상의 별도의 프로세싱 챔버에서 수행될 있다. 일부 구체예에서, 기판은 추가의 프로세싱을 위해서 첫 번째 챔버에서 별도의 두 번째 챔버로 이동된다. 기판은 첫 번째 챔버에서 별도의 프로세싱 챔버로 직접 이동될 수 있거나, 이는 첫 번째 챔버에서 하나 이상의 이송 챔버로 이동되고, 이어서, 별도의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 소통되는 복수의 챔버를 포함할 수 있다. 이러한 부류의 장치는 "클러스터 툴(cluster tool)" 또는 "클러스터드 시스템(clustered system)" 등으로 일컬어질 수 있다.
일반적으로, 클러스터 툴은 기판 중심-찾기 및 배향, 탈가스(degassing), 어닐링, 증착 및/또는 에칭을 포함한 다양한 기능을 수행하는 복수의 챔버를 포함하는 모듈러 시스템(modular system)이다. 하나 이상의 구체예에 따르면, 클러스터 툴은 적어도 첫 번째 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 프로세싱 챔버들과 로드 락 챔버(load lock chamber)들 사이 및 그들 중에서 기판을 왕복시킬 수 있는 로봇을 수용할 수 있다. 이송 챔버는 전형적으로는 진공 상태에서 유지되며, 하나의 챔버에서 또 다른 챔버로 및/또는 클러스터 툴의 전단부에 위치된 로드 락 챔버 기판을 왕복시키기 위한 중간 스테이지를 제공한다. 본원 개시내용을 위해서 개조될 수 있는 두 가지의 공지된 클러스터 툴은 미국 캘리포니아 산타 클라라 소재의 Applied Materials, Inc.로부터 구입 가능한 Centura® 및 Endura®이다. 그러나, 챔버의 정확한 배열 및 조합은 본원에 기재된 바와 같이 프로세스의 특정의 단계를 수행할 목적으로 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버는, 이로 한정되는 것은 아니지만, 사이클릭 층 증착(cyclical layer deposition: CLD), 원자층 증착(atomic layer deposition: ALD), 화학적 기상 증착(chemical vapor deposition: CVD), 물리적 기상 증착(physical vapor deposition: PVD), 에칭, 사전-세정(pre-clean), 화학적 세정(chemical clean), 열적 처리(thermal treatment), 예컨대, RTP, 플라즈마 질화(plasma nitridation, 탈가스, 배향(orientation), 하이드록실화 및 그 밖의 기판 프로세스를 포함한다. 클러스터 툴 상의 챔버에서 프로세스를 수행시킴으로써, 대기 불순물에 의한 기판의 표면 오염이 후속 막을 증착시키기 전의 산화 없이 회피될 수 있다.
하나 이상의 구체예에 다르면, 기판은 연속적으로 진공 하에 또는 "로드 락" 조건 하에 있고, 한 챔버에서 다음 챔버로 이동되는 때에 주위 공기에 노출되지 않는다. 따라서, 이송 챔버는 진공 하에 있고 진공 압력 하에 "펌프 다운(pumped down)"된다. 불활성 가스가 프로세싱 챔버 또는 이송 챔버에 존재할 수 있다. 일부 구체예에서, 불활성 가스가 퍼지 가스로 사용되어 반응물의 일부 또는 전부를 제거한다. 하나 이상의 구체예에 따르면, 퍼지 가스는 증착 챔버의 출구에서 주입되어 반응물이 증착 챔버에서 이송 챔버 및/또는 추가의 프로세싱 챔버로 이동하는 것을 방지한다. 따라서, 불활성 가스의 흐름은 챔버의 출구에서 커튼을 형성한다.
기판은 또 다른 기판이 프로세싱되기 전에 단일의 기판이 로딩되고, 프로세싱되며, 언로딩(unloading)되는 단일의 기판 증착 챔버에서 프로세싱될 수 있다. 기판은 또한 복수의 기판이 챔버의 첫 번째 부분에 개별적으로 로딩되고, 챔버를 통해서 이동하고 챔버의 두 번째 부분으로부터 언로딩되는, 컨베이어 시스템(conveyer system)과 유사하게, 연속적인 방식으로 프로세싱될 수 있다. 챔버 및 관련된 컨베이어 시스템의 모양은 직선 경로 또는 굽은 경로를 형성할 수 있다. 추가로, 프로세싱 챔버는 캐러셀(carousel)일 수 있고, 그러한 캐러셀에서, 복수의 기판이 중심 축에 대해서 이동하고, 증착, 에칭, 어닐링, 세정 등, 캐러셀 경로 전체의 프로세스에 노출된다.
프로세싱 동안에, 기판은 가열되거나 냉각될 수 있다. 그러한 가열 또는 냉각은 기판 지지체의 온도를 변화시키고 가열 또는 냉각 가스를 기판 표면으로 흘려보냄을 포함한 어떠한 적합한 수단에 의해서 수행될 수 있다. 일부 구체예에서, 기판 지지체는 기판의 온도를 전도 방식으로 변화시키기 위해서 조절될 수 있는 히터(heater)/냉각기를 포함한다. 하나 이상의 구체예에서, 사용되는 가스(반응성 가스 또는 불활성 가스)가 가열되거나 냉각되어 기판 온도를 국소적으로 변화시킨다. 일부 구체예에서, 히터/냉각기는 기판 표면 주위의 챔버 내에 위치하여 대류 방식으로 기판 온도를 변화시킨다.
기판은 또한 프로세싱 동안 고정되거나 회전될 수 있다. 회전 기판은 연속적으로 회전되거나 개별 단계들로 회전될 수 있다. 예를 들어, 기판은 전체 프로세스에 걸쳐서 회전될 수 있거나, 기판은 상이한 반응성 가스 또는 퍼지 가스에 대한 노출 사이에 조금씩 회전될 될 수 있다. 프로세싱 동안에 기판을 회전시키는 것은(연속적으로 또는 단계식으로), 예를 들어, 가스 흐름 기하구조에서의 국소적 변동성의 효과를 최소화시킴으로써 더욱 균일한 증착 또는 에칭을 생성시키는 것을 도울 수 있다.
원자층 증착 유형의 챔버에서, 기판은 공간적으로 또는 시간적으로 분리된 프로세스로 첫 번째 전구체 및 두 번째 전구체에 노출될 수 있다. 시간적 ALD는 첫 번째 전구체가 챔버 내로 흘러서 표면과 반응하는 전통적인 프로세스이다. 첫 번째 전구체는 두 번째 전구체가 흐르기 전에 챔버로부터 퍼징된다. 공간적 ALD에서, 첫 번째 및 두 번째 전구체 둘 모두가 동시에 챔버로 흐르지만, 공간적으로 분리되어서 전구체들의 혼합을 방지하는 흐름들 사이의 영역이 존재하게 한다. 공간적 ALD에서, 기판이 가스 분배판에 대해서 이동되거나 그 반대이다.
본 명세서 전체에 걸친 "한 가지 구체예", "특정의 구체예", "하나 이상의 구체예", "구체예"의 언급은 구체예와 관련되어 기재된 특정의 특징, 구조, 물질, 또는 특성이 본원 개시내용의 하나 이상의 구체예에 포함됨을 의미한다. 따라서, "하나 이상의 구체예에서", "특정의 구체예에서", "한 가지 구체예에서" 또는 "구체예에서"와 같은 구의 표현은 반드시 본원 개시내용의 동일한 구체예를 언급하는 것이 아니다. 추가로, 특정의 특징, 구조, 물질, 또는 특성은 하나 이상의 구체예에서 어떠한 적합한 방식으로 조합될 수 있다.
비록, 본원에서의 개시내용이 특정의 구체예를 참조하여 기재되고 있지만, 이들 구체예는 본원 개시내용의 원리 및 적용을 단지 예시함이 이해되어야 한다. 다양한 변화 및 변경이 본원 개시내용의 사상 및 범위를 벗어나지 않으면서 본원 개시내용의 방법 및 장치에 대해서 이루어질 수 있음이 당업자에게는 자명할 것이다. 따라서, 본원 개시내용은 첨부된 청구범위 및 이들의 균등물의 범위내에 있는 변화 및 변경을 포함하는 것으로 의도된다.

Claims (15)

  1. 기판 상에 막을 증착시키는 방법으로서,
    기판의 일부 또는 전부를 규소-함유 전구체에 노출시켜서 규소-함유 막을 형성시키는 단계;
    규소-함유 막을 유기 반응물에 노출시켜서 규소-탄소 막 또는 규소-붕소 막 중 하나 이상을 형성시키는 단계; 및
    규소-함유 막 또는 규소-탄소 막 또는 규소-붕소 막 중 하나 이상을 플라즈마에 노출시키는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 규소-함유 막이 유기 반응물에 노출되기 전에 플라즈마에 노출되는 방법.
  3. 제 1항에 있어서, 규소-함유 막이 유기 반응물에 노출된 후에 플라즈마에 노출되는 방법.
  4. 제 1항에 있어서, 규소-함유 막이 플라즈마와 유기 반응물에 동시에 노출되는 방법.
  5. 제 1항에 있어서, 규소-함유 막이 플라즈마에 노출되고, 유기 반응물의 하나 이상의 펄스가 플라즈마 내로 흐르는 방법.
  6. 제 5항에 있어서, 플라즈마 노출의 개시와 유기 반응물의 펄스 사이에 지연이 있는 방법.
  7. 제 6항에 있어서, 지연이 약 0.2초 내지 약 30초 범위에 있는 방법.
  8. 제 5항에 있어서, 유기 반응물의 둘 이상의 펄스가 플라즈마 내로 흐르는 방법.
  9. 제 5항에 있어서, 유기 반응물과 플라즈마가 실질적으로 동시에 중단되는 방법.
  10. 제 5항에 있어서, 유기 반응물의 흐름이 플라즈마를 중단시키기 전에 중단되는 방법.
  11. 제 1항에 있어서, 유기 반응물이 피롤, 디에틸아민, 피페리딘, 피리딘, 퀴누클리딘, 에틸렌디아민, t-부틸아민, 테트라메틸렌디아민 및 이들의 조합물로 이루어진 군으로부터 선택되는 아민인 방법.
  12. 제 1항에 있어서, 유기 반응물이 헥산, 부탄, 프로판, 사이클로헥산, 사이클로프로판 및 이들의 조합물로 이루어진 군으로부터 선택되는 알칸인 방법.
  13. 제 1항에 있어서, 유기 반응물이 헥센, 1,3-헥사디엔, 1,4-부타디엔, 1,4-사이클로헥사디엔, 프로펜 및 이들의 조합물로 이루어진 군으로부터 선택된 알켄인 방법.
  14. 제 1항에 있어서, 유기 반응물이 아세틸렌, t-부틸아세틸렌, 2,2-디메틸-1-부틴 및 이들의 조합물로 이루어진 군으로부터 선택되는 알킨인 방법.
  15. 제 1항에 있어서, 플라즈마가 질소, 아르곤, 헬륨, 네온 및 이들의 조합물로 이루어진 군으로부터 선택되는 불활성 가스로부터 형성되는 방법.
KR1020150101185A 2014-07-19 2015-07-16 Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체 KR102415780B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462026643P 2014-07-19 2014-07-19
US62/026,643 2014-07-19
US14/795,521 US9685325B2 (en) 2014-07-19 2015-07-09 Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US14/795,521 2015-07-09

Publications (2)

Publication Number Publication Date
KR20160011155A true KR20160011155A (ko) 2016-01-29
KR102415780B1 KR102415780B1 (ko) 2022-06-30

Family

ID=55075154

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150101185A KR102415780B1 (ko) 2014-07-19 2015-07-16 Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체

Country Status (3)

Country Link
US (1) US9685325B2 (ko)
KR (1) KR102415780B1 (ko)
TW (1) TW201614713A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240048421A (ko) 2022-10-06 2024-04-15 한화정밀기계 주식회사 기판 처리 장치 및 방법

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7191023B2 (ja) * 2016-12-22 2022-12-16 アプライド マテリアルズ インコーポレイテッド 下位構造材料に直接rf曝露しない共形の気密性誘電体封入のためのsibn膜
CN111295465B (zh) * 2017-09-14 2022-12-09 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物和方法
JP6787875B2 (ja) * 2017-12-20 2020-11-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN112824559A (zh) * 2019-11-20 2021-05-21 中国科学院微电子研究所 一种低温沉积硅碳氮氧分子薄膜的方法
CN117980534A (zh) * 2021-03-02 2024-05-03 弗萨姆材料美国有限责任公司 用于包含硅和硼的膜的组合物及其使用方法
WO2023112171A1 (ja) * 2021-12-14 2023-06-22 日本電信電話株式会社 シリコンボライド膜の形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060055138A (ko) * 2004-11-18 2006-05-23 주식회사 아이피에스 플라즈마 ald 박막증착방법
KR20060086241A (ko) * 2005-01-26 2006-07-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
KR20130064766A (ko) * 2007-02-16 2013-06-18 도쿄엘렉트론가부시키가이샤 SiCN막 성막 방법
KR20140079431A (ko) * 2011-09-23 2014-06-26 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4914063A (en) * 1988-04-04 1990-04-03 The United States Of America As Represented By The United States Department Of Energy Process for producing organic products containing silicon, hydrogen, nitrogen, and carbon by the direct reaction between elemental silicon and organic amines
KR100468847B1 (ko) 2002-04-02 2005-01-29 삼성전자주식회사 알콜을 이용한 금속산화물 박막의 화학기상증착법
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007114155A1 (ja) * 2006-03-30 2007-10-11 Mitsui Engineering & Shipbuilding Co., Ltd. プラズマ原子層成長方法及び装置
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060055138A (ko) * 2004-11-18 2006-05-23 주식회사 아이피에스 플라즈마 ald 박막증착방법
KR20060086241A (ko) * 2005-01-26 2006-07-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
KR20130064766A (ko) * 2007-02-16 2013-06-18 도쿄엘렉트론가부시키가이샤 SiCN막 성막 방법
KR20140079431A (ko) * 2011-09-23 2014-06-26 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240048421A (ko) 2022-10-06 2024-04-15 한화정밀기계 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
KR102415780B1 (ko) 2022-06-30
TW201614713A (en) 2016-04-16
US9685325B2 (en) 2017-06-20
US20160020091A1 (en) 2016-01-21

Similar Documents

Publication Publication Date Title
JP7087031B2 (ja) 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積
KR102415780B1 (ko) Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체
US10170298B2 (en) High temperature silicon oxide atomic layer deposition technology
US11028478B2 (en) Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
CN108140555B (zh) 沉积包含SiO及SiN的可流动薄膜的方法
US10790141B2 (en) Surface-selective atomic layer deposition using hydrosilylation passivation
KR102380197B1 (ko) SiCON의 저온 분자층 증착
US11549181B2 (en) Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US9875889B2 (en) Atomic layer deposition of films comprising Si(C)N using hydrazine, azide and/or silyl amine derivatives
TW201441408A (zh) 包含氮化矽之膜的電漿輔助原子層沉積
KR20150121217A (ko) SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
TWI640651B (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積
WO2018098455A2 (en) Precursors for deposition of metal, metal nitride and metal oxide based films of transition metals
US11978625B2 (en) Methods of forming metal nitride films
KR20220062111A (ko) 할로겐화된 실릴아미드들을 사용하여 SiCO(N)를 원자층 증착하는 방법들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant