KR20210035337A - 실리콘 함유 표면 상의 선택적 증착 - Google Patents

실리콘 함유 표면 상의 선택적 증착 Download PDF

Info

Publication number
KR20210035337A
KR20210035337A KR1020217008674A KR20217008674A KR20210035337A KR 20210035337 A KR20210035337 A KR 20210035337A KR 1020217008674 A KR1020217008674 A KR 1020217008674A KR 20217008674 A KR20217008674 A KR 20217008674A KR 20210035337 A KR20210035337 A KR 20210035337A
Authority
KR
South Korea
Prior art keywords
isocyanate
substituted
unsubstituted
group
organoisocyanate
Prior art date
Application number
KR1020217008674A
Other languages
English (en)
Other versions
KR102431745B1 (ko
Inventor
로날드 마틴 펄스타인
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20210035337A publication Critical patent/KR20210035337A/ko
Application granted granted Critical
Publication of KR102431745B1 publication Critical patent/KR102431745B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 기판의 표면을 선택적으로 패시베이션시키는 방법으로서, 기판의 표면이 적어도, 실리콘 니트라이드를 포함하는 제1 표면 및 적어도, 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면을 포함하는, 방법에 관한 것이다. 본 방법은 표면을 적어도 하나의 오가노이소시아네이트에 노출시키는 단계를 포함하며, 여기서, 오가노이소시아네이트는 실리콘 니트라이드와 선택적으로 반응하여 제1 표면을 패시베이션시키고, 이에 의해 제2 표면을 실질적으로 미반응 상태로 남겨 둔다.

Description

실리콘 함유 표면 상의 선택적 증착
관련 출원에 대한 상호 참조문헌
[0001] 본 출원은 2018년 8월 27일에 출원된 미국 가출원 제62/723,023호, 및 2019년 8월 23일에 출원된 미국 특허출원 제16/548,983호를 우선권으로 주장하며, 이러한 문헌의 개시는 전문이 본원에 참고로 포함된다.
[0002] 본 출원은 제2 표면에 비해 기판의 제1 표면 상의 선택적 증착에 관한 것이다. 또한, 제1 표면에 비해 제2 표면 상에 상이한 물질을 증착시키기 위해 추가 가공이 후속하여 이용될 수 있다.
[0003] 선택적 증착 공정은 주로, 점점 감소하는 물리적 치수를 기반으로 한 첨단 반도체 디바이스를 제작하기 위한 현대 리소그래픽 공정의 한계로 인해 많은 추진력을 얻고 있다. 전통적으로, 마이크로전자 산업에서 패턴화는 다양한 리소그래피 및 에칭 공정을 이용하여 달성되었다. 그러나, 리소그래피가 기하급수적으로 더욱 복잡하고 고가이기 때문에, 자가-정렬된 피쳐를 형성하기 위한 선택적 증착의 사용은 훨씬 더 많은 주목을 받게 되고 있다. 자가-정렬된 비아 구조의 제작은 제작 가능한 선택적 증착 공정으로부터 상당히 이익을 얻을 것이다. 선택적 증착을 위한 다른 잠재적인 적용은 갭 필(gap fill)이다. 갭 필에서, 유전체 "필(fill)" 막은 트렌치의 하부에서 상부 쪽으로 선택적으로 성장된다. 선택적 증착은 선택적 측벽 증착과 같은 다른 적용을 위해 이용될 수 있으며, 여기서, 막은 3차원 FIN-FET 구조의 노출된 표면 상에 선택적으로 증착된다. 이러한 것은 복잡한 패턴화 단계를 필요로 하지 않으면서 측벽 스페이서의 증착을 가능하게 할 것이다. 게이트 유전체 및 커패시터 유전체로서 사용되는 금속 및 금속 옥사이드 막에 대한 선택적 증착 공정은 또한, 반도체 디바이스 제작에서 큰 유용성을 가질 것이다.
[0004] 다수의 상이한 화학적 표면이 노출된 웨이퍼 상에 표면 패시베이션 코팅의 선택적 형성과 관련된 기술 문헌에는 종래 여러 예들이 존재한다. 이는 이러한 패시베이션된 표면 상에 ALD 공정을 통한 막의 증착을 지연시키거나 방지하는 것을 목적으로 수행되었지만, 막을 증착시키기 위해 ALD 증착 공정이 요구되는 표면 상에서의 증착을 방지하기 위한 것은 아니다. 일반적으로, 공정의 선택성은 표면의 불완전한 패시베이션으로 인해 및/또는 ALD 전구체 분자의 물리적 흡착 및 패시베이션 층 자체 내에서 또는 증착이 요망되지 않는 표면 상에 ALD 막 물질의 후속 형성으로 인해 적절하지 않았다. 본 발명은 종래 기술의 한계를 극복하고, ALD 증착 공정을 이용하여 얇은 막 물질의 선택적 증착을 위한 개선된 방법을 제공하고자 한다.
[0005] 문헌[Liu, L.-H. et al. J. Phys.: Condens. Matter 28 (2016) 094014 (doi:10.1088/0953-8984/28/9/094014)]에는 실리콘 니트라이드가 알데하이드를 함유한 용액으로 처리된 표면의 처리에 의해 실리콘 옥사이드에 비해 어느 정도 선택적으로 패시베이션될 수 있다는 것이 교시되어 있다.
[0006] 일 양태에서, 본원에는 기상 반응에 의해 기판의 표면을 선택적으로 패시베이션시키는 방법으로서, 기판의 표면은 적어도 실리콘 니트라이드를 포함하는 제1 표면 및 적어도 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면을 포함하며, a. 기판의 표면을 습윤 화학 조성물과 접촉시키는 단계; b. 표면을 탈이온수로 세정하는 단계; c. 표면을 건조시키는 단계; d. 표면을 수소 플라즈마 또는 암모니아 플라즈마로 선택적으로 처리하는 단계; 및 e. 표면을 적어도 하나의 화학식 I에 따른 구조를 갖는 오가노이소시아네이트를 포함하는 증기에 노출시키는 단계로서, 적어도 하나의 오가노이소시아네이트는 실리콘 니트라이드와 선택적으로 반응하여 제1 표면을 패시베이션시키고, 이에 의해 제2 표면을 실질적으로 미반응 상태로 남겨 두는 단계를 포함하는 방법이 제공된다:
Figure pct00001
[상기 식에서, R은 H, 치환되거나 비치환된 C1 내지 C18 선형 알킬 기, 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기, 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기, 치환되거나 비치환된 C3 내지 C10 헤테로사이클릭 기, 치환되거나 비치환된 C3 내지 C18 알케닐 기, 치환되거나 비치환된 C4 내지 C18 아릴 기, 치환되거나 비치환된 C5 내지 C20 아릴알킬 기, 및 치환되거나 비치환된 C3 내지 C10 알키닐 기로 이루어진 군으로부터 선택됨].
[0007] 다른 양태에서, 본원에는 기판의 표면 상에 막을 선택적으로 증착시키는 방법으로서, 기판의 표면은 적어도 실리콘 니트라이드를 포함하는 제1 표면, 및 적어도 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면을 포함하며, a. 기판의 표면을 습윤 화학 조성물과 접촉시키는 단계; b. 표면을 탈이온수로 세정하는 단계; c. 표면을 건조시키는 단계; d. 표면을 수소 플라즈마 또는 암모니아 플라즈마로 선택적으로 처리하는 단계; e. 표면을 적어도 하기 화학식 I에 따른 구조를 갖는 오가노이소시아네이트를 포함하는 증기에 노출시키는 단계로서, 적어도 하나의 오가노이소시아네이트는 실리콘 니트라이드와 선택적으로 반응하여 제1 표면을 패시베이션시키고, 이에 의해 제2 표면을 실질적으로 미반응 상태로 남겨 두는 단계; 및 f. 기판의 표면을 하나 이상의 증착 전구체에 노출시켜 제1 표면에 비해 제2 표면 상에 막을 선택적으로 증착시키는 단계를 포함하는 방법이 제공된다.
Figure pct00002
[상기 식에서, R은 H, 치환되거나 비치환된 C1 내지 C18 선형 알킬 기, 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기, 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기, 치환되거나 비치환된 C3 내지 C10 헤테로사이클릭 기, 치환되거나 비치환된 C3 내지 C18 알케닐 기, 치환되거나 비치환된 C4 내지 C18 아릴 기, 치환되거나 비치환된 C5 내지 C20 아릴알킬 기, 및 치환되거나 비치환된 C3 내지 C10 알키닐 기로 이루어진 군으로부터 선택됨].
[0008] 본 발명의 구체예는 단독으로 또는 서로 조합하여 이용될 수 있다.
[0009] 본원에 인용된 간행물, 특허출원, 및 특허를 포함하는 모든 참조문헌은 각 참조문헌이 참조로 포함되는 것으로 개별적으로 및 구체적으로 명시되고 본원에 이의 전문이 기술되는 것과 동일한 정도로 본원에 참고로 포함된다.
[0010] 본원에서 달리 명시하지 않거나 문맥에 의해 명확하게 모순되지 않는 한, 본 발명을 기술하는 맥락에서(특히 하기 청구범위의 맥락에서) 단수 형태("a" 및 "an" 및 "the") 및 유사한 지시어의 사용은 단수 및 복수 둘 모두를 포함하는 것으로 해석되어야 한다. 용어 "포함하는(comprising, including)," "갖는(having)," 및 "함유하는(containing)"은 달리 주지하지 않는 한, 개방형 용어로서 해석되어야 한다(즉, "포함하지만, 이로 제한되지 않는"을 의미함). 본원에서 값의 범위의 인용은 본원에서 달리 명시하지 않는 한, 단지 그러한 범위 내에 속하는 각 별도의 값을 개별적으로 언급하는 약칭 방법으로서 역할을 하는 것으로 의도되며, 각 별도의 값은 본원에서 개별적으로 인용되는 것과 같이 본 명세서에 포함된다. 본원에 기술된 모든 방법은 본원에서 달리 명시하지 않거나 문맥에 의해 명확하게 모순되지 않는 한 임의의 적합한 순서로 수행될 수 있다. 본원에 제공되는 임의의 및 모든 예, 또는 예시적인 언어(예를 들어, "와 같은(such as)")의 사용은 단지 본 발명을 더 잘 설명하기 위해 의도된 것이고, 달리 청구되지 않는 한, 본 발명의 범위를 제한하지 않는다. 본 명세서에서 어떠한 언어도 임의의 청구되지 않은 구성요소를 본 발명의 실행에 필수적인 것으로 지시하는 것으로서 해석되지 않아야 한다.
[0011] 본 발명을 수행하기 위해 발명자들에게 공지된 최상의 모드를 포함하는, 본 발명의 바람직한 구체예가 본원에 기술된다. 그러한 바람직한 구체예의 변형은 상기 설명을 읽을 때 당업자에게 명백하게 될 수 있다. 본 발명자는 당업자가 적절한 경우 이러한 변형을 이용할 것이라는 것을 예상하며, 본 발명자는 본 발명을 본원에서 상세하게 기술된 것과 다르게 실시하는 것을 의도한다. 이에 따라, 본 발명은 적용 가능한 법률에 의해 허용되는 바와 같이 본원에 첨부된 청구범위에 언급된 대상의 모든 변형 및 등가물을 포함한다. 또한, 이의 모든 가능한 변형에서 상술된 구성요소들의 임의의 조합은, 본원에서 달리 명시하지 않거나 문맥에 의해 달리 명확하게 모순되지 않는 한 본 발명에 포함된다.
[0012] 선택적 증착을 위해 이용될 수 있는 다양한 방법이 존재한다. 본 개시의 구체예는 2개의 상이한 표면의 표면 화학을 이용함으로써 표면 비활성화를 이용하는 방법에 관한 것이다. 2개의 상이한 표면이 상이한 반응성 핸들(handle)을 가질 것이기 때문에, (그러한 표면을 비활성화시키기 위해) 하나의 표면과 반응하고 다른 표면과 반응하지 않는 분자를 사용함으로써 차이가 이용될 수 있다.
[0013] 일 구체예에서, 기상 반응에 의해 기판의 표면을 선택적으로 패시베이션하는 방법으로서, 기판의 표면은 적어도 실리콘 니트라이드를 포함하는 제1 표면, 및 적어도 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면을 포함하며, a. 기판의 표면을 습윤 화학 조성물과 접촉시키는 단계; b. 표면을 탈이온수로 세정하는 단계; c. 표면을 건조시키는 단계; d. 표면을 수소 플라즈마 또는 암모니아 플라즈마로 선택적으로 처리하는 단계; 및 e. 표면을 적어도 하나의 하기 화학식 I에 따른 구조를 갖는 오가노이소시아네이트를 포함하는 증기에 노출시키는 단계로서, 적어도 하나의 오가노이소시아네이트는 실리콘 니트라이드와 선택적으로 반응하여 제1 표면을 패시베이션시키고, 이에 의해, 제2 표면을 실질적으로 미반응 상태로 남겨 두는 단계를 포함하는, 방법이 제공된다:
Figure pct00003
[상기 식에서, R은 H, 치환되거나 비치환된 C1 내지 C18 선형 알킬 기, 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기, 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기, 치환되거나 비치환된 C3 내지 C10 헤테로사이클릭 기, 치환되거나 비치환된 C3 내지 C18 알케닐 기, 치환되거나 비치환된 C4 내지 C18 아릴 기, 치환되거나 비치환된 C5 내지 C20 아릴알킬 기, 및 치환되거나 비치환된 C3 내지 C10 알키닐 기로 이루어진 군으로부터 선택됨].
[0014] 다른 구체예에서, 기판의 표면 상에 막을 선택적으로 증착시키는 방법으로서, 기판의 표면은 적어도 실리콘 니트라이드를 포함하는 제1 표면, 및 적어도 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면을 포함하며, a. 기판의 표면을 습윤 화학 조성물과 접촉시키는 단계; b. 표면을 탈이온수로 세정하는 단계; c. 표면을 건조시키는 단계; d. 표면을 수소 플라즈마 또는 암모니아 플라즈마로 선택적으로 처리하는 단계; e. 표면을 적어도 하나의 하기 화학식 I에 따른 구조를 갖는 오가노이소시아네이트를 포함하는 증기에 노출시키는 단계로서, 적어도 하나의 오가노이소시아네이트는 실리콘 니트라이드와 선택적으로 반응하여 제1 표면을 패시베이션시키고, 이에 의해, 제2 표면을 실질적으로 미반응 상태로 남겨 두는 단계; 및 f. 기판을 하나 이상의 증착 전구체에 노출시켜 제1 표면에 비해 제2 표면 상에 막을 선택적으로 증착시키는 단계를 포함하는 방법이 제공된다:
Figure pct00004
[상기 식에서, R은 H, 치환되거나 비치환된 C1 내지 C18 선형 알킬 기, 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기, 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기, 치환되거나 비치환된 C3 내지 C10 헤테로사이클릭 기, 치환되거나 비치환된 C3 내지 C18 알케닐 기, 치환되거나 비치환된 C4 내지 C18 아릴 기, 치환되거나 비치환된 C5 내지 C20 아릴알킬 기, 및 치환되거나 비치환된 C3 내지 C10 알키닐 기로 이루어진 군으로부터 선택됨].
[0015] 본 명세서 및 첨부된 청구범위에서 사용되는 용어 "기판" 및 "웨이퍼"는 상호 교환 가능하게 사용되는 것으로서, 둘 모두는 공정이 작용하는 표면, 또는 표면의 부분을 지칭한다. 또한, 당업자에 의해, 문맥이 달리 명확하게 명시하지 않는 한, 기판에 대한 언급이 또한, 단지 기판의 부분을 지칭할 수 있는 것으로 이해될 것이다. 또한, 기판 상에 증착하는 것에 대한 언급은 베어 기판(bare substrate) 및 그 위에 증착되거나 형성된 하나 이상의 막 또는 피쳐를 갖는 기판 둘 모두를 의미할 수 있다.
[0016] 본원에서 사용되는 "기판"은 임의의 기판, 또는 제작 공정 동안 막 가공이 수행되는 기판 상에 형성된 물질 표면을 지칭한다. 예를 들어, 가공이 수행될 수 있는 기판 표면은 적용에 따라, 실리콘, 실리콘 옥사이드, 변형된 실리콘, 실리콘 온 인슐레이터(silicon on insulator; SOI), 탄소 도핑된 실리콘 옥사이드, 실리콘 니트라이드, 도핑된 실리콘, 게르마늄, 갈륨 아르세나이드, 유리, 사파이어와 같은 물질, 및 금속, 금속 니트라이드, 금속 합금과 같은 임의의 다른 물질, 및 다른 전도성 물질을 포함한다. 기판은 비제한적으로, 반도체 웨이퍼를 포함한다. 기판은 기판 표면을 폴리싱, 에칭, 환원, 산화, 하이드록실화, 어닐링, 및/또는 베이킹하기 위해 전처리 공정에 노출될 수 있다. 기판 자체의 표면 상에 직접적으로 막 가공하는 것 이외에, 본 개시에서, 개시된 임의의 막 가공 단계가 또한, 하기에 더욱 상세히 개시되는 바와 같이 기판 상에 형성된 하부층 상에서 수행될 수 있으며, 용어 "기판 표면"은 문맥에서 명시된 바와 같이 이러한 하부층을 포함하는 것으로 의도된다. 이에 따라, 예를 들어, 막/층 또는 부분 막/층이 기판 표면 상에 증착된 경우에, 새로이 증착된 막/층의 노출된 표면은 기판 표면이 된다. 제공된 기판 표면이 무엇을 포함하는 지는 어떠한 막이 증착되어야 하는 지뿐만 아니라 사용되는 특정 화학에 따라 달라질 것이다. 하나 이상의 구체예에서, 제1 기판 표면은 금속을 포함할 것이며, 제2 기판 표면은 유전체를 포함하거나, 그 반대의 경우도 마찬가지일 것이다. 일부 구체예에서, 기판 표면은 특정 작용성(예를 들어, -OH, -NH, 등)을 포함할 수 있다.
[0017] 마찬가지로, 본원에 기술되는 방법에서 사용될 수 있는 막은 매우 다양하다. 일부 구체예에서, 막은 금속을 포함할 수 있거나, 금속을 필수성분으로 포함할 수 있다. 금속 막의 예는 코발트(Co), 구리(Cu), 니켈(Ni), 텅스텐(W), 등을 포함하지만, 이로 제한되지 않는다. 일부 구체예에서, 막은 유전체를 포함한다. 예는 SiO2, SiN, HfO2, 등을 포함한다.
[0018] 본 발명의 구체예에서, 기판은 적어도 2개의 별개의 표면을 가지며, 여기서, 각 별개의 표면은 상이한 화학에 의해 특징된다. 예를 들어, 일 구체예에서, 기판의 표면은 적어도 실리콘 니트라이드를 포함하는 제1 표면, 및 적어도 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면을 포함한다.
[0019] 적어도 하나의, 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면은 예를 들어, SiO2, 금속 옥사이드, 구리, 코발트, 텅스텐, 비정질 실리콘, 폴리실리콘, 단결정질 실리콘, 게르마늄, 및 비정질 수소화된 게르마늄으로 이루어진 군으로부터 선택된 임의의 물질일 수 있다. 일부 구체예에서, SiO2를 포함하는 적어도 하나의 제2 표면은 유전체 표면, 예를 들어, SiO2 표면이다. 일부 구체예에서, SiO2를 포함하는 표면은 실리콘 옥사이드, 불소화된 실리카 유리(FSG), 탄소 도핑된 실리콘 옥사이드(SiOC) 및/또는 약 50% 초과의 실리콘 옥사이드를 함유한 물질을 포함할 수 있다. 일부 구체예에서, SiO2를 포함하는 표면은 -OH 기를 포함하고, 또한, 예를 들어, -OH 표면 기를 갖는 알루미나(Al2O3) 표면을 포함할 수 있다.
[0020] 본 개시의 구체예는 동일한 기판 상의 제2 표면에 비해 기판의 하나의 표면 상에, 예를 들어, 금속 막과 같은 막을 선택적으로 증착시키는 방법을 제공한다. 본 명세서 및 첨부된 청구범위에서 사용되는 용어 "다른 표면에 비해 하나의 표면 상에 막을 선택적으로 증착시키는 것," 등은 제1 표면 또는 제2 표면 중 하나가 패시베이션되어 패시베이션된 층 상에 증착을 실질적으로 방지하며 제2(패시베이션되지 않은) 표면 상에 막이 증착되는 것을 의미한다. 이와 관련하여 사용되는 용어 "...에 비해(over)"는 다른 표면의 상부 상에서 하나의 표면의 물리적 배향을 시사하기 보다는 다른 표면에 비해 하나의 표면과 화학적 반응의 열역학적 또는 동력학적 특성의 관계를 시사하는 것이다. 예를 들어, 유전체 표면에 비해 구리 표면 상에 코발트 막을 선택적으로 증착시키는 것은, 구리 표면 상에 코발트 막이 증착되고 유전체 표면 상에 코발트 막이 거의 또는 전혀 증착되지 않거나, 구리 표면 상에 코발트 막의 형성이 유전체 표면 상에 코발트 막의 형성에 비해 열역학적으로 또는 동력학적으로 바람직하다는 것을 의미한다.
[0021] 일부 상황에서, 동일한 기판의 제2의 상이한 표면에 비해 기판의 하나의 표면 상에 물질을 선택적으로 증착시키는 것이 요망된다. 예를 들어, 선택적 증착은 캡핑층, 배리어층, 에칭 스톱층, 희생 및/또논 보호층을 형성하기 위해 또는 다공성 저-k 물질에서와 같이 공극을 시일링하기 위해 사용될 수 있다.
[0022] 본 발명의 방법은 기판의 표면을 습윤 화학 조성물과 접촉시켜 처리된 기판을 수득하는 선택적 단계를 포함한다. 예시적인 습윤 화학 처리제는 예를 들어, RCA 세정 화학물질 SC-1 및 SC-2, 수성 HF, 퍼옥사이드, H2SO4/H2O2, NH4OH, 완충된 HF 용액, 및 이들의 혼합물과 같은 공지된 화학 처리제를 포함한다.
[0023] 바람직한 구체예에서, 습윤 화학 조성물은 H2O2(28% 수성), NH4O4(28 내지 30%), 및 H2O를 포함하는 조성물; HF(0.01% 내지 10%(수성)); 퍼옥사이드; RCA 세정 화학물질 SC-1 및 SC-2; 및 H2SO4/H2O2의 혼합물로 이루어진 군으로부터 선택된 적어도 하나를 포함한다.
[0024] 당해 분야에 공지된 바와 같이, "RCA 세정 화학물질"은 암모늄 하이드록사이드와 과산화수소 혼합물을 포함하는 조성물을 지칭하는 것으로서, 여기서, 기본 세정 절차는 1960년대에 Radio Corporation(미국)에 의해 개발되었다. RCA Standard-Clean-1(SC-1) 절차는 약 70℃의 온도까지 가열된 물 및 암모늄 하이드록사이드 및 과산화수소 용액을 사용하는 것이다. SC-1 절차는 막을 용해시키고 I족 및 II족 금속을 제거한다. I족 및 II족 금속은 SC-1 용액 중의 시약과 착물화를 통해 제거된다. RCA Standard-Clean-2(SC-2) 절차는 약 70℃의 온도까지 가열된 과산화수소, 염산, 및 물의 혼합물을 사용한다. SC-2 절차는 SC-1 절차에 의해 제거되지 않는 금속을 제거한다.
[0025] 습윤 화학 조성물과의 접촉은 예를 들어, 딥핑 또는 분무와 같은 당업자에게 공지된 임의의 방법에 의해 일어날 수 있다. 접촉 단계는 하나의 별개의 단계 또는 하나 초과의 단계일 수 있다.
[0026] 일부 구체예에서, 접촉 단계 동안 습윤 화학 조성물의 온도는 예를 들어, 약 주변 온도 내지 약 100℃일 수 있다. 다른 구체예에서, 접촉 단계 동안 습윤 화학 조성물의 온도는 예를 들어, 약 55℃ 내지 약 95℃일 수 있다. 다른 구체예에서, 접촉 단계 동안 습윤 화학 조성물의 온도는 예를 들어, 약 60℃ 내지 약 90℃일 수 있다.
[0027] 구체예는 또한, 기판의 표면을 습윤 화학 조성물과 접촉시키는 단계 후에 기판의 표면을 탈이온수로 세정하는 단계를 포함한다. 세정 단계는 통상적으로, 임의의 적합한 수단에 의해, 예를 들어, 함침 또는 분무 기술에 의해 기판의 표면을 탈이온수로 세정함으로써 수행된다.
[0028] 구체예는 또한, 세정 단계 후에 적어도 기판의 표면을 건조시키는 단계를 포함한다. 건조 단계는 통상적으로, 임의의 적합한 수단, 예를 들어, 열의 적용, 이소프로필 알코올(IPA) 증기 건조에 의해, 또는 원심력에 의해 수행된다.
[0029] 구체예는 또한, 표면을 수소 플라즈마 또는 암모니아 플라즈마로 처리하는 단계를 선택적으로 포함한다. 적합한 공정은 플라즈마 공정(수소 플라즈마, NH3/NF3 플라즈마, 수중 플라즈마(water plasma), 등)을 포함한다. 선택적 플라즈마 단계는 표면 상에 요망되지 않는 증착물을 제거하고 패시베이션 시약의 후속 증착을 위해 표면을 활성화시키는 기능을 한다. 이전에 패시베이션된 표면으로부터 비-선택적으로 증착된 물질을 제거하고 요망되는 증착 두께가 달성된 후 잔류 패시베이션 시약을 제거하기 위해 표면 상의 일부 증착이 수행된 후에, 이러한 플라즈마 처리는 가장 바람직하게는 적용될 수 있다.
[0030] 구체예는 적어도 하나의 하기 화학식 I에 따른 구조를 갖는 오가노이소시아네이트를 포함하는 증기에 표면을 노출시키는 단계로서, 적어도 하나의 오가노이소시아네이트는 실리콘 니트라이드와 선택적으로 반응하여 제1 표면을 패시베이션시키고, 이에 의해, 제2 표면을 실질적으로 미반응 상태로 남겨 두는 단계를 포함한다:
Figure pct00005
[상기 식에서, R은 H, 치환되거나 비치환된 C1 내지 C18 선형 알킬 기, 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기, 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기, 치환되거나 비치환된 C3 내지 C10 헤테로사이클릭 기, 치환되거나 비치환된 C3 내지 C18 알케닐 기, 치환되거나 비치환된 C4 내지 C18 아릴 기, 치환되거나 비치환된 C5 내지 C20 아릴알킬 기, 및 치환되거나 비치환된 C3 내지 C10 알키닐 기로 이루어진 군으로부터 선택됨].
[0031] 일부 구체예에서, 오가노이소시아네이트는 CnF2n+1CH2N=C=O 및 CnF2n+1(C2H4)N=C=O로 이루어진 군으로부터 선택된 구조를 갖는 불소-치환된 C1 내지 C18 선형 알킬 기이다. 구조 CnF2n+1CH2N=C=O를 갖는 불소-치환된 C1 내지 C18 선형 알킬 기를 갖는 바람직한 오가노이소시아네이트 전구체는 CF3CH2N=C=O, C2F5CH2N=C=O, C3F7CH2N=C=O, C4F9CH2N=C=O, C5F11CH2N=C=O, C6F13CH2N=C=O, C7F15CH2N=C=O, C8F17CH2N=C=O, 및 C9F19CH2N=C=O로 이루어진 군으로부터 선택된 것을 포함한다.
[0032] 다른 구체예에서, 화학식 I에서 R은 구조 CnCl2n+1CH2N=C=O를 갖는 염소-치환된 C1 내지 C18 선형 알킬 기이다. 구조 CnCl2n+1CH2N=C=O를 갖는 염소-치환된 C1 내지 C18 선형 알킬 기를 갖는 바람직한 오가노이소시아네이트 전구체는 CCl3CH2N=C=O, C2Cl5CH2N=C=O, C3Cl7CH2N=C=O, C4Cl9CH2N=C=O, C5Cl11CH2N=C=O, C6Cl13CH2N=C=O, C7Cl15CH2N=C=O, C8Cl17CH2N=C=O, 및 C9Cl19CH2N=C=O로 이루어진 군으로부터 선택된 것을 포함한다.
[0033] 다른 구체예에서, 화학식 I에서 R은 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기이다. 이러한 구체예에서, 적어도 하나의 오가노이소시아네이트는 사이클로프로필 이소시아네이트, 사이클로부틸 이소시아네이트, 사이클로헥실 이소시아네이트, 및 메틸사이클로헥실 이소시아네이트로 이루어진 군으로부터 선택된 것을 포함한다.
[0034] 다른 구체예에서, 화학식 I에서 R은 치환되거나 비치환된 C4 내지 C18 아릴 기이다. 이러한 구체예에서, 오가노이소시아네이트는 페닐 이소시아네이트, 톨릴 이소시아네이트, 디메틸페닐 이소시아네이트, 나프틸 이소시아네이트, 2-에틸페닐이소시아네이트, 및 자일릴 이소시아네이트로 이루어진 군으로부터 선택된 것을 포함한다.
[0035] 다른 구체예에서, 화학식 I에서 R은 비치환된 C1 내지 C18 선형 알킬 기이거나, R은 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기이다. 이러한 구체예에서, 오가노이소시아네이트는 메틸 이소시아네이트, 에틸 이소시아네이트, 프로필 이소시아네이트, 이소프로필 이소시아네이트, n-부틸 이소시아네이트, 2차-부틸 이소시아네이트, 3차-부틸 이소시아네이트, 펜틸 이소시아네이트, 헥실 이소시아네이트, 옥틸 이소시아네이트, 데실 이소시아네이트, 도데실 이소시아네이트, 스테아릴 이소시아네이트, 1,1,3,3-테트라메틸부틸이소시아네이트, 및 1-메틸헵틸이소시아네이트로 이루어진 군으로부터 선택된 것을 포함한다.
[0036] 다른 구체예에서, 화학식 I에서 R은 치환되거나 비치환된 C54 내지 C20 아릴알킬 기이다. 이러한 구체예에서, 오가노이소시아네이트는 벤질 이소시아네이트를 포함한다.
[0037] 설명 전반에 걸쳐 사용되는 용어 "알킬"은 직쇄 또는 분지형인 포화된 탄화수소 기를 의미한다. 일부 구체예에서, 알킬 기는 1 내지 20개의 탄소 원자, 2 내지 20개의 탄소 원자, 1 내지 10개의 탄소 원자, 2 내지 10개의 탄소 원자, 1 내지 8개의 탄소 원자, 2 내지 8개의 탄소 원자, 1 내지 6개의 탄소 원자, 2 내지 6개의 탄소 원자, 1 내지 4개의 탄소 원자, 2 내지 4개의 탄소 원자, 1 내지 3개의 탄소 원자, 또는 2 또는 3개의 탄소 원자를 갖는다. 알킬 기의 예는 메틸(Me), 에틸(Et), 프로필(예를 들어, n-프로필 및 이소프로필), 부틸(예를 들어, n-부틸, t-부틸, 이소부틸), 펜틸(예를 들어, n-펜틸, 이소펜틸, 네오펜틸), 헥실, 이소헥실, 헵틸, 옥틸, 노닐, 4,4-디메틸펜틸, 2,2,4-트리메틸펜틸, 데실, 운데실, 도데실, 2-메틸-1-프로필, 2-메틸-2-프로필, 2-메틸-1-부틸, 3-메틸-1-부틸, 2-메틸-3-부틸, 2-메틸-1-펜틸, 2,2-디메틸-1-프로필, 3-메틸-1-펜틸, 4-메틸-1-펜틸, 2-메틸-2-펜틸, 3-메틸-2-펜틸, 4-메틸-2-펜틸, 2,2-디메틸-1-부틸, 3,3-디메틸-1-부틸, 2-에틸-1-부틸, 등을 포함하지만, 이로 제한되지 않는다.
[0038] 설명 전반에 걸쳐 사용되는 용어 "사이클릭 알킬"은 3 내지 10개 또는 4 내지 10개의 탄소 원자를 갖는 사이클릭 작용기를 나타낸다. 예시적인 사이클릭 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하지만, 이로 제한되지 않는다.
[0039] 본원에서 사용되는 용어 "아릴"은 모노사이클릭, 바이사이클릭, 또는 폴리사이클릭(예를 들어, 2, 3 또는 4개의 융합된 고리를 가짐) 방향족 탄화수소를 의미한다. 일부 구체예에서, 아릴 기는 6 내지 20개의 탄소 원자, 또는 6 내지 10개의 탄소 원자를 갖는다. 아릴 기의 예는 페닐, 나프틸, 안트라세닐, 페난트레닐, 인다닐, 인데닐, 및 테트라하이드로나프틸, 등을 포함하지만, 이로 제한되지 않는다.
[0040] 본원에서 사용되는 용어 "아릴알킬"은 아릴에 의해 치환된 알킬 기를 의미한다. 일부 구체예에서, 알킬 기는 C1-6 알킬 기이다.
[0041] 설명 전반에 걸쳐 사용되는 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 가지고 2 내지 18개 또는 2 내지 10개의 탄소 원자를 갖는 기를 나타낸다. 예시적인 알케닐 기는 비닐 또는 알릴 기를 포함하지만, 이로 제한되지 않는다.
[0042] 본원에서 사용되는 용어 "알키닐"은 2 내지 20개의 탄소 원자 및 하나 이상의 삼중 탄소-탄소 결합을 갖는 직쇄 또는 분지쇄 알킬 기를 의미한다. 일부 구체예에서, 알키닐 기는 2 내지 10개의 탄소 원자, 2 내지 8개의 탄소 원자, 2 내지 6개의 탄소 원자, 또는 2 내지 4개의 탄소 원자를 갖는다. 알키닐 기의 예는 아세틸렌, 1-프로필렌, 2-프로필렌, 등을 포함하지만, 이로 제한되지 않는다.
[0043] 본원에서 사용되는 구 "선택적으로 치환된"은 치환이 선택적이고, 이에 따라, 비치환된 및 치환된 원자 및 모이어티 둘 모두를 포함함을 의미한다. "치환된" 원자 또는 모이어티는 지정된 화합물 또는 모이어티 상의 임의의 수소 원자가 명시된 치환기들로부터의 선택으로 대체될 수 있음을 지시한 것이며, 단, 지정된 화합물 또는 모이어티의 정상 원자가는 초과하지 않으며, 치환은 안정한 화합물을 형성한다. 예를 들어, 메틸 기가 선택적으로 치환된 경우에, 메틸 기 내에서 탄소 원자 상의 1, 2, 또는 3개의 수소 원자는 인용된 치환기들 중 1, 2, 또는 3개로 대체될 수 있다.
[0044] 본원에서 사용되는 용어 "페닐"은 -C6H5를 의미한다. 페닐 기는 비치환되거나 1, 2, 또는 3개의 적합한 치환체로 치환될 수 있다.
[0045] 본원에서 사용되는 용어 "사이클릭 알킬"은 최대 20개의 고리-형성 탄소 원자를 갖는 사이클화된 알킬, 알케닐, 및 알키닐 기를 포함하는, 비-방향족 사이클릭 탄화수소를 의미한다. 사이클로알킬 기는 3 내지 15개의 고리-형성 탄소 원자, 3 내지 10개의 고리-형성 탄소 원자, 3개의 8개의 고리-형성 탄소 원자, 3 내지 6개의 고리-형성 탄소 원자, 4 내지 6개의 고리-형성 탄소 원자, 3 내지 5개의 고리-형성 탄소 원자, 또는 5 또는 6개의 고리-형성 탄소 원자를 갖는다. 사이클로알킬 기의 고리-형성 탄소 원자는 옥소 또는 설피도에 의해 선택적으로 치환될 수 있다. 사이클로알킬 기는 모노사이클릭 또는 폴리사이클릭 고리 시스템, 예를 들어, 융합된 고리 시스템, 브릿징된 고리 시스템, 및 스피로 고리 시스템을 포함하지만, 이로 제한되지 않는다. 일부 구체예에서, 폴리사이클릭 고리 시스템은 2, 3, 또는 4개의 융합된 고리를 포함한다. 사이클로알킬 기의 예는 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로헥실, 사이클로헵틸, 사이클로옥틸, 사이클로노닐, 사이클로펜테닐, 사이클로헥세닐, 사이클로헥사디에닐, 사이클로펩타트리에닐, 노르보리닐, 노르피닐, 노르카르닐, 아다만틸, 등을 포함하지만, 이로 제한되지 않는다. 사이클로알킬 기는 또한, 예를 들어, 펜탄, 펜텐, 헥산, 등의 벤조 또는 티에닐 유도체와 같은 사이클로알킬 고리에 융합된(이와 공통으로 결합을 갖는) 하나 이상의 방향족 고리를 가질 수 있다(예를 들어, 2,3-디하이드로-1H-인덴-1-일, 또는 1H-인덴-2(3H)-온-1-일).
[0046] 본원에서 사용되는 용어 "할로"는 할로겐 기를 의미하고, 플루오로, 클로로, 브로모, 및 요오도를 포함하지만, 이로 제한되지 않는다.
[0047] 본원에서 사용되는 용어 "헤테로사이클" 또는 "헤테로사이클릭 고리"는 5원 내지 7원 모노사이클릭 또는 7원 내지 10원 바이사이클릭 고리 시스템을 의미하며, 이들 중 임의의 고리가 포화되거나 불포화될 수 있고 이러한 고리가 탄소 원자, 및 N, O 및 S로부터 선택된 1 내지 3개의 헤테로원자로 이루어지고 N 및 S 헤테로원자가 선택적으로 산화될 수 있고 N 헤테로원자가 선택적으로 4차화될 수 있으며, 이는 임의의 상기에서 정의된 헤테로사이클릭 고리는 벤젠 고리에 융합된 임의의 바이사이클릭 기를 포함한다. 헤테로사이클은 1개의 산소 또는 황, 1 내지 3개의 질소 원자, 또는 1 또는 2개의 질소 원자와 조합된 1개의 산소 또는 황을 함유한 고리를 포함한다. 헤테로사이클릭 고리는 안정한 구조를 생성하는 임의의 헤테로원자 또는 탄소 원자에 부착될 수 있다. 헤테로사이클릭 기의 예는 피페리디닐, 피페라지닐, 2-옥소피페라지닐, 2-옥소피페리디닐, 2-옥소피롤로디닐, 2-옥소아제피닐, 아제피닐, 피롤릴, 4-피페리도닐, 피롤리디닐, 피라졸릴, 피라졸리디닐, 이미다졸릴, 이미다졸리닐, 피리딜, 이미다졸리디닐, 피라지닐, 피리미디닐, 피리다지닐, 옥사졸릴, 옥사졸리디닐, 이속사졸릴, 이속사졸리디닐, 모르폴리닐, 티아졸릴, 티아졸리디닐, 이소티아졸릴, 퀴누클리디닐, 이소티아졸리디닐, 인돌릴, 퀴놀리닐, 이소퀴놀리닐, 벤즈이미다졸릴, 티아디아졸릴, 벤조피라닐, 벤조티아졸릴, 벤족사졸릴, 푸릴, 테트라하이드로푸릴, 테트라하이드로피라닐, 티에닐, 벤조티에닐, 티아모르폴리닐, 티아모르폴리닐 설폭사이드, 티아모르폴리닐 설폰, 옥사디아졸릴, 등을 포함하지만, 이로 제한되지 않는다.
[0048] 증기상 또는 기상 반응은 필요한 압력 제어를 제공할 수 있어야 하고 또한 기판 및/또는 챔버벽에 열을 공급할 수 있는 적합한 챔버에서 전구체 분자(들) 및/또는 공반응물에 대한 가열된 기판의 노출을 포함하며; 챔버는 또한 일반적으로, 높은 누출 무결성(leak integrity) 및 초고순도 담체 및 반응성 가스의 사용을 통해 일어날 반응에 대한 적합한 순도를 제공해야 한다.
[0049] 본 명세서 및 첨부된 청구범위에서 사용되는 용어 "반응성 가스", "전구체", "반응물", 등은 기판 표면과 반응성인 종을 포함하는 가스를 의미하는 것으로 상호 교환 가능하게 사용된다. 예를 들어, 제1 "반응성 가스"는 기판의 표면 상에 단순하게 흡착될 수 있고, 제2 반응성 가스와의 추가 화학적 반응을 위해 이용 가능할 수 있다. 이러한 것들은 초고순도 담체 가스(상기에서 정의된 바와 같음)와 함께 그리고 서로의 임의의 원하는 혼합물로(즉, 한 타입 초과의 전구체는 함께 또는 별도의 독립적인 단계에서 사용되어 어떠한 전구체 도입 순서가 요망되든지 간에 원하는 패시베이션 층을 형성함) 사용될 수 있다.
[0050] 전구체(들) 및/또는 공반응물은 질량 흐름 제어기(가열선을 구비할 수 있음), 액체 주입 기화기(가열선을 구비할 수 있음)를 이용하거나, 계량 디바이스 없이(즉, 단순 밸브를 이용하여 반응기로부터 분리된 용기로부터 증기 및/또는 가스의 순수한 도입(neat introduction)) 반응기에 전달될 수 있다. 전술한 것 중 임의의 것은 또한 서로 조합하여 사용될 수 있다. 충분한 순도 및 재현성을 제공하는 반응 챔버에 가스 및/또는 증기(들)를 제공하는 임의의 수단이 이용될 수 있다.
[0051] 전구체(들) 및/또는 공반응물은 반응기에 독립적으로 도입되거나, 반응기에 도입하기 전에 혼합되거나, 반응기에 또는 단계들 사이에 전구체가 도입되는 방법의 차이를 포함할 수 있는 다수의 독립적인 단계에서 전술된 것의 임의의 조합에서 혼합될 수 있다.
[0052] 반응의 온도 범위는 실온 내지 400℃일 수 있다. 일부 경우에, 반응의 온도 범위는 실온 내지 200℃일 수 있다. 또 다른 경우에, 반응의 온도 범위는 실온 내지 100℃일 수 있다. 압력은 10-10 Torr 내지 3000 Torr의 범위일 수 있고, 동적 흐름 조건 하(즉, 밸브 및 버터플라이 밸브 타입 배열로)에서 유지될 수 있거나, 정적 조건 하에서 유지될 수 있다(즉, 배기된 챔버는 원하는 총 압력이 달성될 때까지 원하는 전구체(들) 및/또는 공반응물(들)에 노출되며, 이후에, 챔버는 전구체(들) 및/또는 공반응물(들) 소스(들) 및 진공 펌프 둘 모두로부터 분리됨). 반응기는 필요한 경우 여러 번, 완전히 배기되고 새로운 전구체(들) 및/또는 공반응물에 재-노출될 수 있다. 전구체(들) 및/또는 공반응물은 원하는 임의의 혼합물 및/또는 농도를 사용하여 도입될 수 있다.
[0053] 표면의 노출은 0.1 내지 60분, 바람직하게는 1 내지 5분, 및 가장 바람직하게는, 1분 동안 수행될 수 있다. 반응 챔버에서 이소시아네이트의 부분압은 기판 온도에서 이의 포화 증기압의 약 1% 내지 최대 이의 포화 증기압의 거의 100%에서 달라질 수 있다. 가장 바람직하게는, 이는 이의 포화 증기압의 20 내지 50%일 것이다. 챔버 압력은 이소시아네이트 증기의 부분압과 동일할 수 있지만, 담체 가스를 포함하는 대기의 균형으로 더 높을 수 있다. 바람직한 담체 가스는 N2, He 및 Ar을 포함하지만, 또한 H2, CO2 및 건조 O2와 같은 다른 가스가 사용될 수 있다. 노출 증기는 노출 기간 모두 또는 일부 동안에 정적(흐르지 않음)일 수 있다. 바람직한 구체예는, 새로운 증기가 노출 기간의 적어도 일부분 동안 기판의 표면에 노출되도록 노출 챔버를 통해 선택적 담체 가스와 함께 이소시아네이트의 증기를 흐르게 한다.
[0054] 노출 챔버는 주변 온도 부근의 온도에서 유지될 수 있거나, 선택적으로 가열될 수 있다. 열은 챔버의 외벽(고온 벽)에 또는 단지 기판(저온-벽 반응기)에 공급될 수 있다. 저온벽 반응기에서 기판 가열은 투명 윈도우를 통한 입사 방사선의 사용(램프 가열)에 의해, 기판 자체의, 또는 기판이 접촉하는 플랫폼에서 저항성 가열 부재로부터의 저항성 가열에 의해, 유도를 통해, 또는 당해 분야에 공지된 다른 수단에 의해 달성될 수 있다. 처리 온도는 바람직하게는 약 20℃ 내지 약 400℃, 바람직하게는 20℃ 내지 약 200℃, 및 가장 바람직하게는 20℃ 내지 약 100℃이다. 온도는 노출 기간 동안 일정할 수 있거나, 특정 온도 범위 내에서 달라질 수 있다.
[0055] 적어도 하나의 오가노이소시아네이트의 미반응된 증기는 이후에 챔버로부터 기판을 제거하기 전에 또는 화학 기상 증착 또는 원자층 증착 공정 전에 챔버를 배기시키거나 적합한 불활성 가스로 퍼징시킴으로써 선택적으로 제거될 수 있다. 선택적으로, 노출 챔버는, 필요한 경우에, 보호 막 및 임의의 비-선택적 ALD 증착물을 스트립핑하고 이후에 보호 막을 재형성시키기 위해, 단계 c)로부터의 공정이 반복될 수 있도록, 공정 효율을 개선시키기 위한 후속 가공 단계를 위해 또한, 이용될 수 있다.
[0056] 본 방법에서 사용되는 적어도 하나의 오가노이소시아네이트 및 노출 조건의 선택은 후속 가공 단계에 의해 부여되는 요건에 따라, 잠재적인 비-선택적 패시베이션, 가공 시간, 시약 비용, 등에 대해 실리콘 니트라이드 표면에 제공되는 보호의 선택성을 최적화하기 위해 표준 실험에 의해 최적화되어야 한다. 예를 들어, 선택성은 화학식 I로 표현된 구조를 갖는 적어도 하나의 오가노이소시아네이트의 R 기의 특성을 변화시킴으로써 조정/최적화될 수 있다. 통상적으로, 반응성 및 선택성이 종종 역관계에 있기 때문에, 2개의 표면의 화학이 유사한 경우에, 공정을 최적화하기 위해 R 기에 대한 실험이 필요할 수 있다. 예를 들어, 알킬 R-기와 아릴 R-기 간에 반응성이 차이가 있으며, 통상적으로, 아릴이소시아나이드는 알킬이소시아나이드에 비해 활성 수소 함유 표면과 더욱 반응성이다. 결과적으로, 일부 경우에, 알킬이소시아나이드는 또한 덜 반응성인 활성 수소 원자를 갖는 인접한 표면을 또한 패시베이션시키지 않으면서 SiN을 선택적으로 패시베이션시키는 데 필요할 수 있다.
[0057] 실리콘 니트라이드 표면이 패시베이션된 직후에, 예를 들어, 실리콘 옥사이드를 포함하는 제2 표면은 예를 들어, Si-H 표면 상에 SiCN의 선택적 ALD 증착과 같은 추가 선택적 반응에 대해 활성적이다. 제2 표면 상에 선택적으로 증착될 수 있는 추가 물질은 산소, 질소, 수소 및 탄소를 포함하는 실리콘 막(즉, SiOx, SiNx, SiOxNy, SiCxNy, SiOxCy, 모두는 가능하게는 또한 H를 도입함), 금속, 금속 니트라이드, 및 금속 옥사이드를 포함한다.
[0058] 일부 구체예에서, 금속 옥사이드 막은 제2 표면 상에 선택적으로 증착된다. 일 예에서, 금속 옥사이드 막은 제2 표면 상에서 캡 층으로서 역할을 할 수 있다. 금속 옥사이드 막은 예를 들어, 원자층 증착(ALD), 플라즈마-강화 ALD(PEALD), 화학 기상 증착(CVD), 플라즈마-강화 CVD(PECVD), 또는 펄스 CVD에 의해 증착될 수 있다. 일 구체예에 따르면, 금속 옥사이드 막은 HfO2, ZrO2, TiO2, Al2O3, 및 이들의 조합물로 이루어진 군으로부터 선택될 수 있다. 일부 예에서, 금속 옥사이드 막은 2017년 3월 17일에 출원된, 미국 가특허출원 제62/472,724호에서 상세히 기술된 바와 같이 금속 유기 전구체 및 산화제(예를 들어, H2O, H2O2, 플라즈마-여기된 O2 또는 O3)의 교대 노출을 이용한 ALD에 의해 증착될 수 있으며, 이러한 문헌 전체는 본원에 참고로 포함된다.
[0059] 본 발명에 따른 선택적 증착은 예를 들어, 문헌[Hamalainen et al., "Atomic Layer Deposition of Noble Metals and Their Oxides," Chem. Mater. 2014, 26, 786-801; 및 Johnson et al., "A Brief review of Atomic layer Deposition: From Fundamentals to Applications", Materials Today, Volume 17, Number 5, June 2014]에 개시된 금속 및 금속 옥사이드 층일 수 있으며, 이러한 두 문헌 모두는 전문이 본원에 참고로 포함된다.
[0060] 일부 구체예에서, 금속 막은 제2 표면 상에 선택적으로 증착된다. 일 예에서, 금속 막은 제2 표면 상에 캡층으로서 역할을 할 수 있다. 다른 예에서, 금속 막은 제2 표면 상에 전도성 경로(즉, 라인, 패드 또는 플러그)로서 역할을 할 수 있다. 다른 예에서, 금속 막은 예를 들어, 원자층 증착(ALD), 플라즈마-강화 ALD(PEALD), 화학 기상 증착(CVD), 플라즈마-강화 CVD(PECVD), 또는 펄스 CVD에 의해 증착될 수 있다. 일 구체예에 따르면, 금속 막은 Al, Ti, Co, Rh, Ir, Fe, Ru, Os, Mn, Tc, Re, Cu, Ag, Au, Ni, Pd 또는 Pt 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다.
[0061] 일부 구체예에서, 금속 또는 금속 니트라이드 막은 제2 표면 상에 선택적으로 증착된다. 일 예에서, 금속 또는 금속 니트라이드 막은 제2 표면 상에 캡 층으로서 역할을 할 수 있다. 다른 예에서, 금속 또는 금속 니트라이드 막은 확산 배리어 층으로서 역할을 할 수 있다. 금속 또는 금속 니트라이드 막은 예를 들어, 원자층 증착(ALD), 플라즈마-강화 ALD(PEALD), 화학 기상 증착(CVD), 플라즈마-강화 CVD(PECVD), 또는 펄스 CVD에 의해 증착될 수 있다. 예는 예를 들어, 문헌["IBM Research Report, "Atomic Layer Deposition of Metal and Metal Nitride Thin Films: Current Research Efforts and Applications for Semiconductor Device Processing," RC22737 (W0303-012), March 5, 2003]에서 확인된다.
[0062] 선택적 증착 공정 동안, 이전에 적어도 하나의 오가노이소시아네이트로 실리콘 니트라이드 표면 상에 선택적으로 증착된 전술된 보호 표면은 반응을 시작하거나 달리 덜 불활성이 될 수 있다. 임의의 수성 또는 플라즈마 전처리 단계와 함께 또는 이러한 단계 없이, 적어도 하나의 오가노이소시아네이트의 선택적 재-적용은 실리콘 니트라이드 표면 상에 비-선택적 증착을 방지하거나 지연시키기 위해 선택적으로 반복적으로 수행될 수 있다.
[0063] 일부 구체예에서, 기판의 제2 표면에 비해, 기판의 실리콘 니트라이드 표면과 같은, 본원에 기술된 기판의 제1 표면 상에서의 패시베이션은 적어도 약 90% 선택적, 적어도 약 95% 선택적, 적어도 약 96%, 97%, 98% 또는 99% 또는 그 이상 선택적이다. 일부 구체예에서, 패시베이션은 단지 제1 표면 상에서 일어나고, 제2 표면 상에서는 일어나지 않는다. 일부 구체예에서, 기판의 제2 표면에 비해 기판의 제1 표면 상에서의 패시베이션은 적어도 약 70% 선택적, 또는 적어도 약 80% 선택적이며, 이는 일부 특정 적용을 위해 충분히 선택적일 수 있다. 일부 구체예에서, 기판의 제2 표면에 비해 기판의 제1 표면 상에서의 패시베이션은 적어도 약 50% 선택적이며, 이는 일부 특정 적용을 위해 충분히 선택적일 수 있다.
[0064] 습윤 화학 세정제는 패시베이션 층을 제거하기 위해 사용될 수 있다. 습윤 화학 세정제의 예는 당해 분야에 공지되고 기판을 습윤 화학 조성물과 접촉시키는 선택적 단계에 대해 상기에 기술된 산성, 염기성, 및 산화성(예를 들어, 퍼옥사이드-함유) 습윤 화학 조성물을 포함한다. 패시베이션 층을 제거하는 다른 방법은 열 또는 다른 에너지를 적용하는 것이다.
[0065] 실시예 1: 실리콘 니트라이드 피쳐 및 실리콘 옥사이드 피쳐 둘 모두를 함유한 패턴화된 표면을 갖는 300 mm 직경의 실리콘 웨이퍼(기판)를 60초 동안 1% 불화수소산 수용액(0.3 mol/L)을 함유한 배쓰에 딥핑하고, 이후에 탈기된 DI수로 세정하고, 질소 가스 하에서 건조시켰다. 웨이퍼를 배기된 증착 챔버로 옮기고, 100℃까지 가열하였다. n-옥틸이소시아네이트의 증기를 70℃까지 가열된 소스 용기로부터 챔버로 전달하고, 5분 동안 액침시켰다. 챔버를 다시 배기시켰다. 기판을 공기에 노출시키지 않고, 기판의 존재 하에서 테트라키스(디메틸아미노)티탄의 노출, 이후 퍼징, 및 이후 수증기의 노출, 이후 퍼징을 교대로 수행함으로써 티탄 옥사이드의 순환 원자층 증착을 수행하였다. 기판의 실리콘 옥사이드 부분 상의 증착 두께는 기판의 실리콘 니트라이드 부분 상의 증착 두께보다 더 크다.
[0066] 비교예 2: 실리콘 니트라이드 피쳐 및 실리콘 옥사이드 피쳐 둘 모두를 함유한 패턴화된 표면을 갖는 300 mm 직경의 실리콘 웨이퍼(기판)을 60초 동안 1% 불화수소산 수용액(0.3 mol/L)을 함유한 배쓰에 딥핑하고, 이후에, 탈기된 DI수로 세정하고, 질소 가스 하에서 건조시켰다. 웨이퍼를 배기된 증착 챔버로 옮기고, 100℃까지 가열하였다. 기판을 공기에 노출시키지 않고, 기판의 존재 하에서 테트라키스(디메틸아미노)티탄의 노출, 이후 퍼징, 및 이후 수증기의 노출, 이후 퍼징을 교대로 수행함으로써 티탄 옥사이드의 순환 원자층 증착을 수행하였다. 기판의 실리콘 옥사이드 부분 상의 증착 두께는 기판의 실리콘 니트라이드 부분 상의 증착 두께와 본질적으로 동일하다.
[0067] 실시예 3: 실리콘 니트라이드 피쳐 및 실리콘 옥사이드 피쳐 둘 모두를 함유한 패턴화된 표면을 갖는 300 mm 직경의 실리콘 웨이퍼(기판)를 30초 동안 0.5% 불화수소산 수용액(0.1 mol/L)을 함유한 배쓰에 딥핑하고, 이후에, 탈기된 DI수로 세정하고, 질소 가스 하에서 건조시켰다. 이후에, 웨이퍼를 건조 헥산 중에 용해된 10 중량% 옥타데실이소시아네이트를 함유한 용액에 딥핑하고, 30분 동안 그대로 두었다. 이후에, 웨이퍼를 제거하고, 건조 헥산으로 세정하고, 질소 흐름 하에서 건조시켰다. 웨이퍼를 배기된 증착 챔버로 옮기고, 100℃까지 가열하였다. 기판을 공기에 노출시키지 않고, 기판의 존재 하에서 테트라키스(디메틸아미노)티탄의 노출, 이후 퍼징, 및 이후 수증기의 노출, 이후 퍼징을 교대로 수행함으로써 티탄 옥사이드의 순환 원자층 증착을 수행하였다. 기판의 실리콘 옥사이드 부분 상의 증착 두께는 기판의 실리콘 니트라이드 부분 상의 증착 두께보다 더 크다.
[0068] 실시예 4: 실리콘 니트라이드 피쳐 및 실리콘 옥사이드 피쳐 둘 모두를 함유한 패턴화된 표면을 갖는 300 mm 직경의 실리콘 웨이퍼(기판)를 60초 동안 0.5% 불화수소산 수용액(0.1 mol/L)을 함유한 배쓰에 딥핑하고, 이후에, 탈기된 DI수로 세정하고, 질소 가스 하에서 건조시켰다. 웨이퍼를 배기된 증착 챔버로 옮기고, 100℃까지 가열하였다. n-옥틸이소시아네이트의 증기를 70℃까지 가열된 소스 용기로부터 챔버로 전달하고, 15분 동안 액침시켰다. 챔버를 다시 배기시켰다. 기판을 공기에 노출시키지 않고, 기판의 존재 하에서 디-2차-부틸아미노실란 증기의 노출, 이후 퍼징 및 이후에 O2 중 5% 오존의 노출, 이후 퍼징을 교대로 수행함으로써 실리콘 옥사이드의 순환 원자층 증착을 수행하였다. 기판의 실리콘 옥사이드 부분 상의 증착 두께는 기판의 실리콘 니트라이드 부분 상의 증착 두께보다 더 크다.
[0069] 실시예 5: 실리콘 니트라이드 피쳐 및 실리콘 옥사이드 피쳐를 함유한 패턴화된 표면을 갖는 300 mm 직경의 실리콘 웨이퍼(기판)를 60초 동안 0.2% 불화수소산 수용액(0.06 mol/L)을 함유한 배쓰에 딥핑하고, 이후에, 탈기된 DI수로 세정하고, 질소 가스 하에서 건조시켰다. 웨이퍼를 배기된 증착 챔버로 옮기고, 100℃까지 가열하였다. n-옥틸이소시아네이트의 증기를 70℃까지 가열된 소스 용기로부터 챔버로 전달하고, 5분 동안 액침시켰다. 챔버를 다시 배기시켰다. 기판을 공기에 노출시키지 않고, 기판의 존재 하에서 테트라키스(디메틸아미노)티탄의 노출, 이후 퍼징, 및 이후 수증기의 노출, 이후 퍼징 및 이후 n-옥틸이소시아네이트의 노출, 이후 퍼징을 교대로 수행함으로써 티탄 옥사이드의 순환 원자층 증착을 수행하였다. 기판의 실리콘 옥사이드 부분 상의 증착 두께는 기판의 실리콘 니트라이드 부분 상의 증착 두께보다 크다.
[0070] 실시예 6: 실리콘 니트라이드 피쳐 및 코발트 피쳐 둘 모두를 함유한 패턴화된 표면을 갖는 300 mm 직경의 실리콘 웨이퍼(기판)를 60초 동안 1% 불화수소산 수용액(0.3 mol/L)을 함유한 배쓰에 딥핑하고, 이후에, 탈기된 DI수로 세정하고, 질소 가스 하에서 건조시켰다. 웨이퍼를 배기된 증착 챔버로 옮기고, 100℃까지 가열하였다. n-옥틸이소시아네이트의 증기를 70℃까지 가열된 소스 용기로부터 챔버로 전달하고, 5분 동안 액침시켰다. 챔버를 다시 배기시켰다. 기판을 공기에 노출시키지 않고, 기판의 존재 하에서 테트라키스(디메틸아미노)티탄의 노출, 이후 퍼징, 및 이후 수증기의 노출, 이후 퍼징을 교대로 수행함으로써 티탄 옥사이드의 순환 원자층 증착을 수행하였다. 기판의 코발트 부분 상의 증착 두께는 기판의 실리콘 니트라이드 부분 상의 증착 두께보다 더 크다.
[0071] 본 발명의 원리가 바람직한 구체예와 관련하여 상기에 기술되었지만, 이러한 설명은 본 발명의 범위을 제한하는 것이 아니고 단지 일 예로서 이루어진 것으로 명확하게 이해되어야 한다.

Claims (34)

  1. 기판의 표면을 선택적으로 패시베이션하는(passivating) 방법으로서, 상기 기판의 표면은 적어도, 실리콘 니트라이드를 포함하는 제1 표면, 및 적어도, 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면을 포함하며,
    a. 임의로, 상기 표면을 수소 플라즈마 또는 암모니아 플라즈마로 처리하는 단계; 및
    b. 상기 표면을 적어도 하나의 하기 화학식 I에 따른 구조를 갖는 오가노이소시아네이트에 노출시키는 단계로서, 상기 적어도 하나의 오가노이소시아네이트는 상기 실리콘 니트라이드와 선택적으로 반응하여 상기 제1 표면을 패시베이션시키고 이에 의해 상기 제2 표면을 실질적으로 미반응 상태로 남겨 두는 단계를 포함하는, 방법:
    Figure pct00006

    상기 식에서, R은 H, 치환되거나 비치환된 C1 내지 C18 선형 알킬 기, 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기, 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기, 치환되거나 비치환된 C3 내지 C10 헤테로사이클릭 기, 치환되거나 비치환된 C3 내지 C18 알케닐 기, 치환되거나 비치환된 C4 내지 C18 아릴 기, 치환되거나 비치환된 C5 내지 C20 아릴알킬 기, 및 치환되거나 비치환된 C3 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다.
  2. 제1항에 있어서, 단계 a 및 b 이전에 수행되는,
    기판의 표면을 습윤 화학 조성물과 접촉시키는 단계;
    상기 표면을 탈이온수로 세정하는 단계; 및
    상기 표면을 건조시키는 단계를 추가로 포함하며,
    상기 습윤 화학 조성물은 H2O2(28% 수성), NH4O4(28 내지 30%), 및 H2O를 포함하는 조성물; HF(0.01% 내지 5%(수성)); 퍼옥사이드; RCA 세정 화학물질 SC-1 및 SC-2; 및 H2SO4/H2O2의 혼합물로 이루어진 군으로부터 선택된 적어도 하나를 포함하는, 방법.
  3. 제1항에 있어서, 제2 표면이 SiO2, 금속 옥사이드, 구리, 코발트, 텅스텐, 비정질 실리콘, 폴리실리콘, 단결정질 실리콘, 게르마늄, 및 비정질 수소화된 게르마늄으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는, 방법.
  4. 제3항에 있어서, 제2 표면이 SiO2를 포함하는, 방법.
  5. 제1항에 있어서, 적어도 하나의 오가노이소시아네이트가 구조 CnF2n+1CH2N=C=O를 갖는 불소-치환된 C1 내지 C18 선형 알킬 기이고, 상기 식에서, n은 1 내지 17인, 방법.
  6. 제5항에 있어서, 적어도 하나의 오가노이소시아네이트가 CF3CH2N=C=O, C2F5CH2N=C=O, C3F7CH2N=C=O, C4F9CH2N=C=O, C5F11CH2N=C=O, C6F13CH2N=C=O, C7F15CH2N=C=O, C8F17CH2N=C=O, 및 C9F19CH2N=C=O로 이루어진 군으로부터 선택되는, 방법.
  7. 제1항에 있어서, R이 구조 CnCl2n+1CH2N=C=O를 갖는 염소-치환된 C1 내지 C18 선형 알킬 기이고, 상기 식에서, n은 1 내지 17인, 방법.
  8. 제7항에 있어서, 적어도 하나의 오가노이소시아네이트가 CCl3CH2N=C=O, C2Cl5CH2N=C=O, C3Cl7CH2N=C=O, C4Cl9CH2N=C=O, C5Cl11CH2N=C=O, C6Cl13CH2N=C=O, C7Cl15CH2N=C=O, C8Cl17CH2N=C=O, 및 C9Cl19CH2N=C=O로 이루어진 군으로부터 선택되는, 방법.
  9. 제1항에 있어서, R이 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기인, 방법.
  10. 제9항에 있어서, 적어도 하나의 오가노이소시아네이트가 사이클로프로필 이소시아네이트, 사이클로부틸 이소시아네이트, 사이클로헥실 이소시아네이트, 및 메틸사이클로헥실 이소시아네이트로 이루어진 군으로부터 선택되는, 방법.
  11. 제1항에 있어서, R이 치환되거나 비치환된 C4 내지 C18 아릴 기인, 방법.
  12. 제11항에 있어서, 적어도 하나의 오가노이소시아네이트가 페닐 이소시아네이트, 톨릴 이소시아네이트, 디메틸페닐 이소시아네이트, 나프틸 이소시아네이트, 2-에틸페닐이소시아네이트, 및 자일릴 이소시아네이트로 이루어진 군으로부터 선택되는, 방법.
  13. 제1항에 있어서, R이 비치환된 C1 내지 C18 선형 알킬 기이거나, R이 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기인, 방법.
  14. 제13항에 있어서, 적어도 하나의 오가노이소시아네이트가 메틸 이소시아네이트, 에틸 이소시아네이트, 프로필 이소시아네이트, 이소프로필 이소시아네이트, n-부틸 이소시아네이트, 2차-부틸 이소시아네이트, 3차-부틸 이소시아네이트, 펜틸 이소시아네이트, 헥실 이소시아네이트, 옥틸 이소시아네이트, 데실 이소시아네이트, 도데실 이소시아네이트, 스테아릴 이소시아네이트, 1,1,3,3-테트라메틸부틸이소시아네이트, 및 1-메틸헵틸이소시아네이트로 이루어진 군으로부터 선택되는, 방법.
  15. 제1항에 있어서, R이 치환되거나 비치환된 C5 내지 C20 아릴알킬 기인, 방법.
  16. 제15항에 있어서, 적어도 하나의 오가노이소시아네이트가 벤질 이소시아네이트인, 방법.
  17. 제1항에 있어서, 노출 단계가 적어도 하나의 오가노이소시아네이트의 증기와 함께 수행되는, 방법.
  18. 기판의 표면 상에 막을 선택적으로 증착시키는 방법으로서, 상기 기판의 표면은 적어도, 실리콘 니트라이드를 포함하는 제1 표면, 및 적어도, 실리콘 니트라이드 이외의 물질을 포함하는 제2 표면을 포함하며,
    a. 임의로, 상기 표면을 수소 플라즈마 또는 암모니아 플라즈마로 처리하는 단계;
    b. 상기 표면을 적어도 하나의 하기 화학식 I에 따른 구조를 갖는 오가노이소시아네이트에 노출시키는 단계로서, 적어도 하나의 오가노이소시아네이트는 상기 실리콘 니트라이드와 선택적으로 반응하여 상기 제1 표면을 패시베이션시키고 이에 의해 상기 제2 표면을 실질적으로 미반응 상태로 남겨 두는 단계; 및
    c. 상기 기판의 표면을 하나 이상의 증착 전구체에 노출시켜 상기 제1 표면에 비해 선택적으로 상기 제2 표면 상에 막을 증착시키는 단계를 포함하는, 방법:
    Figure pct00007

    상기 식에서, R은 H, 치환되거나 비치환된 C1 내지 C18 선형 알킬 기, 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기, 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기, 치환되거나 비치환된 C3 내지 C10 헤테로사이클릭 기, 치환되거나 비치환된 C3 내지 C18 알케닐 기, 치환되거나 비치환된 C4 내지 C18 아릴 기, 치환되거나 비치환된 C5 내지 C20 아릴알킬 기, 및 치환되거나 비치환된 C3 내지 C10 알키닐 기로 이루어진 군으로부터 선택된다.
  19. 제18항에 있어서, 단계 a 및 b 및 c 이전에 수행되는,
    기판의 표면을 습윤 화학 조성물과 접촉시키는 단계;
    상기 표면을 탈이온수로 세정하는 단계; 및
    상기 표면을 건조시키는 단계를 추가로 포함하며,
    상기 습윤 화학 조성물은 H2O2(28% 수성), NH4O4(28 내지 30 %), 및 H2O를 포함하는 조성물; HF(0.01 % 내지 5%(수성)); 퍼옥사이드; RCA 세정 화학물질 SC-1 및 SC-2; 및 H2SO4/H2O2의 혼합물로 이루어진 군으로부터 선택된 적어도 하나를 포함하는, 방법.
  20. 제18항에 있어서, 제2 표면이 SiO2, 금속 옥사이드, 구리, 코발트, 텅스텐, 비정질 실리콘, 폴리실리콘, 단결정질 실리콘, 게르마늄, 및 비정질 수소화된 게르마늄으로 이루어진 군으로부터 선택된 적어도 하나를 포함하는, 방법.
  21. 제20항에 있어서, 제2 표면이 SiO2를 포함하는, 방법.
  22. 제18항에 있어서, 적어도 하나의 오가노이소시아네이트가 구조 CnF2n+1CH2N=C=O를 갖는 불소-치환된 C1 내지 C18 선형 알킬 기이고, 상기 식에서, n은 1 내지 17인, 방법.
  23. 제22항에 있어서, 적어도 하나의 오가노이소시아네이트가 CF3CH2N=C=O, C2F5CH2N=C=O, C3F7CH2N=C=O, C4F9CH2N=C=O, C5F11CH2N=C=O, C6F13CH2N=C=O, C7F15CH2N=C=O, C8F17CH2N=C=O, 및 C9F19CH2N=C=O로 이루어진 군으로부터 선택되는, 방법.
  24. 제18항에 있어서, R이 구조 CnCl2n+1CH2N=C=O를 갖는 염소-치환된 C1 내지 C18 선형 알킬 기이고, 상기 식에서, n은 1 내지 17인, 방법.
  25. 제24항에 있어서, 적어도 하나의 오가노이소시아네이트가 CCl3CH2N=C=O, C2Cl5CH2N=C=O, C3Cl7CH2N=C=O, C4Cl9CH2N=C=O, C5Cl11CH2N=C=O, C6Cl13CH2N=C=O, C7Cl15CH2N=C=O, C8Cl17CH2N=C=O, 및 C9Cl19CH2N=C=O로 이루어진 군으로부터 선택되는, 방법.
  26. 제18항에 있어서, R이 치환되거나 비치환된 C3 내지 C8 사이클릭 알킬 기인, 방법.
  27. 제26항에 있어서, 적어도 하나의 오가노이소시아네이트가 사이클로프로필 이소시아네이트, 사이클로부틸 이소시아네이트, 사이클로헥실 이소시아네이트, 및 메틸사이클로헥실 이소시아네이트로 이루어진 군으로부터 선택되는, 방법.
  28. 제18항에 있어서, R이 치환되거나 비치환된 C4 내지 C18 아릴 기인, 방법.
  29. 제28항에 있어서, 적어도 하나의 오가노이소시아네이트가 페닐 이소시아네이트, 톨릴 이소시아네이트, 디메틸페닐 이소시아네이트, 나프틸 이소시아네이트, 2-에틸페닐이소시아네이트, 및 자일릴 이소시아네이트로 이루어진 군으로부터 선택되는, 방법.
  30. 제18항에 있어서, R이 비치환된 C1 내지 C18 선형 알킬 기이거나, R이 치환되거나 비치환된 분지형 C3 내지 C18 알킬 기인, 방법.
  31. 제30항에 있어서, 적어도 하나의 오가노이소시아네이트가 메틸 이소시아네이트, 에틸 이소시아네이트, 프로필 이소시아네이트, 이소프로필 이소시아네이트, n-부틸 이소시아네이트, 2차-부틸 이소시아네이트, 3차-부틸 이소시아네이트, 펜틸 이소시아네이트, 헥실 이소시아네이트, 옥틸 이소시아네이트, 데실 이소시아네이트, 도데실 이소시아네이트, 스테아릴 이소시아네이트, 1,1,3,3-테트라메틸부틸이소시아네이트, 및 1-메틸헵틸이소시아네이트로 이루어진 군으로부터 선택되는, 방법.
  32. 제18항에 있어서, R이 치환되거나 비치환된 C5 내지 C20 아릴알킬 기인, 방법.
  33. 제32항에 있어서, 적어도 하나의 오가노이소시아네이트가 벤질 이소시아네이트인, 방법.
  34. 제18항에 있어서, 노출 단계가 적어도 하나의 오가노이소시아네이트의 증기로 수행되는, 방법.
KR1020217008674A 2018-08-27 2019-08-23 실리콘 함유 표면 상의 선택적 증착 KR102431745B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862723023P 2018-08-27 2018-08-27
US62/723,023 2018-08-27
PCT/US2019/047900 WO2020046746A1 (en) 2018-08-27 2019-08-23 Selective deposition on silicon containing surfaces
US16/548,983 2019-08-23
US16/548,983 US11282710B2 (en) 2018-08-27 2019-08-23 Selective deposition on silicon containing surfaces

Publications (2)

Publication Number Publication Date
KR20210035337A true KR20210035337A (ko) 2021-03-31
KR102431745B1 KR102431745B1 (ko) 2022-08-10

Family

ID=69586286

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217008674A KR102431745B1 (ko) 2018-08-27 2019-08-23 실리콘 함유 표면 상의 선택적 증착

Country Status (9)

Country Link
US (1) US11282710B2 (ko)
EP (1) EP3844799A4 (ko)
JP (2) JP7288501B2 (ko)
KR (1) KR102431745B1 (ko)
CN (1) CN112602169A (ko)
IL (1) IL280881A (ko)
SG (1) SG11202101696UA (ko)
TW (1) TWI720597B (ko)
WO (1) WO2020046746A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
US20240047196A1 (en) * 2020-12-01 2024-02-08 Versum Materials Us, Llc Selective thermal atomic layer deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6187699A (ja) * 1984-10-05 1986-05-06 Nok Corp 生理活性物質の固定化方法
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
US20170256402A1 (en) * 2016-03-03 2017-09-07 Applied Materials, Inc. Self-assembled monolayer blocking with intermittent air-water exposure

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3592226B2 (ja) * 2000-10-06 2004-11-24 松下電器産業株式会社 機能性有機薄膜の製造方法
US6686017B2 (en) * 2001-02-28 2004-02-03 Matsushita Electric Industrial Co., Ltd. Optical recording film, method for manufacturing the same, optical recording medium, method for manufacturing the same, optical recording method, information recording/reproducing apparatus, information reproducing/recording method, computer system and video signal recording/reproducing system
US6641899B1 (en) * 2002-11-05 2003-11-04 International Business Machines Corporation Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
US7132678B2 (en) * 2003-03-21 2006-11-07 International Business Machines Corporation Electronic device including a self-assembled monolayer, and a method of fabricating the same
US8221879B2 (en) * 2004-06-21 2012-07-17 Wageningen University Tailor-made functionalized silicon and/or germanium surfaces
US8114301B2 (en) * 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP2013011465A (ja) * 2011-06-28 2013-01-17 Konica Minolta Advanced Layers Inc 修飾基導入基板の製造方法およびリガンド固定化基板の製造方法,修飾基導入基板およびリガンド固定化基板,ならびに,分子間相互作用検出方法
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
EP2832539B1 (en) * 2012-03-30 2016-05-04 Lintec Corporation Gas barrier film laminate, member for electronic device, and electronic device
EP2824717B1 (en) * 2013-07-09 2016-12-28 Agfa-Gevaert A backsheet for photovoltaic modules
JP6577695B2 (ja) * 2013-12-18 2019-09-18 大陽日酸株式会社 シリコン窒化膜の形成方法
WO2016161340A1 (en) * 2015-04-01 2016-10-06 Ayon Arturo A Compositions for uv sequestration and methods of use
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10907073B2 (en) * 2017-01-11 2021-02-02 Fujimi Incorporated Polishing composition
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10519035B1 (en) * 2017-02-23 2019-12-31 Rhode Island Council On Postsecondary Education Covalent chemical surface modification of surfaces with available silicon or nitrogen
JP2018182021A (ja) * 2017-04-11 2018-11-15 ソニーセミコンダクタソリューションズ株式会社 撮像素子、積層型撮像素子及び固体撮像装置
WO2019136398A1 (en) * 2018-01-05 2019-07-11 Simpore Inc. Functionalized silicon nanomembranes and uses thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6187699A (ja) * 1984-10-05 1986-05-06 Nok Corp 生理活性物質の固定化方法
KR20160130165A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
US20170256402A1 (en) * 2016-03-03 2017-09-07 Applied Materials, Inc. Self-assembled monolayer blocking with intermittent air-water exposure

Also Published As

Publication number Publication date
US11282710B2 (en) 2022-03-22
EP3844799A1 (en) 2021-07-07
EP3844799A4 (en) 2022-05-25
IL280881A (en) 2021-04-29
TWI720597B (zh) 2021-03-01
JP7288501B2 (ja) 2023-06-07
KR102431745B1 (ko) 2022-08-10
TW202009319A (zh) 2020-03-01
CN112602169A (zh) 2021-04-02
SG11202101696UA (en) 2021-03-30
WO2020046746A1 (en) 2020-03-05
US20200066539A1 (en) 2020-02-27
JP2023105023A (ja) 2023-07-28
JP2021536527A (ja) 2021-12-27

Similar Documents

Publication Publication Date Title
KR102431745B1 (ko) 실리콘 함유 표면 상의 선택적 증착
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
KR102306680B1 (ko) 실리콘계 유전체들 상에서의 선택적 증착을 위한 방법들
US11670512B2 (en) Selective deposition on silicon containing surfaces
KR102185458B1 (ko) 선택적 퇴적
KR102208442B1 (ko) 반응 챔버 패시베이션 및 금속성 막들의 선택적 퇴적
KR20230132760A (ko) 표면 블록 화학작용을 이용한 박막 유전체의 선택적 증착
TW305058B (ko)
US8778816B2 (en) In situ vapor phase surface activation of SiO2
KR102380197B1 (ko) SiCON의 저온 분자층 증착
TW201837985A (zh) 在基板上形成結構之方法
KR20200043531A (ko) 산화규소 상의 유전체의 선택적 증착을 위한 방법들
KR102251989B1 (ko) 유기 금속 전구체 및 이를 이용한 박막 형성 방법
CN100476021C (zh) 将不渗透膜沉积到多孔低介电常数介电膜上的方法
JP2021527172A (ja) 共形性炭素膜堆積
KR20220114612A (ko) 선택적 증착 방법들
JP2015198185A (ja) 成膜方法及び成膜装置
KR20210117344A (ko) 희생 마스크 (sacrificial mask) 를 사용하는 선택적인 에칭
KR20110125651A (ko) 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
Sato et al. In situ vapor phase surface activation of SiO 2

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant