TWI720597B - 在含矽表面上的選擇性沉積 - Google Patents

在含矽表面上的選擇性沉積 Download PDF

Info

Publication number
TWI720597B
TWI720597B TW108130426A TW108130426A TWI720597B TW I720597 B TWI720597 B TW I720597B TW 108130426 A TW108130426 A TW 108130426A TW 108130426 A TW108130426 A TW 108130426A TW I720597 B TWI720597 B TW I720597B
Authority
TW
Taiwan
Prior art keywords
isocyanate
substituted
group
unsubstituted
item
Prior art date
Application number
TW108130426A
Other languages
English (en)
Other versions
TW202009319A (zh
Inventor
羅納多馬丁 皮爾斯坦
Original Assignee
美商慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商慧盛材料美國責任有限公司 filed Critical 美商慧盛材料美國責任有限公司
Publication of TW202009319A publication Critical patent/TW202009319A/zh
Application granted granted Critical
Publication of TWI720597B publication Critical patent/TWI720597B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明關於一種將基材表面選擇性地鈍化之方法,其中該基材的表面包括至少一包含氮化矽的第一表面及至少一包含該氮化矽以外的材料的第二表面。該方法包括使該表面暴露於至少一有機異氰酸酯的步驟,其中該有機異氰酸酯選擇性地與該氮化矽反應以將該第一表面鈍化,從而使該第二表面實質上未反應。

Description

在含矽表面上的選擇性沉積
相關申請案之相互參照 本案請求2018年8月27日申請的美國臨時申請案第62/723,023號的優先權,在此為了所有允許的目的而以引用之方式將其全文併入本文。
發明領域 本案關於相對於第二表面在基材的第一表面上的選擇性沉積。 另外,可使用進一步處理隨後相對於該第一表面在該第二表面上沉積不同的材料。
選擇性沉積製程正獲得大量動力,主要是因為當前微影蝕刻製程的局限性能基於不斷減小的物理尺寸製造出先進的半導體裝置。傳統上,微電子工業中的圖案化已經使用各種微影蝕刻及蝕刻製程完成。然而,由於微影蝕刻成指數地變得更複雜又昂貴,因此使用選擇性沉積來形成自對準特徵變得更具吸引力。自對準通孔結構的製造將顯著受益於可製造的選擇性沉積製程。選擇性沉積的另一潛在應用係間隙填充。於間隙填充時,介電質“填充”膜從溝槽的底部朝頂部選擇性地生長。選擇性沉積可用於其他應用例如選擇性側壁沉積,其中膜係選擇性地沉積於三維FIN-FET結構的暴露表面上。這將使側壁間隔物的沉積成為可能而不需要複雜的圖案化步驟。用作柵極介電質和電容器介電質的金屬和金屬氧化物膜的選擇性沉積製程在半導體裝置製造時也具有很大的實用性。
技​​術文獻中有許多先前的實例關於在具有暴露的多重不同化學表面的晶圓上選擇性地形成表面鈍化塗層。這樣做的目的係通過ALD製程在這些鈍化表面上延遲或防止該膜的沉積,但是不能防止在需要ALD沉積製程沉積膜的表面上沉積。一般,由於該表面的不完全鈍化及/或由於ALD前驅物分子的物理吸附及隨後在鈍化層本身內或在不需沉積的表面上形成該ALD膜材料,使該方法的選擇性不夠充分。本發明試圖克服先前技藝的局限性並且提供使用ALD沉積製程選擇性沉積薄膜材料的改進方法。
Liu, L.-H.等人,J. Phys.: Condens. Matter 28 (2016) 094014 (doi:10.1088/0953-8984/28/9/094014)教導,藉由用含醛的溶液處理經處理的表面,氮化矽可相對於氧化矽選擇性地被鈍化至一定程度。
於一態樣中,本文提供一種藉由氣相反應將該基材的表面選擇性地鈍化之方法,其中該基材的表面包含至少一包含氮化矽的第一表面及包含該氮化矽以外的材料的至少第二表面,該方法包含以下步驟:a. 使該基材的表面與濕化學組合物接觸;b. 用去離子水沖洗該表面;c. 將該表面乾燥;d. 視需要地,用氫電漿或氨電漿處理該表面;及e. 使該表面暴露於包含至少一具有式I結構的有機異氰酸酯的蒸氣:R-N=C=O (I),其中,R係選自由以下所組成的群組:氫、經取代或未經取代的C1 至C18 線性烷基、經取代或未經取代的分支C3 至C18 烷基、經取代或未經取代的C3 至C8 環狀烷基、經取代或未經取代的C3 至C10 雜環族基、經取代或未經取代的C3 至C18 烯基、經取代或未經取代的C4 至C18 芳基、經取代或未經取代的C5 至C20 芳烷基及經取代或未經取代的C3 至C10 炔基,其中該至少一有機異氰酸酯選擇性地與該氮化矽反應以將該第一表面鈍化,從而使該第二表面實質上未反應。
於另一態樣中,本文提供一種將膜選擇性地沉積於基材表面上之方法,其中該基材的表面包含至少一包含氮化矽的第一表面及至少一包含該氮化矽以外的材料的第二表面,該方法包含以下步驟:a. 使該基材的表面與濕化學組合物接觸;b. 用去離子水沖洗該表面;c. 將該表面乾燥;d. 視需要地,用氫電漿或氨電漿處理該表面;及e. 使該表面暴露於包含至少一具有式I結構的有機異氰酸酯的蒸氣:R-N=C=O (I),其中,R係選自由以下所組成的群組:氫、經取代或未經取代的C1 至C18 線性烷基、經取代或未經取代的分支C3 至C18 烷基、經取代或未經取代的C3 至C8 環狀烷基、經取代或未經取代的C3 至C10 雜環族基、經取代或未經取代的C3 至C18 烯基、經取代或未經取代的C4 至C18 芳基、經取代或未經取代的C5 至C20 芳烷基及經取代或未經取代的C3 至C10 炔基,其中該至少一有機異氰酸酯選擇性地與該氮化矽反應以將該第一表面鈍化,從而使該第二表面實質上未反應;及f. 使該基材的表面暴露於一或更多沉積前驅物以優先於該第一表面將膜選擇性地沉積於該第二表面上。
本發明的具體實例可單獨使用或互相組合使用。
將包括刊物、專利申請案及專利在內本文引用的所有參考文獻皆以引用的方式併入本文,其程度如同各參考文獻被單獨並明確地指明而以引用的方式併入並於本文中完整地闡述。
除非本文另行指明或與上下文明顯矛盾,否則在描述本發明的上下文中(特別為在以下申請專利範圍的上下文中)使用措辭“一”及“該”及類似的指示物應被解釋為涵蓋單數及複數。除非另行指明,否則措辭“包含”、“具有”、“包括”及“含有”應被解釋為開放式措辭(即,意指“包括,但不限於,”)。除非本文另行指明,否則本文列舉的數值範圍僅意在用作單獨提及落入該範圍內的各別單獨值之簡寫方法,並且以各別單獨值併入本說明書,如同其於本文獨立引用一樣。除非本文另行指明或上下文明顯矛盾,否則本文所述的所有方法均可依任何合適的順序進行。除非另行指明,否則本文提供的任何及所有實例或示範性語言(例如,“諸如”)的使用僅意在使本發明得到更佳地例示,而非對本發明的範疇進行限制。本說明書中的任何語言都不應被解釋為表示任何未請求保護的元件對於本發明的實踐為必不可少的。
本文描述本發明的較佳具體實例,包括發明人已知的實施本發明的最佳方式。在閱讀前面的描述之後,那些較佳具體實例的變化對於普通熟悉此技藝者來說可變得顯而易見。發明人期望熟練的技術人員適當地運用此變化,並且發明人意圖以不同於本文具體描述的方式實施本發明。因此,本發明包括適用法律所允許的後附申請專利範圍所列標的的所有修飾及等同物。此外,除非本文另行指明或上下文明顯矛盾,否則本發明涵蓋上述元件的所有可能變型的任何組合。
有多種方法可用於選擇性沉積。本發明的具體實例係關於藉由利用二不同表面的表面化學來運用表面去活化的方法。由於二不同的表面將會有不同的反應性處理,因此可藉由使用會與一表面反應(以使該表面去活化)且不與另一表面反應的分子來利用那些差異。
於一具體實例中,提供一種藉由氣相反應將基材表面選擇性地鈍化之方法,其中該基材的表面包含至少一包含氮化矽的第一表面及至少一包含該氮化矽以外的材料的第二表面,該方法包含以下步驟:a. 使該基材的表面與濕化學組合物接觸;b. 用去離子水沖洗表面;c. 將該表面乾燥;d. 視需要地,用氫電漿或氨電漿處理表面;及e. 使該表面暴露於包含至少一具有式I結構的有機異氰酸酯的蒸氣:R-N=C=O (I),其中,R係選自由以下所組成的群組:氫、經取代或未經取代的C1 至C18 線性烷基、經取代或未經取代的分支C3 至C18 烷基、經取代或未經取代的C3 至C8 環狀烷基、經取代或未經取代的C3 至C10 雜環族基、經取代或未經取代的C3 至C18 烯基、經取代或未經取代的C4 至C18 芳基、經取代或未經取代的C5 至C20 芳烷基及經取代或未經取代的C3 至C10 炔基,其中該至少一有機異氰酸酯選擇性地與該氮化矽反應以將該第一表面鈍化,從而使該第二表面實質上未反應。
於另一具體實例中,提供一種將膜選擇性地沉積於基材表面上之方法,其中該基材的表面包含至少一包含氮化矽的第一表面及至少一包含該氮化矽以外的材料的第二表面,該方法包含以下步驟:a. 使該基材的表面與濕化學組合物接觸;b. 用去離子水沖洗表面;c. 將該表面乾燥;d. 視需要地,用氫電漿或氨電漿處理表面;e. 使該表面暴露於包含至少一具有式I結構的有機異氰酸酯的蒸氣:R-N=C=O (I),其中,R係選自由以下所組成的群組:氫、經取代或未經取代的C1 至C18 線性烷基、經取代或未經取代的分支C3 至C18 烷基、經取代或未經取代的C3 至C8 環狀烷基、經取代或未經取代的C3 至C10 雜環族基、經取代或未經取代的C3 至C18 烯基、經取代或未經取代的C4 至C18 芳基、經取代或未經取代的C5 至C20 芳烷基及經取代或未經取代的C3 至C10 炔基,其中該至少一有機異氰酸酯選擇性地與該氮化矽反應以將該第一表面鈍化,從而使該第二表面實質上未反應;及f. 將該基材暴露於一或更多沉積前驅物,以優先於該第一表面將膜選擇性地沉積於該第二表面上。
如在本說明書及後附申請專利範圍中所使用的,措辭“基材”和“晶圓”可互換使用,兩者均指製程作用的表面或表面的一部分。此領域的習知技藝者也能理解,除非上下文另行明確指明,否則提及基材也可僅指該基材的一部分。此外,提及沉積於基材上可意指裸基材及具有沉積或形成於其上的一或更多膜或特徵的基材。
如本文使用的“基材”是指任何基材或形成於基材上的材料表面,裝配製程期間於其上進行膜處理。舉例來說,可進行加工的基材表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻碳的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石等材料及任何其他傳導材料,端視應用而定。基材包括,但不限於,半導體晶圓。基材可被暴露於預處理製程以將該基材表面研磨、蝕刻、還原、氧化、羥基化、退火及/或烘烤。除了直接在該基材本身的表面上進行膜處理之外,於本發明中,所揭示的任何膜處理步驟也可於該基材上形成的底層上進行,如下文更詳細地揭示的,而且措辭“基材表面”意圖包括諸如上下文所指的底層。因此舉例來說,在膜/層或部分膜/層已沉積於基材表面上的情況下,新沉積的膜/層之暴露表面便成為該基材表面。指定的基材表面包含的內容取決於要沉積的膜及所用的特定化學物質。於一或更多具體實例中,該第一基材表面將包括金屬,而且該第二基材表面將包括介電質,或反之亦然。於一些具體實例中,基材表面可包含某些官能度(例如,-OH、-NH等等)。
同樣地,可用於本文所述方法之膜係多種多樣的。於一些具體實例中,該膜可包含金屬或基本上由金屬組成。金屬膜的實例包括,但不限於,鈷(Co)、銅(Cu)、鎳(Ni)、鎢(W)等等。於一些具體實例中、該膜包含介電質。實例包括SiO2 、SiN、HfO2 等等。
於本發明的具體實例中,該基材具有至少二離散表面,其中各離散表面的特徵在於不同的化學性質。舉例來說,於一具體實例中,該基材的表面包含至少一包含氮化矽的第一表面及至少一包含該氮化矽以外的材料的第二表面。
該至少一包含氮化矽以外的材料的第二表面可為,舉例來說,選自由以下所組成的群組之任何材料:SiO2 、金屬氧化物、銅、鈷、鎢、非晶矽、多晶矽、單晶矽、鍺及非晶形加氫鍺。於一些具體實例中,該至少一包含SiO2 的第二表面係介電質表面,例如SiO2 表面。於一些具體實例中,該包含SiO2 的表面可包含氧化矽、氟化氧化矽玻璃(FSG)、摻碳的氧化矽(SiOC)及/或含有多於約50%的氧化矽的材料。於一些具體實例中、該包含SiO2 的表面包含-OH基並且也可包含,舉例來說,具有-OH表面基團的氧化鋁(Al2 O3 )表面。
本發明的具體實例提供優先於同一基材的第二表面將膜(例如,舉例來說,金屬膜)選擇性地沉積於該基材的一表面上之方法。如本說明書及後附申請專利範圍中所使用的,措辭“優先於另一表面將膜選擇性地沉積於一表面上”等意指該第一或第二表面中的其一被鈍化以實質上防止沉積於該鈍化層上,並且將膜沉積於該第二(非鈍化)表面上。就這一點使用的措辭“優先”並非暗指一表面在另一表面上的物理取向,而是相對於另一表面與一表面發生化學反應的熱力學或動力學性質的關係。舉例來說,優先於介電質表面選擇性地將鈷膜沉積於銅表面上意指該鈷膜沉積於該銅表面上並且較少或沒有鈷膜沉積於該介電質表面上;或相對於該鈷膜形成於該介電質表面上,該鈷膜形成於該銅表面上係熱力學或動力學上有利的。
在某些情況下,吾人所欲為相對於同一基材的第二不同表面將材料選擇性地沉積於該基材的一表面上。舉例來說,選擇性沉積可用以形成蓋頂層(capping layer)、阻絕層、蝕刻停止層、犧牲層及/或保護層,或用於密封細孔(例如於多孔性低k材料中的細孔)。
本發明的方法包括使該基材的表面與濕化學組合物接觸以獲得經處理的基材之視需要的步驟。示例性濕化學處理包括已知的化學處理例如,舉例來說,RCA清潔化學品SC-1和SC-2、HF水溶液、過氧化物、H2 SO4 / H2 O2 、NH4 OH、緩衝HF溶液及其混合物。
於較佳的具體實例中,該濕化學組合物包含選自由以下所組成的群組中的至少其一:包含H2 O2 (28 % 水溶液)、NH4 O4 (28至30 %)和H2 O的組合物、HF (0.01 %至10% (水溶液))、過氧化物、RCA清潔化學品SC-1和SC-2及H2 SO4 / H2 O2 的混合物。
如此領域已知的,“RCA清潔化學品”表示包含氫氧化銨和過氧化氫混合物的組合物,其中基本的清潔程序由美國無線電公司於1960年代開發。該RCA標準清潔-1 (SC-1)程序使用氫氧化銨和過氧化氫溶液和水加熱至約70℃的溫度。該SC-1程序溶解膜並且除去I和II族金屬。該I族和II族金屬係通過與該SC-1溶液中的試劑錯合而移除。該RCA標準清潔-2 (SC-2)程序使用過氧化氫、鹽酸和水的混合物加熱至約70℃溫度。該SC-2程序移除該SC-1程序未移除的金屬。
與該濕化學組合物接觸可藉由該領域之習知技藝者已知的任何方法進行例如,舉例來說,浸漬或噴霧。該接觸步驟可為一不連續步驟或多於一步驟。
於一些具體實例中,於接觸步驟期間的濕化學組合物的溫度可為,舉例來說,約環境溫度至約100℃。於其他具體實例中,於該接觸步驟期間的濕化學組合物的溫度可為,舉例來說,約55℃至約95℃。於其他具體實例中,於該接觸步驟期間的濕化學組合物的溫度可為例如約60℃至約90℃。
具體實例也包括在使該基材的表面與該濕化學組合物接觸的步驟之後用去離子水沖洗該基材的表面之步驟。該沖洗步驟通常藉由任何合適的方式進行,舉例來說,藉由浸漬或噴霧技術用去離子水沖洗該基材的表面。
具體實例也包括在該沖洗步驟之後將至少該基材的表面乾燥之步驟。該乾燥步驟通常藉由任何合適的方法進行,舉例來說,運用加熱、異丙醇(IPA)蒸氣乾燥或藉由向心力。
具體實例也視需要地包括用氫電漿或氨電漿處理該表面之步驟。合適的方法包括電漿製程(氫電漿、NH3 / NF3 電漿及水電漿等)。該視需要的電漿步驟用以移除該表面上不希望的沉積物並且活化該表面以便其後鈍化劑的沉積。在進行該表面上的一些沉積之後,最較佳地應用此電漿處理以便從之前已鈍化的表面移除非選擇性沉積的材料,並且在達到所需的沉積厚度之後移除殘留的鈍化劑。
具體實例包括使該表面暴露於包含至少一具有式I結構的有機異氰酸酯的蒸氣之步驟: R-N=C=O (I), 其中,R係選自由以下所組成的群組:氫、經取代或未經取代的C1 至C18 線性烷基、經取代或未經取代的分支C3 至C18 烷基、經取代或未經取代的C3 至C8 環狀烷基、經取代或未經取代的C3 至C10 雜環族基、經取代或未經取代的C3 至C18 烯基、經取代或未經取代的C4 至C18 芳基、經取代或未經取代的C5 至C20 芳烷基及經取代或未經取代的C3 至C10 炔基,其中該至少一有機異氰酸酯選擇性地與該氮化矽反應以將該第一表面鈍化,從而使該第二表面實質上未反應。
於一些具體實例中,該有機異氰酸酯係氟取代的C1 至C18 線性烷基,其具有選自由Cn F2n+1 CH2 N=C=O及Cn F2n+1 (C2 H4 )N=C=O所組成的群組 之結構。具有結構Cn F2n+1 CH2 N=C=O之氟取代的C1 至C18 線性烷基之較佳有機異氰酸酯前驅物包括選自由以下所組成的群組者:CF3 CH2 N=C=O、C2 F5 CH2 N=C=O、C3 F7 CH2 N=C=O、C4 F9 CH2 N=C=O、C5 F11 CH2 N=C=O、C6 F13 CH2 N=C=O、C7 F15 CH2 N=C=O、C8 F17 CH2 N=C=O及C9 F19 CH2 N=C=O。
於其他具體實例中,式I中的R為氯取代的C1 至C18 線性烷基,其具有結構Cn Cl2n+1 CH2 N=C=O。具有結構Cn Cl2n+1 CH2 N=C=O之氯取代的C1 至C18 線性烷基的較佳有機異氰酸酯前驅物包括選自由以下所組成的群組者:CCl3 CH2 N=C=O、C2 Cl5 CH2 N=C=O、C3 Cl7 CH2 N=C=O、C4 Cl9 CH2 N=C=O、C5 Cl11 CH2 N=C=O、C6 Cl13 CH2 N=C=O、C7 Cl15 CH2 N=C=O、C8 Cl17 CH2 N=C=O及C9 Cl19 CH2 N=C=O。
於其他具體實例中,式I中的R為經取代或未經取代的C3 至C8 環狀烷基。於此具體實例中,該至少一有機氰基酯包括選自由以下所組成的群組者:異氰酸環丙酯、異氰酸環丁酯、異氰酸環己酯及異氰酸甲基環己酯。
於其他具體實例中,式I中的R為經取代或未經取代的C4 至C18 芳基。於此具體實例中,該有機氰酸酯包括選自由以下所組成的群組者:異氰酸苯酯、異氰酸甲苯酯、異氰酸二甲基苯酯、異氰酸萘酯、異氰酸2-乙基苯酯及異氰酸二甲基苯酯。
於其他具體實例中,式I中的R係未經取代的C1 至C18 線性烷基或R係經取代或未經取代的分支C3 至C18 烷基。於此具體實例中,該有機氰酸酯包括選自由以下所組成的群組者:異氰酸甲酯、異氰酸乙酯、異氰酸丙酯、異氰酸異丙酯、異氰酸正丁酯、異氰酸第二丁酯、異氰酸第三丁酯、異氰酸戊酯、異氰酸己酯、異氰酸辛酯、異氰酸癸酯、異氰酸十二烷酯、硬脂醯異氰酸酯、異氰酸1,1,3,3-四甲基丁酯及異氰酸1-甲基庚酯。
於另一具體實例中,式I中的R為經取代或未經取代的C54 至C20 芳烷基。於此具體實例中,該有機異氰酸酯包括異氰酸苯甲酯。
如整個說明書中所用的,措辭“烷基”表示直鏈或分支的飽和烴基。於一些具體實例中,該烷基具有1至20個碳原子、2至20個碳原子、1至10個碳原子、2至10個碳原子、1至8個碳原子、2至8個碳原子、 1至6個碳原子、2至6個碳原子、1至4個碳原子、2至4個碳原子、1至3個碳原子或2或3個碳原子。烷基的實例包括,但不限於,甲基(Me)、乙基(Et)、丙基(例如,正丙基及異丙基)、丁基(例如,正丁基、第三丁基、異丁基)、戊基(例如,正戊基、異戊基、新戊基)、己基、異己基、庚基、辛基、壬基、4,4-二甲基戊基、2,2,4-三甲基戊基、癸基、十一烷基、十二烷基、2-甲基-1-丙基、2-甲基-2-丙基、2-甲基-1-丁基、3-甲基-1-丁基、2-甲基-3-丁基、2-甲基-1-戊基、2,2-二甲基-1-丙基、3-甲基-1-戊基、4-甲基-1-戊基、2-甲基-2-戊基、3-甲基-2-戊基、4-甲基-2-戊基、2,2-二甲基-1-丁基、3,3-二甲基-1-丁基及2-乙基-1-丁基等。
如整個說明書中所使用的,措辭“環狀烷基”表示具有3至10或4至10個碳原子的環狀官能基。示例性環狀烷基包括,但不限於,環丁基、環戊基、環己基及環辛基。
如本文所用的,措辭“芳基”表示單環、雙環或多環(例如,具有2、3或4個稠合環)芳烴。於一些具體實例中,該芳基具有6至20個碳原子或6至10個碳原子。芳基的實例包括,但不限於,苯基、萘基、蒽基、菲基、茚滿基、茚基及四氫萘基等。
如本文所用的,措辭“芳基烷基”意指被芳基取代的烷基。於一些具體實例中,該烷基係C1-6 烷基。
如整個說明書中所用的,措辭“烯基”表示具有一或更多碳 - 碳雙鍵並具有2至18或2至10個碳原子的基團。示例性烯基包括,但不限於,乙烯基或烯丙基。
如本文所用的,措辭“炔基”意指具有2至20個碳原子及一或更多碳 - 碳叁鍵的直鏈或分支烷基。於一些具體實例中,該炔基具有2至10個碳原子、2至8個碳原子、2至6個碳原子或2至4個碳原子。炔基的實例包括,但不限於,乙炔、1-丙烯及2-丙烯等。
如本文所用的,詞組“視需要經取代的”意指取代係視需要的,因此包括未經取代的及經取代的原子及部分。“經取代的”原子或部分表示指定化合物或部分上的任何氫原子可被換成選自指定取代基者,條件為不超過指定化合物或部分的常價(normal valency),並且取代的結果導致穩定的化合物。舉例來說,若甲基視需要被取代,則該甲基內的碳原子上的1、2或3個氫原子可被換成1、2或3個前述取代基。
如本文所用的,措辭“苯基”意指-C6 H5 。苯基可為未經取代的或被一、二或三個合適的取代基取代。
如本文所用的,措辭“環狀烷基”意指非芳族環狀烴,包括具有最多20個成環碳原子(ring-forming carbon atom)的環化烷基、烯基及炔基。環烷基具有3至15個成環碳原子、3至10個成環碳原子、3至8個成環碳原子、3至6個成環碳原子、4至6個成環碳原子碳原子、3至5個成環碳原子或5或6個成環碳原子。環烷基的成環碳原子可視需要被酮基(oxo)或硫代基(sulfido)取代。環烷基包括,但不限於,單環或多環系統例如稠合環系統、橋環系統(bridged ring system)及螺環系統。於一些具體實例中,多環狀環系統包括2、3或4個稠合環。環烷基的實例包括,但不限於,環丙基、環丁基、環戊基、環己基、環庚基、環辛基、環壬基、環戊烯基、環己烯基、環己二烯基、環庚三烯基、降冰片基、降菔烷基(norpinyl)、降蒈烷基(norcarnvl)及金剛烷基等。環烷基也可具有一或更多與該環烷基環稠合(具有共同鍵)的芳族環例如,舉例來說,戊烷、戊烯及己烷等的苯并或噻吩基衍生物(例如,2,3-二氫-1H-茚-1-基或1H-茚-2(3H) - 酮-1-基)。
如本文所用的,措辭“鹵基(halo)”意指鹵素基團並且包括,但不限於,氟基、氯基、溴基及碘基。
如本文所用的,措辭“雜環”或“雜環族環”意指5-至7-員單環或7-至10-員雙環系統,其任何環皆可為飽和的或不飽和的,且該環由碳原子及一至三個選自N、O及S的雜原子組成,且其中該N及S雜原子可視需要地被氧化,且該N雜原子可視需要被季銨化,並且包括任何以上界定的雜環族環與苯環稠合的任何雙環基團。雜環包括含有一個氧或硫、一至三個氮原子或一個與一或兩個氮原子結合的氧或硫之環。該雜環族環可附接於任何雜原子或碳原子而導致穩定結構產生。雜環族基團的實例包括,但不限於,六氫吡啶基、六氫吡嗪基、2-酮基六氫吡嗪基、2-酮基六氫吡啶基、2-酮基吡咯烷基、2-酮基氮雜環庚基、氮雜環庚基、吡咯基、4-六氫吡啶酮基、吡咯烷基、吡唑基、吡唑烷基、咪唑基、咪唑啉基、吡啶基、咪唑烷基、吡嗪基、嘧啶基、噠嗪基、噁唑基、噁唑烷基、異噁唑基、異噁唑烷基、嗎啉基、噻唑基、噻唑烷基、異噻唑基、奎寧環基(quinuclidinyl)、異噻唑烷基、吲哚基、喹啉基、異喹啉基、苯并咪唑基、噻二唑基、苯并吡喃基、苯并噻唑基、苯并噁唑基、呋喃基、四氫呋喃基、四氫吡喃基、噻吩基、苯并噻吩基、硫嗎啉基、硫嗎啉基亞碸、硫嗎啉基碸及噁二唑基等。
蒸氣相或氣相反應包括將加熱的基材暴露於合適反應艙中的前驅物分子及/或共反應物,該反應艙必須能提供必要的壓力控制並且也能對該基材及/或艙壁供應熱量;該艙也應該為將要發生的反應提供合適的純度,其一般通過高洩漏完整性及使用超高純度載體及反應性氣體達成。
如本說明書及後附申請專利範圍中所用的,措辭“反應性氣體”、“前驅物”及“反應物”等可互換使用以意指包括與基材表面反應的物種之氣體。舉例來說,第一“反應性氣體”可簡單地吸附於基材的表面上並且可用於與第二反應性氣體進一步化學反應。其可與超高純度載氣(如先前所定義)一起使用並且以彼此之間任何所需的混合物使用(即多於一類型的前驅物可一同地,或以形成所需鈍化層的離散獨立步驟配合所需的任何前驅物引入順序使用)。
該前驅物及/或共反應物可使用質流控制器(可能配有加熱管線)、液體注入汽化器(可能配有加熱管線)或不用計量裝置(即,使用簡單閥勻稱地引入來自與該反應器隔離的容器之蒸氣及/或氣體)輸送至反應器。任何前述物質也可互相組合使用。任何提供該氣體及/或蒸氣給該反應艙並且提供足夠的純度及可重複性的裝置均可使用。
該前驅物及/或共反應物可被獨立地引入該反應器,在引入該反應器之前混合,於該反應器中混合或在前面以如何於步驟之間引入該前驅物可能有所不同的多重獨立步驟的任何組合混合。
該反應的溫度範圍可介於室溫與400℃之間。在一些情況下,該反應的溫度範圍可介於室溫與200℃之間。在又其他情況下,該反應的溫度範圍可介於室溫與100℃之間。該壓力可介於10-10 托耳至3000托耳並且可保持於動力流動條件之下(即,用閥及蝶閥(butterfly valve)型裝置)或可保持於靜態條件下(即,將真空艙暴露於所需的前驅物及/或共反應物直到達成所需總壓,然後將該艙與該前驅物及/或共反應物源及真空泵二者隔離)。該反應器可被完全抽空而且有需要的話多次再暴露於新鮮前驅物及/或共反應物。前驅物及/或共反應物可使用任何所需的混合物及/或濃度引入。
該表面的暴露可進行0.1至60分鐘,較佳地1至5分鐘,最佳地1分鐘。該反應艙中的異氰酸酯之分壓可變化於其在基材溫度下的飽和蒸氣壓之約1%至其飽和蒸氣壓的幾乎100%。最佳地,介於其飽和蒸氣壓的20與50%之間。該艙壓力可與異氰酸酯蒸氣的分壓相同,但是有可能隨著包含載氣的氣氛之平衡而更高。較佳的載氣包括N2 、He及Ar,但是也可使用其他氣體例如H2 、CO2 及乾燥O2 。該暴露蒸氣可在整個或部分暴露期間為靜態(不流動)。較佳的具體實例為使該異氰酸酯的蒸氣與視需要的載氣一起流過該暴露艙,使得新鮮的蒸氣暴露於該基材的表面經過至少一部分暴露時間。
該暴露艙可保持於接近環境溫度或可視需要地加熱。熱可供應到該艙的外壁(熱壁)或僅供應到給基材(冷壁反應器)。於冷壁反應器中的基材加熱可藉由使用通過透明窗口的入射輻射(燈加熱),藉由該基材本身的電阻加熱,或由該基材接觸(通過感應或藉由其他此技藝已知的手段)的平台中的電阻加熱元件來實現。該處理的溫度較佳為介於約20℃至約400℃之間,較佳為介於20℃至約200℃之間,最佳為介於20℃至約100℃之間。該溫度在暴露期間可為恆定,或可變化於指定的溫度範圍內。
該至少一有機異氰酸酯的未反應蒸氣可接著視需要地在從該艙移除該基材之前或在化學氣相或原子層沉積處理之前,藉由抽空或用合適的惰性氣體吹掃該艙而予以移除。視需要地,該暴露艙也可用於其後的處理步驟以改善處理效率,使得該製程可從步驟c)重複進行,必要的話,剝離保護膜及任何非選擇性ALD沉積物,然後再形成保護膜。
該至少一有機異氰酸酯的選擇及此方法中使用的暴露條件應該藉由標準實驗最適化以優化使該氮化矽表面免受視後續處理步驟賦予的要求而定之潛在的非選擇性地鈍化、處理時間、試劑成本等等的選擇性。舉例來說,選擇性可藉由變化該至少一具有式I所示的結構之有機異氰酸酯的R基團的特性來調適/優化。典型地,由於反應性及選擇性通常反向相關,若該二表面的化學性質相似,可能需要試驗該R基團以優化該製程。反應性存在差異,舉例來說介於烷基R-基團與芳基R-基團之間;與烷基異氰化物相比通常芳基異氰化物與含活性氫的表面更具反應性。結果,在一些情況下,可能需要該烷基異氰化物以將SiN選擇性地鈍化而不會將同樣具有較少反應性活性氫原子的相鄰表面也鈍化了。
一旦該氮化矽表面被鈍化,包含,舉例來說,氧化矽的第二表面對於進一步的選擇性反應(例如,舉例來說,於Si-H表面上選擇性地ALD沉積SiCN)係有活性的。可選擇性地沉積於該第二表面上的其他材料,包括含有氧、氮、氫及碳的矽膜(即SiOx 、SiNx 、SiOx Ny 、SiCx Ny 、SiOx Cy ,所有這些都可加入H)、金屬、金屬氮化物及金屬氧化物。
於一些實施例中,將金屬氧化物膜選擇性地沉積於該第二表面上。於一實例中,該金屬氧化物膜可用作該第二表面上的蓋頂層。該金屬氧化物膜可,舉例來說,藉由原子層沉積(ALD)、電漿增強ALD (PEALD)、化學氣相沉積(CVD)、電漿強化CVD (PECVD)或脈衝CVD來沉積。根據一具體實例,該金屬氧化物膜可選自由HfO2 、ZrO2 、TiO2 、Al2 O3 及其組合所組成的群組。在一些實例中,該金屬氧化物膜可藉由ALD使用金屬有機前驅物及氧化劑(例如,H2 O、H2 O2 、電漿激發的O2 或O3 )的交替暴露來沉積,如2017年3月17日申請的美國臨時專利申請案序列號第62/472,724號中詳述的,在此以引用的方式將其全文併入本文。
根據本發明的選擇性沉積可為,舉例來說,Hamalainen等人, “Atomic Layer Deposition of Noble Metals and Their Oxides,” Chem. Mater. 2014, 26, 786-801;及Johnson等人,“A Brief review of Atomic layer Deposition: From Fundamentals to Applications”, Materials Today, Volume 17, Number 5, June 2014所揭示的金屬及金屬氧化物層,在此以引用的方式將這兩篇的全文併入本文。
於一些具體實例中,金屬膜係選擇性地沉積於該第二表面上。於一實例中,該金屬膜可用作該第二表面上的蓋頂層。於另一實例中,該金屬膜可用作該第二表面上的導電通路(即,線、墊或插頭)。於另一實例中,該金屬膜可,舉例來說,藉由原子層沉積(ALD)、電漿強化ALD (PEALD)、化學氣相沉積(CVD)、電漿強化CVD(PECVD)或脈衝CVD來沉積。根據一具體實例,該金屬膜可選自由Al、Ti、Co、Rh、Ir、Fe、Ru、Os、Mn、Tc、Re、Cu、Ag、Au、Ni、Pd或Pt及其組合所組成的群組。
於一些具體實例中,金屬或金屬氮化物膜係選擇性地沉積於該第二表面上。於一實例中,該金屬或金屬氮化物膜可用作該第二表面上的蓋頂層。於另一實例中,該金屬或金屬氮化物膜可用作擴散阻擋層。該金屬或金屬氮化物膜可,舉例來說,藉由原子層沉積(ALD)、電漿強化ALD (PEALD)、化學氣相沉積(CVD)、電漿強化CVD (PECVD)或脈衝CVD來沉積。實例係於,舉例來說,“IBM Research Report,”Atomic Layer Deposition of Metal and Metal Nitride Thin Films:Current Research Efforts and Applications for Semiconductor Device Processing,“RC22737 (W0303-012), 2003年3月5日中見到。
於選擇性沉積製程期間,先前用該至少一有機異氰酸選擇性地沉積於氮化矽表面上的前述保護表面會開始反應或以其他方式變得更不惰性。該至少一有機異氰酸酯的視需要再施敷,無論有用或沒用任何水性或電漿預處理步驟,可視需要地重複進行以防止或延遲非選擇性地沉積於該氮化矽表面上。
於一些具體實例中,如本文所述於基材第一表面上的鈍化,該基材的此氮化矽表面相對於該基材的第二表面為至少約90%選擇性、至少約95%選擇性、至少約96%、97%、98%或99%或更高的選擇性。於一些具體實例中,鈍化僅發生於該第一表面上並且沒發生於該第二表面上。於一些具體實例中,於該基材的第一表面上的鈍化相對於該基材的第二表面係至少約70%選擇性或至少約80%選擇性,這對於某些特定應用可能具有足夠的選擇性。於一些具體實例中,於該基材的第一表面上的鈍化相對於該基材的第二表面係至少約50%選擇性,這對於某些特定應用可能具有足夠的選擇性。
濕化學清潔物可用於移除鈍化層。濕化學清潔物的實例包括本領域已知及如上述用於使該基材與該濕化學組合物接觸的視需要步驟之酸性、鹼性及氧化性(例如含過氧化物)濕化學組合物。另一移除該鈍化層的方法係經由施加熱量或其他能量。
實施例1:將具有含氮化矽及氧化矽特徵的圖案化表面的300 mm直徑矽晶圓(該基材)浸入含有1%氫氟酸水溶液(0.3 莫耳/升)的浴中60秒,然後用脫氣去離子水沖洗並且在氮氣之下乾燥。將該晶圓轉移到沉積艙中,將其抽空並加熱至100℃。將異氰酸正辛酯的蒸氣從加熱至70℃的來源容器輸送至該艙並且浸泡5分鐘。再次抽空該艙。在不使該基材暴露於空氣的情況下,藉由交替暴露於肆(二甲基胺基)鈦,接著吹掃,然後水蒸氣,接著吹掃,在該基材存在的情況下進行氧化鈦的循環原子層沉積。該基材的氧化矽部分上的沉積厚度大於該基材的氮化矽部分上的沉積厚度。
比較例2:將具有含氮化矽及氧化矽特徵的圖案化表面的300 mm直徑矽晶圓(該基材)浸入含有1%氫氟酸水溶液(0.3 莫耳/升)的浴中60秒,然後用脫氣去離子水沖洗並且在氮氣之下乾燥。將該晶圓轉移到沉積艙中,將其抽空並加熱至100℃。在不使該基材暴露於空氣的情況下,藉由交替暴露於肆(二甲基胺基)鈦,接著吹掃,然後水蒸氣,接著吹掃,在該基材存在的情況下進行氧化鈦的循環原子層沉積。該基材的氧化矽部分上的沉積厚度基本上與該基材的氮化矽部分上的沉積厚度相同。
實施例3:將具有含氮化矽及氧化矽特徵的圖案化表面的300 mm直徑矽晶圓(該基材)浸入含有0.5%氫氟酸水溶液(0.1 莫耳/升)的浴中60秒,然後用脫氣去離子水沖洗並且在氮氣之下乾燥。然後將該晶圓浸入含有溶於乾燥己烷中的10重量%異氰酸十八烷酯的溶液中並且靜置30分鐘。然後取出該晶圓並且用乾燥己烷沖洗並且在氮氣流動之下乾燥。將該晶圓轉移到沉積艙中,將其抽空並加熱至100℃。在不使該基材暴露於空氣的情況下,藉由交替暴露於肆(二甲基胺基)鈦,接著吹掃,然後水蒸氣,接著吹掃,在該基材存在的情況下進行氧化鈦的循環原子層沉積。該基材的氧化矽部分上的沉積厚度大於該基材的氮化矽部分上的沉積厚度。
實施例4:將具有含氮化矽及氧化矽特徵的圖案化表面的300 mm直徑矽晶圓(該基材)浸入含有0.5%氫氟酸水溶液(0.1莫耳/升)的浴中60秒,然後用脫氣去離子水沖洗並且在氮氣之下乾燥。將該晶圓轉移到沉積艙中,將其抽空並加熱至100℃。將異氰酸正辛酯的蒸氣從加熱至70℃的來源容器輸送至該艙並且浸泡15分鐘。再次抽空該艙。在不使該基材暴露於空氣的情況下,藉由交替暴露於二第二丁基胺基矽烷蒸氣,接著吹掃,然後O2 中5%臭氧,接著吹掃,在該基材存在的情況下進行氧化矽的循環原子層沉積。該基材的氧化矽部分上的沉積厚度大於該基材的氮化矽部分上的沉積厚度。
實施例5:將具有含氮化矽及氧化矽特徵的圖案化表面的300 mm直徑矽晶圓(該基材)浸入含有0.2%氫氟酸水溶液(0.06莫耳/升)的浴中60秒,然後用脫氣去離子水沖洗並且在氮氣之下乾燥。將該晶圓轉移到沉積艙中,將其抽空並加熱至100℃。將異氰酸正辛酯的蒸氣從加熱至70℃的來源容器輸送至該艙並且浸泡5分鐘。再次抽空該艙。在不使該基材暴露於空氣的情況下,藉由交替暴露於肆(二甲基胺基)鈦,接著吹掃,然後水蒸氣,接著吹掃,然後異氰酸正辛酯,然後吹掃,在該基材存在的情況下進行氧化鈦的循環原子層沉積。該基材的氧化矽部分上的沉積厚度大於該基材的氮化矽部分上的沉積厚度。
實施例6:將具有含氮化矽及氧化矽特徵的圖案化表面的300 mm直徑矽晶圓(該基材)浸入含有1%氫氟酸水溶液(0.3 莫耳/升)的浴中60秒,然後用脫氣去離子水沖洗並且在氮氣之下乾燥。將該晶圓轉移到沉積艙中,將其抽空並加熱至100℃。將異氰酸正辛酯的蒸氣從加熱至70℃的來源容器輸送至該艙並且浸泡5分鐘。再次抽空該艙。在不使該基材暴露於空氣的情況下,藉由交替暴露於肆(二甲基胺基)鈦,接著吹掃,然後水蒸氣,接著吹掃,在該基材存在的情況下進行氧化鈦的循環原子層沉積。該基材的鈷部分上的沉積厚度大於該基材的氮化矽部分上的沉積厚度。
儘管本發明的原理已經聯合較佳具體實例描述於上,但是應該清楚地理解為此敘述僅藉由示例的方式進行,而不得作為對本發明範圍的限制。

Claims (32)

  1. 一種將基材的表面選擇性地鈍化之方法,其中該基材的表面包含至少一包含氮化矽的第一表面及包含該氮化矽以外的材料的至少第二表面,該方法包含以下步驟:a.使該基材的表面與濕化學組合物接觸;b.用去離子水沖洗表面;c.將該表面乾燥;d.視需要地,用氫電漿或氨電漿處理該表面;及e.使該表面暴露於包含至少一具有式I結構的有機異氰酸酯的蒸氣:R-N=C=O (I),其中R係選自由以下所組成的群組:氫、經取代或未經取代的C1至C18線性烷基、經取代或未經取代的分支C3至C18烷基、經取代或未經取代的C3至C8環狀烷基、經取代或未經取代的C3至C10雜環族基、經取代或未經取代的C3至C18烯基、經取代或未經取代的C4至C18芳基、經取代或未經取代的C5至C20芳烷基及經取代或未經取代的C3至C10炔基,其中該至少一有機異氰酸酯選擇性地與該氮化矽反應以將該第一表面鈍化從而使該第二表面實質上未反應。
  2. 如申請專利範圍第1項之方法,其中該濕化學組合物包含選自由以下所組成的群組中的至少其一:包含H2O2(28%水溶液)、NH4O4(28至30%)和H2O的組合物、HF(0.01%至5%(水溶液))、過氧化物、RCA清潔化學品SC-1和SC-2及H2SO4/H2O2的混合物。
  3. 如申請專利範圍第1項之方法,其中該第二表面包含選自由以下所組成的群組中的至少其一:SiO2、金屬氧化物、銅、鈷、鎢、非晶矽、多晶矽、單晶矽、鍺及非晶形加氫鍺。
  4. 如申請專利範圍第3項之方法,其中該第二表面包含SiO2
  5. 如申請專利範圍第1項之方法,其中該至少一有機異氰酸酯係氟取代的C1至C18線性烷基,其具有結構CnF2n+1CH2N=C=O,其中n係1至17。
  6. 如申請專利範圍第5項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:CF3CH2N=C=O、C2F5CH2N=C=O、C3F7CH2N=C=O、C4F9CH2N=C=O、C5F11CH2N=C=O、C6F13CH2N=C=O、C7F15CH2N=C=O、C8F17CH2N=C=O及C9F19CH2N=C=O。
  7. 如申請專利範圍第1項之方法,其中R係氯取代的C1至C18線性烷基,其具有結構CnCl2n+1CH2N=C=O,其中n係1至17。
  8. 如申請專利範圍第7項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O及C9Cl19CH2N=C=O。
  9. 如申請專利範圍第1項之方法,其中R係經取代或未經取代的C3至C8環狀烷基。
  10. 如申請專利範圍第9項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:異氰酸環丙酯、異氰酸環丁酯、異氰酸環己酯及異氰酸甲基環己酯。
  11. 如申請專利範圍第1項之方法,其中R係經取代或未經取代的C4至C18芳基。
  12. 如申請專利範圍第11項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:異氰酸苯酯、異氰酸甲苯酯、異氰酸二甲基苯酯、異氰酸萘酯、異氰酸2-乙基苯酯及異氰酸二甲基苯酯。
  13. 如申請專利範圍第1項之方法,其中R係未經取代的C1至C18線性烷基或R係經取代或未經取代的分支C3至C18烷基。
  14. 如申請專利範圍第13項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:異氰酸甲酯、異氰酸乙酯、異氰酸丙酯、異氰酸異丙酯、異氰酸正丁酯、異氰酸第二丁酯、異氰酸第三丁酯、異氰酸戊酯、異氰酸己酯、異氰酸辛酯、異氰酸癸酯、異氰酸十二烷酯、硬脂醯異氰酸酯、異氰酸1,1,3,3-四甲基丁酯及異氰酸1-甲基庚酯。
  15. 如申請專利範圍第1項之方法,其中R係經取代或未經取代的C5至C20芳烷基。
  16. 如申請專利範圍第15項之方法,其中該至少一有機異氰酸酯係異氰酸苯甲酯。
  17. 一種將膜選擇性地沉積於基材表面上之方法,其中該基材的表面包含至少一包含氮化矽的第一表面及至少一包含該氮化矽以外的材料的第二表面,該方法包含以下步驟:a.使該基材的表面與濕化學組合物接觸;b.用去離子水沖洗表面;c.將該表面乾燥;d.視需要地,用氫電漿或氨電漿處理該表面;e.使該表面暴露於包含至少一具有式I結構的有機異氰酸酯的蒸氣:R-N=C=O (I),其中,R係選自由以下所組成的群組:氫、經取代或未經取代的C1至C18線性烷基、經取代或未經取代的分支C3至C18烷基、經取代或未經取代的C3至C8環狀烷基、經取代或未經取代的C3至C10雜環族基、經取代或未經取代的C3至C18烯基、經取代或未經取代的C4至C18芳基、經取代或未經取代的C5至C20芳烷基及經取代或未經取代的C3至C10炔基,其中該至少一有機異氰酸酯選擇性地與該氮化矽反應以將該第一表面鈍化,從而使該第二表面實質上未反應;及 f.使該基材的表面暴露於一或更多沉積前驅物以優先於該第一表面將膜選擇性地沉積於該第二表面上。
  18. 如申請專利範圍第17項之方法,其中該濕化學組合物包含選自由以下所組成的群組中的至少其一:包含H2O2(28%水溶液)、NH4O4(28至30%)和H2O的組合物、HF(0.01%至5%(水溶液))、過氧化物、RCA清潔化學品SC-1和SC-2及H2SO4/H2O2的混合物。
  19. 如申請專利範圍第17項之方法,其中該第二表面包含選自由以下所組成的群組中的至少其一:SiO2、金屬氧化物、銅、鈷、鎢、非晶矽、多晶矽、單晶矽、鍺及非晶形加氫鍺。
  20. 如申請專利範圍第19項之方法,其中該第二表面包含SiO2
  21. 如申請專利範圍第17項之方法,其中該至少一有機異氰酸酯係氟取代的C1至C18線性烷基,其具有結構CnF2n+1CH2N=C=O,其中n係1至17。
  22. 如申請專利範圍第21項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:CF3CH2N=C=O、C2F5CH2N=C=O、C3F7CH2N=C=O、C4F9CH2N=C=O、C5F11CH2N=C=O、C6F13CH2N=C=O、C7F15CH2N=C=O、C8F17CH2N=C=O及C9F19CH2N=C=O。
  23. 如申請專利範圍第17項之方法,其中R係氯取代的C1至C18線性烷基,其具有結構CnCl2n+1CH2N=C=O,其中n係1至17。
  24. 如申請專利範圍第23項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O及C9Cl19CH2N=C=O。
  25. 如申請專利範圍第17項之方法,其中R係經取代或未經取代的C3至C8環狀烷基。
  26. 如申請專利範圍第25項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:異氰酸環丙酯、異氰酸環丁酯、異氰酸環己酯及異氰酸甲基環己酯。
  27. 如申請專利範圍第17項之方法,其中R係經取代或未經取代的C4至C18芳基。
  28. 如申請專利範圍第27項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:異氰酸苯酯、異氰酸甲苯酯、異氰酸二甲基苯酯、異氰酸萘酯、異氰酸2-乙基苯酯及異氰酸二甲基苯酯。
  29. 如申請專利範圍第17項之方法,其中R係未經取代的C1至C18線性烷基或R係經取代或未經取代的分支C3至C18烷基。
  30. 如申請專利範圍第29項之方法,其中該至少一有機異氰酸酯係選自由以下所組成的群組:異氰酸甲酯、異氰酸乙酯、異氰酸丙酯、異氰酸異丙酯、異氰酸正丁酯、異氰酸第二丁酯、異氰酸第三丁酯、異氰酸戊酯、異氰酸己酯、異氰酸辛酯、異氰酸癸酯、異氰酸十二烷酯、硬脂醯異氰酸酯、異氰酸1,1,3,3-四甲基丁酯及異氰酸1-甲基庚酯。
  31. 如申請專利範圍第17項之方法,其中R係經取代或未經取代的C5至C20芳烷基。
  32. 如申請專利範圍第31項之方法,其中該至少一有機異氰酸酯係異氰酸苯甲酯。
TW108130426A 2018-08-27 2019-08-26 在含矽表面上的選擇性沉積 TWI720597B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862723023P 2018-08-27 2018-08-27
US62/723023 2018-08-27
US16/548983 2019-08-23
US16/548,983 US11282710B2 (en) 2018-08-27 2019-08-23 Selective deposition on silicon containing surfaces

Publications (2)

Publication Number Publication Date
TW202009319A TW202009319A (zh) 2020-03-01
TWI720597B true TWI720597B (zh) 2021-03-01

Family

ID=69586286

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130426A TWI720597B (zh) 2018-08-27 2019-08-26 在含矽表面上的選擇性沉積

Country Status (9)

Country Link
US (1) US11282710B2 (zh)
EP (1) EP3844799A4 (zh)
JP (2) JP7288501B2 (zh)
KR (1) KR102431745B1 (zh)
CN (1) CN112602169A (zh)
IL (1) IL280881A (zh)
SG (1) SG11202101696UA (zh)
TW (1) TWI720597B (zh)
WO (1) WO2020046746A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11515154B2 (en) 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
WO2022119860A1 (en) * 2020-12-01 2022-06-09 Versum Material Us, Llc Selective thermal atomic layer deposition

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201404601A (zh) * 2012-03-30 2014-02-01 Lintec Corp 阻氣膜層積體、電子裝置用元件以及電子裝置
CN103890918A (zh) * 2011-10-26 2014-06-25 国际商业机器公司 高选择性氮化物蚀刻工艺
TW201700768A (zh) * 2015-05-01 2017-01-01 應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
TW201809335A (zh) * 2016-06-08 2018-03-16 Asm Ip控股公司 金屬膜的選擇性沈積
CN108425100A (zh) * 2017-02-14 2018-08-21 朗姆研究公司 氧化硅的选择性沉积

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6187699A (ja) * 1984-10-05 1986-05-06 Nok Corp 生理活性物質の固定化方法
JP3592226B2 (ja) * 2000-10-06 2004-11-24 松下電器産業株式会社 機能性有機薄膜の製造方法
US6686017B2 (en) * 2001-02-28 2004-02-03 Matsushita Electric Industrial Co., Ltd. Optical recording film, method for manufacturing the same, optical recording medium, method for manufacturing the same, optical recording method, information recording/reproducing apparatus, information reproducing/recording method, computer system and video signal recording/reproducing system
US6641899B1 (en) * 2002-11-05 2003-11-04 International Business Machines Corporation Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
US7132678B2 (en) * 2003-03-21 2006-11-07 International Business Machines Corporation Electronic device including a self-assembled monolayer, and a method of fabricating the same
JP2008503893A (ja) * 2004-06-21 2008-02-07 ワヘニンヘン ユニバーシティー テーラーメイドで官能化されたケイ素及び/又はゲルマニウム表面
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP2013011465A (ja) * 2011-06-28 2013-01-17 Konica Minolta Advanced Layers Inc 修飾基導入基板の製造方法およびリガンド固定化基板の製造方法,修飾基導入基板およびリガンド固定化基板,ならびに,分子間相互作用検出方法
EP2824717B1 (en) * 2013-07-09 2016-12-28 Agfa-Gevaert A backsheet for photovoltaic modules
US20180374975A1 (en) * 2015-04-01 2018-12-27 The Board Of Regents Of The University Of Texas System Compositions for uv sequestration and methods of use
CN109075021B (zh) 2016-03-03 2023-09-05 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10907073B2 (en) 2017-01-11 2021-02-02 Fujimi Incorporated Polishing composition
US10519035B1 (en) * 2017-02-23 2019-12-31 Rhode Island Council On Postsecondary Education Covalent chemical surface modification of surfaces with available silicon or nitrogen
JP2018182021A (ja) * 2017-04-11 2018-11-15 ソニーセミコンダクタソリューションズ株式会社 撮像素子、積層型撮像素子及び固体撮像装置
WO2019136398A1 (en) * 2018-01-05 2019-07-11 Simpore Inc. Functionalized silicon nanomembranes and uses thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103890918A (zh) * 2011-10-26 2014-06-25 国际商业机器公司 高选择性氮化物蚀刻工艺
TW201404601A (zh) * 2012-03-30 2014-02-01 Lintec Corp 阻氣膜層積體、電子裝置用元件以及電子裝置
TW201700768A (zh) * 2015-05-01 2017-01-01 應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
TW201809335A (zh) * 2016-06-08 2018-03-16 Asm Ip控股公司 金屬膜的選擇性沈積
CN108425100A (zh) * 2017-02-14 2018-08-21 朗姆研究公司 氧化硅的选择性沉积

Also Published As

Publication number Publication date
TW202009319A (zh) 2020-03-01
JP2021536527A (ja) 2021-12-27
KR102431745B1 (ko) 2022-08-10
EP3844799A4 (en) 2022-05-25
SG11202101696UA (en) 2021-03-30
IL280881A (en) 2021-04-29
US11282710B2 (en) 2022-03-22
WO2020046746A1 (en) 2020-03-05
JP2023105023A (ja) 2023-07-28
KR20210035337A (ko) 2021-03-31
EP3844799A1 (en) 2021-07-07
JP7288501B2 (ja) 2023-06-07
US20200066539A1 (en) 2020-02-27
CN112602169A (zh) 2021-04-02

Similar Documents

Publication Publication Date Title
TWI720597B (zh) 在含矽表面上的選擇性沉積
JP6516797B2 (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
KR102185458B1 (ko) 선택적 퇴적
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
TW305058B (zh)
TWI343952B (en) Method for silicon based dielectric chemical vapor deposition
US11670512B2 (en) Selective deposition on silicon containing surfaces
TW201220394A (en) Low-temperature dielectric film formation by chemical vapor deposition
TWI786217B (zh) 增強選擇性沉積製程
JP2014506013A (ja) SiO2のインシトゥ気相表面活性化
KR20200017006A (ko) 실리콘계 유전체들 상에서의 선택적 증착을 위한 방법들
JP2011146711A5 (zh)
TW201816161A (zh) 透過自組裝單層形成而成的選擇性沉積
TW202124764A (zh) 氧自由基輔助的介電膜緻密化
KR20050028321A (ko) 성막 방법 및 열처리 장치
TW202021046A (zh) 形成具有嵌入式阻障層的穿孔之方法
TW201248728A (en) Methods for manufacturing high dielectric constant films
JP4224044B2 (ja) 半導体装置の製造方法
WO2013155775A1 (zh) 实现锗基mos器件有源区之间隔离的方法
TW202300685A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
Sato et al. In situ vapor phase surface activation of SiO 2