CN108425100A - 氧化硅的选择性沉积 - Google Patents

氧化硅的选择性沉积 Download PDF

Info

Publication number
CN108425100A
CN108425100A CN201810151668.4A CN201810151668A CN108425100A CN 108425100 A CN108425100 A CN 108425100A CN 201810151668 A CN201810151668 A CN 201810151668A CN 108425100 A CN108425100 A CN 108425100A
Authority
CN
China
Prior art keywords
ammonia
plasma
nitrogen
silicon nitride
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810151668.4A
Other languages
English (en)
Other versions
CN108425100B (zh
Inventor
大卫·查尔斯·史密斯
丹尼斯·M·豪斯曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN108425100A publication Critical patent/CN108425100A/zh
Application granted granted Critical
Publication of CN108425100B publication Critical patent/CN108425100B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Abstract

本发明涉及氧化硅的选择性沉积。本发明描述了用于相对于氮化硅表面选择性地在氧化硅表面上沉积氧化硅的方法和设备。方法涉及使用氨和/或氮等离子体预处理衬底表面,并且在热原子层沉积反应中使用氨基硅烷硅前体和氧化剂的交替脉冲选择性地在氧化硅表面上沉积氧化硅,而不在暴露的氮化硅表面上沉积氧化硅。

Description

氧化硅的选择性沉积
技术领域
本发明总体上涉及半导体领域,具体涉及氧化硅的选择性沉积。
背景技术
半导体器件制造包括微处理器、逻辑件和存储器件的制造。可以使用各种技术来制造这样的器件,包括自对准图案化,诸如双重图案化或四重图案化(quad patterning),间隙填充工艺和其他技术。一些工艺涉及形成包括氧化硅和氮化硅的结构。用于形成这种结构的常规技术可以受限于包括蚀刻和沉积的图案化技术。
发明内容
本发明提供了用于处理半导体衬底的方法和装置。一方面涉及一种选择性地在暴露的氧化硅表面上沉积氧化硅的方法,所述方法包括:提供具有所述暴露的氧化硅表面和暴露的氮化硅表面的衬底,所述暴露的氮化硅表面包含伯胺基团;将所述衬底暴露于氨基硅烷以将氨基硅烷吸附至所述暴露的氧化硅表面;以及执行热原子层沉积反应,所述反应包括将所述衬底暴露于氧化剂,由此所述热原子层沉积反应相对于所述暴露的氮化硅表面选择性地在所述暴露的氧化硅表面上形成氧化硅。
在一些实施方式中,所述方法还包括在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面;以及将所述未处理的氮化硅表面暴露于氨,并点燃等离子体持续介于约1秒和约10秒之间的持续时间,以形成包含伯胺基团的所述暴露的氮化硅表面。在一些实施方式中,所述等离子体使用介于约150W与约6000W之间的等离子体功率点燃。
在一些实施方式中,所述方法还包括提供所述衬底,沉积氮化硅以形成未处理的氮化硅表面,并且将所述未处理的氮化硅表面暴露于氮和氨的混合物并点燃等离子体持续介于约1秒和约10秒之间的持续时间,以形成包含伯胺基团的所述暴露的氮化硅表面。所述等离子体可以使用介于约150W与约6000W之间的等离子体功率点燃。在一些实施方式中,所述氮和氨的混合物中的氨的量小于约1体积%。在一些实施方式中,所述氮气和氨气的混合物包括介于约0.01和约0.1之间的氨气流率比氮气流率的流率比。在多种实施方式中,所述氨气流率介于约10sccm与约100sccm之间。
在一些实施方式中,所述方法还包括在大于约500℃的沉积温度下通过化学气相沉积形成包含伯胺基团的所述暴露的氮化硅表面。
在多种实施方式中,所述热原子层沉积反应在介于约25℃与约400℃之间的沉积温度下进行。
在多种实施方式中,在所述热原子层沉积反应期间,在选择性沉积所述氧化硅期间,将所述衬底容纳在具有介于约10mTorr与约10Torr之间的室压强的室中。
在多种实施方式中,将所述衬底暴露于所述氨基硅烷前体包括使所述氨基硅烷前体以介于约1000sccm和约5000sccm之间的流率流动。
在多种实施方式中,将所述衬底暴露于所述氧化剂包括使所述氧化剂以约1000sccm和约5000sccm之间的流率流动。
在多种实施方式中,所述氨基硅烷前体是单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷及其组合中的任一种。
所述氧化剂可以是臭氧、水、过氧化物及其组合中的任一种。
另一方面涉及一种用于处理半导体衬底的装置,所述装置包括:至少一个处理室,其包括用于保持衬底的基座;用于耦合至真空的至少一个出口;等离子体产生器;与一个或多个氨基硅烷气体源耦合的一个或多个处理气体入口;与一个或多个含氮气体源耦合的一个或多个处理气体入口;与一个或多个氧化剂气体源耦合的一个或多个处理气体入口;和用于控制所述装置中的操作的控制器,所述控制器包括用于以下操作的机器可读指令:将含氮气体引入所述处理室并点燃等离子体以在所述衬底上形成包含伯胺基团的暴露的氮化硅表面;引入氨基硅烷气体以将氨基硅烷吸附到衬底的暴露的氧化硅表面;以及执行热原子层沉积反应,包括引入氧化剂,由此所述热原子层沉积反应相对于暴露的氮化硅表面选择性地在暴露的氧化硅表面上形成氧化硅。
在一些实施方式中,所述一种或多种含氮源包括氨源和氮气源,并且所述含氮源包括氨和氮的混合物,由此氮和氨的混合物中的氨的量小于约1体积%。
在一些实施方式中,至少一个处理室包括用于使用含氮源和等离子体处理衬底的第一处理室和用于引入氨基硅烷源和氧化剂以形成氧化硅的第二处理室。
具体而言,本发明的一些方面可以阐述如下:
1.一种选择性地在暴露的氧化硅表面上沉积氧化硅的方法,所述方法包括:
提供具有所述暴露的氧化硅表面和暴露的氮化硅表面的衬底,所述暴露的氮化硅表面包含伯胺基团;
将所述衬底暴露于氨基硅烷以将氨基硅烷吸附至所述暴露的氧化硅表面;以及
执行热原子层沉积反应,所述反应包括将所述衬底暴露于氧化剂,由此所述热原子层沉积反应相对于所述暴露的氮化硅表面选择性地在所述暴露的氧化硅表面上形成氧化硅。
2.根据条款1所述的方法,其还包括在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面;以及将所述未处理的氮化硅表面暴露于氨,并点燃等离子体持续介于约1秒和约10秒之间的持续时间,以形成包含伯胺基团的所述暴露的氮化硅表面。
3.根据条款1所述的方法,其还包括在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面,并且将所述未处理的氮化硅表面暴露于氮和氨的混合物并点燃等离子体持续介于约1秒和约10秒之间的持续时间,以形成包含伯胺基团的所述暴露的氮化硅表面。
4.根据条款3所述的方法,其中,所述氮和氨的混合物中的氨的量小于约1体积%。
5.根据条款1-4中任一项所述的方法,其还包括在大于约500℃的沉积温度下通过化学气相沉积形成包含伯胺基团的所述暴露的氮化硅表面。
6.根据条款1-4中任一项所述的方法,其中所述热原子层沉积反应在介于约25℃与约400℃之间的沉积温度下进行。
7.根据条款1-4中任一项所述的方法,其中,在所述热原子层沉积反应期间,在选择性沉积所述氧化硅期间,将所述衬底容纳在具有介于约10mTorr与约10Torr之间的室压强的室中。
8.根据条款1-4中任一项所述的方法,其中将所述衬底暴露于所述氨基硅烷包括使所述氨基硅烷以介于约1000sccm和约5000sccm之间的流率流动。
9.根据条款1-4中任一项所述的方法,其中将所述衬底暴露于所述氧化剂包括使所述氧化剂以约1000sccm和约5000sccm之间的流率流动。
10.根据条款1-4中任一项所述的方法,其中所述氨基硅烷选自由单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷及其组合组成的组。
11.根据条款1-4中任一项所述的方法,其中所述氧化剂选自由臭氧、水、过氧化物及其组合组成的组。
12.根据条款2所述的方法,其中所述等离子体使用介于约150W与约6000W之间的等离子体功率点燃。
13.根据条款3所述的方法,其中所述等离子体使用介于约150W与约6000W之间的等离子体功率点燃。
14.根据条款3所述的方法,其中,所述氮和氨的混合物包括介于约0.01和约0.1之间的氨气流率比氮气流率的流率比。
15.根据条款14所述的方法,其中所述氨气流率介于约10sccm与约100sccm之间。
16.一种用于处理半导体衬底的装置,所述装置包括:
处理室,其包括用于保持衬底的基座;
用于耦合至真空的至少一个出口;
等离子体产生器;和
用于控制所述装置中的操作的控制器,所述控制器包括用于以下操作的机器可读指令:
使等离子体在所述处理室中在氨环境中产生;
在使所述等离子体产生之后,使氨基硅烷引入所述处理室;以及
使没有等离子体的氧化剂引入以通过热原子层沉积反应沉积氧化硅。
17.根据条款16所述的装置,其中所述控制器包括机器可读指令,所述机器可读指令用于在没有等离子体的情况下引入所述氧化剂期间将所述基座的温度设定为介于25℃与约400℃之间的温度。
18.一种用于处理半导体衬底的装置,所述装置包括:
处理室,其包括用于保持衬底的基座;
用于耦合至真空的至少一个出口;
等离子体产生器;和
用于控制所述装置中的操作的控制器,所述控制器包括用于以下操作的机器可读指令:
使氮和氨以混合物的形式引入至所述处理室以形成氮和氨环境;
使等离子体在所述氮和氨环境中产生;
在使所述等离子体产生之后,使氨基硅烷引入所述处理室;以及
在没有等离子体的情况下使氧化剂引入以通过热原子层沉积反应沉积氧化硅。
19.根据条款18所述的装置,其中用于使氮和氨以混合物的形式引入至所述处理室以形成氮和氨环境的所述机器可读指令包括用于使少于所述混合物的约1体积%的量的氨气引入的指令。
20.根据条款18所述的装置,其中用于使氮和氨以混合物的形式引入至所述处理室以形成氮和氨环境的所述机器可读指令包括用于使所述氨与所述氮以介于约0.01和约0.1之间的氨流率比氮流率的流率比引入。
这些和其它方面将在下面参照相关附图进行说明。
附图说明
图1是描述根据所公开的实施方式所述的方法的操作的工艺流程图。
图2A-2D是用于根据某些公开的实施方式描述的进行操作的衬底的示意图。
图3是显示根据某些公开的实施方式所述的方法中的循环的实施例的时序示意图。
图4是用于执行所公开的实施方式的示例性处理室的示意图。
图5是用于执行所公开的实施方式的示例性处理工具的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。在没有这些具体细节中的一些或所有的情形下可以实施所公开的实施方式。在其它情形下,未详细描述公知的处理操作,以避免不必要地模糊所公开的实施方式。虽然将结合具体的实施方式描述所公开的实施方式,但是应理解的是并不意在限制所公开的实施方式。
半导体制造工艺通常涉及形成包括硅、氧化硅和氮化硅的结构。例如,一些制造工艺涉及图案化技术以形成用于微处理器、逻辑和/或存储器件的结构。例如,多重图案化方法包括双重和四重图案化技术,以将光刻技术延伸超出其光学极限。用于多重图案化的现有技术可涉及诸如氧化硅之类的材料的沉积和蚀刻以形成间隔物作为用于图案化技术的掩模。然而,形成这种结构的现有技术通常涉及沉积,接着是选择性蚀刻技术。
同样,用于形成包括氧化硅的间隙填充的自对准触点和结构的工艺涉及对于衬底类型不具有选择性的沉积技术。一些当前的沉积方法最多导致共形沉积过程,或导致在衬底上的小特征中形成空隙的过程。
本发明提供了在暴露的氮化硅表面存在的情况下选择性地在氧化硅表面上沉积氧化硅的方法。通过相对于用于形成氧化硅的某些含硅前体和反应物调节氮化硅针对氧化硅表面的反应性,沉积技术对于相对于氮化硅沉积氧化硅是有选择性的。本文描述的技术涉及热原子层沉积(ALD)。也就是说,在多种实施方式中,含硅前体和氧化剂之间的反应以形成氧化硅是在不点燃等离子体的情况下进行的。
ALD是一种使用连续的自限性反应沉积薄材料层的技术。通常,ALD循环包括以下操作:输送和吸附至少一种反应物到衬底表面上,然后使被吸附的反应物与一种或多种反应物反应,以形成部分的膜层。举例而言,氧化硅沉积循环可包括以下的操作:(i)输送/吸附含硅前体,(ii)从室清扫硅前体,(iii)输送含氧反应物或者含氧前体,和(iv)从室清扫含氧反应物。
不像化学气相沉积(CVD)技术,ALD工艺使用表面介导的沉积反应以逐层地沉积膜。在ALD工艺的一个实施例中,包含表面活性位点群的衬底表面暴露于按剂量提供到容纳衬底的室的气相分布的第一前体,如含硅前体。该第一前体的分子被吸附在衬底表面,包含第一前体的化学吸附物质和/或物理吸附分子。应当理解的是,当如本文所述,化合物被吸附到衬底表面时,吸附层可以包含该化合物以及该化合物的衍生物。例如,含硅前体的吸附层可包含含硅前体以及含硅前体的衍生物。在第一前体投配之后,接着将室排空,以去除气相中剩余的第一前体的绝大部分或全部,使得主要或仅仅所吸附的物质剩余。在一些实现方式中,室可以不完全排空。例如,室可以排空,使得在气相中的第一前体的局部压强足够低,以减缓反应。将第二反应物(例如含氧反应物)引入到室,使得这些分子中的一些与吸附在表面上的第一前体反应。在一些工艺中,第二反应物与所吸附的第一前体立即反应。然后可将室再次排空以去除未结合的第二反应物分子。如上所述,在一些实施例中,室可以不被完全排空。附加的ALD循环可被用于构建膜厚。
在某些实施方式中,ALD第一前体的剂量部分地充满(saturate)衬底的表面。在一些实施方式中,在使前体接触衬底以均匀地充满表面之前,结束ALD循环的投配阶段。典型地,在这时将前体流关断或转移,并且仅仅清扫气体流动。通过在这种亚饱和状态下工作,ALD工艺减少了循环时间并提高了吞吐量。但是,由于前体吸附不是饱和受限的,因此被吸附的前体浓度在整个衬底表面可以略有变化。在亚饱和状态操作ALD工艺的实施例在2013年10月23日提交的、名称为“SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMALFILM DEPOSITION,”的美国专利申请No.14/061587(现在的美国专利No.9,355,839)中被提供,该专利文件通过引用整体并入本发明。
如所描述的,在一些实现方式中,所述ALD方法包含等离子体活化。如本文所述,本文所述的ALD方法和装置可以是共形膜沉积(CFD)法,其概括地描述在2011年4月11日提交的名称为“PLASMA ACTIVATED CONFORMAL FILM DEPOSITION”的美国专利申请No.13/084399(现在的美国专利No.8728956)和2011年4月11日提交的名称为“SILICON NITRIDEFILMS AND METHODS”的美国专利申请No.13/084305中,这些专利文件通过引用整体并入本文。
图1提供了描绘根据某些公开实施例执行的方法的示例性操作的工艺流程图。在操作101中,将具有暴露的氧化硅表面和暴露的氮化硅表面的衬底提供到处理室。处理室可以被设定为介于约10毫托(mTorr)与约10托(Torr)之间或约1托与约3托之间的室压强。如本文所述,可以在整个操作102-114中使用这样的室压强。衬底可被加热到介于约25℃与约400℃之间,或介于约200℃与约300℃之间的衬底温度。应该理解的是,如本文所使用的衬底温度是指在保持衬底的基座上所设定的温度,并且在一些实施例中,在衬底被提供到处理室的基座上时在处理衬底前衬底可以被加热到所期望的衬底温度。如本文所述,在整个操作102-114中,衬底温度可以相同。
所述衬底可以是硅晶片,例如,200mm的晶片,300mm的晶片或450mm的晶片,包括具有一个或更多个材料层的晶片,该材料例如沉积在该晶片上的电介质、导电材料或半导电材料。下层的非限制性实施例包括介电层和导电层,例如,硅氧化物、硅氮化物、硅碳化物、金属氧化物、金属氮化物、金属碳化物和金属层。在一些实施方式中,衬底包括氧化硅和氮化硅,如图2A所示。图2A示出了具有暴露的氧化硅表面203和暴露的氮化硅表面202的衬底200。氧化硅表面包括羟基端基,其可以由环境空气(H2O和O2)或者由例如在H2O中的1%氢氟酸(HF)之类的温和的蚀刻剂形成。氧化硅上的羟基端基也可以因为用于形成氧化硅203材料的技术而形成。氮化硅表面202包括Si-NH二聚体,其中氮原子键合到相邻的氮原子。如果使用化学气相沉积在低温(例如低于500℃)下沉积氮化硅材料,则可以形成这样的表面。然而,这样的表面可能易于与用于沉积氧化硅的含硅前体反应。
因此,返回到图1,在操作104中,将衬底任选地暴露于氨和/或氮等离子体。如图2B所示,当衬底暴露于氨和/或氮等离子体时,将Si-NH二聚体转化成包括单-NHx基团的伯胺基团,其不易与在某些公开的实施方式中所用的含硅前体反应。本文所提及的伯胺基团定义为其中氮键合硅与氢并且氮原子不与另一个氮原子键合的原子的基团。氮化硅衬底表面上的伯胺基团可以具有结构Si-NH2。这样的基团不容易与如本文所述的含硅前体反应,因为氮化硅表面上的Si-N键与含硅前体的Si-N键热力学相同或至少相似。这防止氮化硅表面202与含硅前体反应,由此防止氧化硅在该表面上沉积。
如果具有氧化硅和氮化硅的衬底已经具有氮化硅表面,其中在该表面上具有单个-NHx基团,则图1的操作104是任选的。例如,如果先前使用CVD在大于500℃的高温下沉积氮化硅,则操作104是任选的,因为使用该技术并且在这些高温下沉积的氮化硅更可能在氮化硅材料的表面上产生单个NH基团,而不是如图2A所示形成二聚体。
对于其中执行操作104的实施方式,衬底可暴露于氨和/或氮等离子体持续约1秒和约10秒之间的持续时间。操作104可以在介于约25℃与约400℃之间或约200℃与约300℃之间的衬底温度下进行。应该理解的是,如本文所使用的衬底温度是指在保持衬底的基座上所设定的温度,并且在一些实施方式中,衬底在被提供到处理室在基座上时在处理该衬底前可以被加热到期望的衬底温度。操作104期间的衬底温度可以与在如本文关于图1所描述的其它操作期间的衬底温度相同。
操作104期间的室压强可以在约10毫托与约10托之间,或在约1托与约3托之间。在操作104期间的室压强可以与如本文关于图1所描述的其它操作期间的室压强相同。
在多种实施方式中,操作104可以涉及仅暴露于氨等离子体。例如,氨气可以被输送到处理室,并且等离子体可以被点燃。
可以提供等离子体能量以制备氮化硅表面,从而在氮化硅的表面上形成单胺基团。可以提供等离子体能量以将氨激活成离子和自由基以及其他活性物质,其与氮化硅胺二聚体反应形成单胺基团。在多种实施方式中,等离子体是原位等离子体,以使得等离子体在室中的衬底表面的正上方形成。原位等离子体可以以介于约0.2122瓦/平方厘米至约2.122瓦/平方厘米之间的每衬底面积的功率点燃。例如,对于处理4个300毫米晶片的室,功率范围可为介于约150W至约6000W之间、或者约600W至约6000W之间、或者介于约800W至约4000W之间。例如,等离子体可通过使用两个电容耦合板施加射频(RF)场给气体而产生。这些板之间的气体通过RF场进行的电离点燃等离子体,从而在等离子体放电区域产生自由电子。这些电子被RF场加速,并且会与气相反应物分子发生碰撞。这些电子与反应物分子的碰撞可形成参与沉积过程的自由基物质。应该理解的是,RF场可以经由任何合适的电极耦合。在多种实施方式中,使用高频等离子体,其具有至少约13.56MHz,或至少约27MHz,或至少约40MHz,或至少约60MHz的频率。在一些实施方式中,可以使用基于微波的等离子体。电极的非限制性实例可以包括处理气体分配喷头和衬底支撑基座。应当理解,等离子体可以通过与电容耦合RF场到气体不同的一种或多种合适的方法形成。在一些实施方式中,等离子体是远程等离子体,使得第二反应物在室上游的远程等离子体产生器中点燃,然后输送到容纳衬底的室内。氨气可以以介于约100sccm与约10000sccm之间,或介于约5000sccm与约7500sccm之间的流率输送至处理室。在一些实施方式中,氨等离子体可以原位产生。在一些实施方式中,可以在远程等离子体产生器中产生氨等离子体。
在多种实施方式中,操作104可涉及暴露于由氨气和氮气的混合物产生的等离子体。这里,等离子体也可以是如上所述的原位或远程等离子体。例如,氨气和氮气可以作为混合物一起或者分开输送到处理室,由此气体可以被混合,然后等离子体可以被点燃。原位等离子体可以以介于约0.2122瓦/平方厘米至约2.122瓦/平方厘米之间的每衬底面积的功率点燃。例如,对于处理4个300毫米晶片的室,功率范围可为介于约150W至约6000W之间、或者约600W至约6000W之间、或者介于约800W至约4000W之间。在多种实施方式中,使用高频等离子体,其具有至少约13.56MHz,或至少约27MHz,或至少约40MHz,或至少约60MHz的频率。在一些实施方式中,可以使用基于微波的等离子体。
输送到等离子体源的氨气和氮气的混合物可以变化。例如,氨气与氮气的流率比可以在约0.01和约0.1之间。氨气可以以介于约10sccm和约100sccm之间的流率输送至处理室。氮气可以以介于约100sccm和约10000sccm之间的流率输送至处理室。在一些实施方案中,氨气和氮气的混合物包含小于约1体积%的氨。
在一些实施方式中,载气可在操作104期间流动。载气可为惰性气体,诸如氦气、氩气、氖气及其组合。载气可以被转向(divert),使得载气用于将氨和/或氮气输送到处理室。在一些实施方式中,载气可以被提供以辅助处理室的压力和/或温度控制。在一些实施方式中,载气用于确保更快速地将气体输送到处理室。
在操作106中,将衬底暴露于氨基硅烷以吸附到衬底表面上。这里所指的氨基硅烷包括氨基硅烷,如双(叔丁基)氨基硅烷和甲硅烷基胺,如三甲硅烷基胺。在一些实施方式中,氨基硅烷分子可以吸附到氧化硅表面和氮化硅表面两者上,但是如下面关于操作110所描述的,氧化硅选择性地形成在氧化硅表面上而不是氮化硅表面上。在多种实施方式中,如下面关于操作108和112所描述的后续吹扫操作可以从氮化硅表面去除吸附的氨基硅烷。
在一些实施方式中,在衬底表面上的吸附可以在衬底表面上形成氨基硅烷的薄层。该薄层可以小于单层,并且可以具有介于约0.2埃与约之间的厚度。
在操作106期间,惰性气体可以流动。惰性气体可以是任何惰性气体,例如上面关于操作104所列出的那些。可以提供惰性气体以辅助处理室的压力和/或温度控制、液体反应物的蒸发、更快速的反应物输送和/或作为用于从处理室和/或处理室管道去除处理气体的吹扫气体。
操作106中使用的氨基硅烷具有如下的化学式:
其中x是介于1和3之间且包括1和3的整数,x+y=4且R1和R2中的每一个是氢或烷基配体。例如,在一些实施方式中,氨基硅烷是单氨基硅烷,其具有以下化学结构:
H3Si-NR1R2
其中R1和R2各自是氢或烷基配体。
在一些实施方式中,氨基硅烷可以是单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷及其组合中的任一种。这些示例的化学结构如下:
如上所述,R1和R2可以是任何烷基配体。在一个示例中,氨基硅烷可以是N'N'-二甲基硅烷二胺,其具有以下结构:
例如原硅酸四乙酯(TEOS)之类的硅醇盐、卤化硅和硅烷(SiH4)不被用作根据公开的实施方式的用于沉积氧化硅的硅前体,因为这些前体可能与氮化硅膜反应,并且因此可能无法在氮化硅存在下选择性地将氧化硅沉积在氧化硅上。
使用在氨基硅烷中存在的Si-N键是热力学有利于如本文所述的使用氨基硅烷形成Si-O键。此外,由于氨基硅烷中的Si-N键是与衬底上存在的氮化硅膜的表面上的Si-N键等价的键,所以氨基硅烷没有与氮化硅膜反应的驱动力,由此防止在氮化硅膜上形成氧化硅。
在操作108中,任选地清除处理室以除去未吸附到衬底表面上的氨基硅烷。吹扫室会涉及使吹扫气体或清扫气体流动,吹扫气体或清扫气体可以是用于其它操作的载气,或者可以是不同的气体。在一些实施方式中,吹扫会涉及排空室。吹扫气体的示例包括氩气、氮气、氢气和氦气。在一些实施方式中,操作108可以包括用于排空处理室的一个或多个排空子阶段。替代地,应理解,在一些实施方式中可以省略操作108。操作108可以具有任何合适的持续时间,诸如介于约0秒和约60秒之间的持续时间,例如约0.01秒的持续时间。在一些实施方式中,增加一种或多种吹扫气体的流率可以减少操作108的持续时间。例如,吹扫气体流率可以根据处理室的各种反应物热力学特性和/或几何特性和/或用于改变操作108的持续时间的处理室管道系统调节。在一个非限制性示例中,吹扫阶段的持续时间可以通过调节吹扫气体流率来调节。这可以减少沉积循环时间,这可以提高衬底的产量。在吹扫之后,氨基硅烷分子保持吸附在衬底表面上。在一些实施方案中,氨基硅烷前体以介于约1000sccm和约5000sccm之间的流率流入容纳衬底的室。
在操作110中,将衬底暴露于没有等离子体的氧化剂,以在氧化硅表面上选择性地形成氧化硅。在一些实施方式中,当将氧化剂提供给衬底时,所吸附的前体与氧化剂反应以在氧化硅表面上形成氧化硅。相比之下,与氨基硅烷具有相同的硅-氮键的氮化硅表面完全不反应,或者没有一样迅速地反应,因此实现了选择性沉积。图2C显示了来自图2B的衬底的一个示例,其中单氨基硅烷与显示出如图2B所示的硅烷醇端基的氧化硅表面的表面反应。硅烷醇端基与单氨基硅烷之间的反应在热力学上有利于形成Si-O-Si键,并且由此与氧化剂的反应形成如图2D所示的氧化硅,其也形成硅烷醇端基。随后如下进一步所述,这些端基可以在随后的沉积循环中进一步沉积。
回到图1,在操作110中,氧化剂可以是以下气体中的任何一种或多种:氨基硅烷和氧化剂之间的反应是热反应,使得等离子体不是驱动反应所必需的。因此,在公开的实施方式中,氧和一氧化二氮不用作氧化剂,因为使用氨基硅烷和氧或一氧化二氮形成氧化硅的反应涉及点燃等离子体。在一些实施方式中,氧化剂流入容纳衬底的室中,流率介于约1000sccm与约5000sccm之间。
在操作112中,室可选地被清除以除去任何残留的副产物。操作112可以使用上面关于操作108所述的任何条件来清除。
在操作114中,确定是否已沉积膜的所需的厚度。如果没有,则重复操作106-112足够的循环以相对于氮化硅选择性地在氧化硅上沉积所需的氧化硅膜的厚度。任何适当数量的沉积循环可被包含在ALD工艺中,以沉积氧化硅的所需的膜厚度。例如,大约50个沉积循环可被执行以使用所公开的实施方式在衬底上沉积膜。
图3是根据所公开的实施方式的示例性脉冲的时序图。图3示出了在一个示例性的ALD工艺300中针对各种工艺参数的阶段,如针对氨和/或氮气流、载气或吹扫气流、氨基硅烷前体流、氧化剂流和等离子体状态的阶段。线相应地表示所述流接通和关断以及等离子体相应地被接通和关断的时间。各种公开的实施方式取决于工艺参数,所述工艺参数包括但不限于惰性和反应物物质的流率、氮和/或氨预处理气体的流率、预处理期间的等离子体条件、衬底温度和处理室压力。
工艺300包括两个沉积循环:沉积循环310A和沉积循环310B。沉积循环310A包括氮化硅制备阶段301A,其中接通氨/氮(NH3/N2)流并接通等离子体。载气流也可以接通,而氨基硅烷前体和氧化剂流关断。该阶段可以对应于图1的操作104。在氮化硅表面制备阶段301A之后,沉积循环310A包括氨基硅烷前体暴露阶段357A,其中载气继续流动,氨基硅烷前体气流接通,氧化剂流保持关断,等离子体关断,NH3/N2气流关断。该阶段可以对应于图1的操作106。在吹扫阶段359A期间,载气流继续流动以清除保留在气相中的过量的氨基硅烷前体,而氨基硅烷前体、氧化剂和NH3/N2流被关断,并且等离子被关断。这可以对应于图1的操作108。在氧化剂暴露阶段361A中,使载气流动并且氧化剂流被接通,而氨基硅烷前体流和NH3/N2流被关断。在此阶段同样关断等离子体。该阶段可对应于图1的操作110。吹扫阶段363A包括载气流,而氨基硅烷前体流、氧化剂流和NH3/N2流被关断并且等离子体被关断。这可以对应于图1的操作112。沉积循环310A在沉积循环310B中重复,沉积循环310B包括氨基硅烷前体暴露阶段357B、吹扫阶段359B、氧化剂暴露阶段361B和吹扫阶段363B,其中每一者可涉及与氨基硅烷前体暴露阶段357A、吹扫阶段359A、氧化剂暴露阶段361A和吹扫阶段363A的气体流和等离子体状态相同的气体流和等离子体状态。
装置
图4绘出了具有用于保持低压环境的处理室主体402的原子层沉积(ALD)处理站400的一实施方式的示意图。多个ALD处理站400可以包含在通常低压处理工具环境中。例如,图5绘出了多站式处理工具500的一实施方式。在一些实施方式中,ALD处理站400的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器450以编程方式调节。
ALD处理站400与反应物输送系统401a流体连通,以将处理气体输送至分配喷头406。反应物输送系统401a包含混合容器404,混合容器404用于混合和/或调节处理气体以输送至喷头406,处理气体如氨基硅烷前体气体、或氧化剂气体(例如臭氧)、或氨气和/或氮气。一个或一个以上的混合容器入口阀420可以对处理气体导入至混合容器404进行控制。氮等离子体和/或氨等离子体也可被输送到喷头406或可在ALD处理站400中产生。
举例而言,图4的实施方式包含汽化点403,汽化点403用于汽化将供应至混合容器404的液体反应物。在一些实施方式中,汽化点403可以是加热的蒸发器。从这样的蒸发器产生的饱和的反应物蒸气会在下游输送管道凝结。不兼容气体暴露至凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方式中,汽化点403下游的输送管道可以被热追踪。在一些实施例中,混合容器304也可以被热追踪。在一个非限制性示例中,汽化点403下游的管道具有增大的温度分布,在混合容器404处从约100℃延伸至约150℃。
在一些实施方式中,液体前体或者液体反应物可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载体气体流中。在一个实施方式中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点403下游的管道长度。在一个方案中,液体喷射器可以直接装载到混合容器404。在另一个方案中,液体喷射器可以直接装载到喷头406。
在一些实施方式中,可以在汽化点403上游设置液体流控制器(LFC)来控制用于汽化并输送至处理站400的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以采取一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方式中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头406朝衬底412分配处理气体。在图4所示的实施方式中,衬底412位于喷头406下方,并且示出为安置在基座408上。喷头406可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底412。
在一些实施方式中,基座408可以升高或降低以暴露衬底412给衬底412和喷头406之间的体积。应理解的是,在一些实施方式中,基座高度可以经由合适的计算机控制器450通过编程方式进行调节。
在另一种情况下,在等离子体被点燃的实施方式中,调节基座408的高度可以使得等离子体密度在过程中的等离子体活化循环期间内改变。在处理阶段结束时,基座408可以在另一衬底传送阶段被降低以使得衬底412能从基座408移走。
在一些实施方式中,基座408可通过加热器410进行温度控制。在一些实施方式中,基座408可被加热到至少约250℃的温度,或者在一些实施方式中,小于约300℃的温度,例如,如公开的实施方式中所述,在沉积氮化硅膜的过程中,被加热到约250℃。在一些实施方式中,基座设定在约50℃与约300℃之间的温度下,例如在约200℃与约275℃之间的温度下。在一些实施方式中,基座设定在约50℃和约300℃之间的温度下。在一些实施方式中,基座设定在约200℃和约275℃之间的温度下。
此外,在一些实施方式中,对于处理站400的压力控制可以由蝶形阀418提供。如在图4的实施方式中所示,蝶形阀418对由下游真空泵(未示出)提供的真空进行调节。然而,在一些实施方式中,对处理站400的压力控制还可以通过改变引入至处理站400的一种或多种气体的流率来调节。
在一些实施方式中,喷头406的位置可以相对于基座408调节以改变衬底412和喷头406之间的体积。此外,应当理解的是,基座408和/或喷头406的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方式中,基座408可包含用于旋转衬底412的方位的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器450以编程方式执行。
在如上所述可以使用等离子体的一些实施方式中,喷头406和基座408电连接射频(RF)功率源414和匹配网络416来对等离子体提供功率。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源414和匹配网络416可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例为约150W至约6000W。在氧化硅上相对于氮化硅选择性沉积氧化硅之前,在处理氮化硅表面期间可以使用等离子体。RF功率源414可以提供任何适当频率的RF功率。在一些实施方式中,RF功率源414可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可以包含,但不限于,介于0kHz和500kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,例如,或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器450的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含用于设置惰性气体和/或氨和/或氮反应物气体的流率的指令,用于设定载气(例如氩)的流率的指令,用于点燃等离子体的指令以及用于第一配方阶段的时间延迟指令。第二配方阶段可以包括用于设定惰性气体和/或氨基硅烷硅前体气体的流率的指令、用于设定载气(诸如氩气)的流率的指令;以及用于第二配方阶段的时延指令。接下来的第三配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第三配方阶段的时延指令。第四配方阶段可以包含用于调节氧化剂气体(例如臭氧)的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时延指令。随后的第五配方阶段可以包含用于设置或停止惰性气体或者反应物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第五配方阶段的时延指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。在一些实施方式中,控制器450可以包括下面关于图5的系统控制器550所描述的任何特征。
如上所述,一个或一个以上的处理站可以包含在多站处理工具中。图5示出了多站式处理工具500的实施方式的概要视图,其具有入站装载锁502和出站装载锁504,其一者或者两者可以包含远程等离子体源。处于大气压的机械手506被配置为将晶片从通过舱508装载的盒经由大气端口510移动至入站装载锁502内。晶片由机械手506放置在入站装载锁502中的基座512上,关闭大气端口510,且抽空装载锁。当入站装载锁502包含远程等离子体源时,晶片在被引入处理室514之前,可以暴露至装载锁中的远程等离子体处理以处理氮化硅表面。此外,晶片另外也可以在入站装载锁502中加热,例如以移除湿气和吸附的气体。接下来,通向处理室514的室传输端口516被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图5中绘出的实施方式包含装载锁,但应该理解的是,在一些实施方式中,可以使衬底直接进入处理站。
绘出的处理室514包含4个处理站,图5所示的实施方式中编号为1至4。每个站具有加热的基座(对于站1示出为518)和气体管线入口。应该理解的是,在一些实施方式中,每个处理站可以具有不同或者多个用途。例如,在一些实施方式中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。附加地或替代地,在一些实施方式中,处理室514可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。尽管绘出的处理室514包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方式中,处理室可以具有5个或5个以上的站,而在其它实施方式中,处理室可以具有3个或者更少的站。
图5绘出了用于在处理室514内传输晶片的晶片搬运系统590的实施方式。在一些实施方式中,晶片搬运系统590可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图5还绘出了采用来控制处理工具500的工艺条件和硬件状态的系统控制器550的实施方式。系统控制器550可以包含一个或多个存储器设备556、一个或多个海量存储设备554和一个或多个处理器552。处理器552可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,系统控制器550控制处理工具500的所有活动。系统控制器550执行存储在海量存储设备554、载入存储器设备556、并由处理器552执行的系统控制软件558。可替代地,控制逻辑可以在控制器550中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件558可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具500执行的特定处理的其它参数的指令。系统控制软件558可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件558可以以任何适当的计算机可读编程语言来编码。
在一些实施方式中,系统控制软件558可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中可以采用与系统控制器550关联的、存储在海量存储设备554和/或存储器设备556的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座518,并控制衬底和处理工具500的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,如本文所述的氨基硅烷气体、和氧化剂气体、氨、氮气、载气和/或吹扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方式设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方式保持反应室内的压强的代码。
在一些实施方式中,可以存在与系统控制器550相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器550调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器550的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具500的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器550可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方式操作膜叠层的原位沉积。
系统控制器550将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方式所述的方法。包含用于控制根据所公开的实施方式的处理操作的指令的机器可读的介质可以耦合到系统控制器550。
在一些实现方式中,系统控制器550是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器550可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器550可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器550的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器550可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器550可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器550接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器550被配置成连接或控制该工具类型。因此,如上所述,系统控制器550可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包含等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器550可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
用于执行本文公开的方法的适当装置在2011年4月11日提交的名称为“等离子体激活的共形膜沉积(PLASMA ACTIVATED CONFORMAL FILM DEPOSITION)”的美国专利申请No.13/084399(现在的美国专利No.8,728,956);2011年4月11日提交的名称为“氮化硅膜和方法(SILICON NITRIDE FILMS AND METHODS)”的美国专利申请No.13/084305中进一步讨论并说明,这些专利中的每个整体并入本文。
本文所述的装置/工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下操作中的一些或所有,每个操作启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然上述实施例已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方式的过程、系统和装置的许多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节。

Claims (10)

1.一种选择性地在暴露的氧化硅表面上沉积氧化硅的方法,所述方法包括:
提供具有所述暴露的氧化硅表面和暴露的氮化硅表面的衬底,所述暴露的氮化硅表面包含伯胺基团;
将所述衬底暴露于氨基硅烷以将氨基硅烷吸附至所述暴露的氧化硅表面;以及
执行热原子层沉积反应,所述反应包括将所述衬底暴露于氧化剂,由此所述热原子层沉积反应相对于所述暴露的氮化硅表面选择性地在所述暴露的氧化硅表面上形成氧化硅。
2.根据权利要求1所述的方法,其还包括在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面;以及将所述未处理的氮化硅表面暴露于氨,并点燃等离子体持续介于约1秒和约10秒之间的持续时间,以形成包含伯胺基团的所述暴露的氮化硅表面。
3.根据权利要求1所述的方法,其还包括在提供所述衬底之前,沉积氮化硅以形成未处理的氮化硅表面,并且将所述未处理的氮化硅表面暴露于氮和氨的混合物并点燃等离子体持续介于约1秒和约10秒之间的持续时间,以形成包含伯胺基团的所述暴露的氮化硅表面。
4.根据权利要求3所述的方法,其中,所述氮和氨的混合物中的氨的量小于约1体积%。
5.根据权利要求3所述的方法,其中,所述氮和氨的混合物包括介于约0.01和约0.1之间的氨气流率比氮气流率的流率比。
6.一种用于处理半导体衬底的装置,所述装置包括:
处理室,其包括用于保持衬底的基座;
用于耦合至真空的至少一个出口;
等离子体产生器;和
用于控制所述装置中的操作的控制器,所述控制器包括用于以下操作的机器可读指令:
使等离子体在所述处理室中在氨环境中产生;
在使所述等离子体产生之后,使氨基硅烷引入所述处理室;以及
使没有等离子体的氧化剂引入以通过热原子层沉积反应沉积氧化硅。
7.根据权利要求6所述的装置,其中所述控制器包括机器可读指令,所述机器可读指令用于在没有等离子体的情况下引入所述氧化剂期间将所述基座的温度设定为介于25℃与约400℃之间的温度。
8.一种用于处理半导体衬底的装置,所述装置包括:
处理室,其包括用于保持衬底的基座;
用于耦合至真空的至少一个出口;
等离子体产生器;和
用于控制所述装置中的操作的控制器,所述控制器包括用于以下操作的机器可读指令:
使氮和氨以混合物的形式引入至所述处理室以形成氮和氨环境;
使等离子体在所述氮和氨环境中产生;
在使所述等离子体产生之后,使氨基硅烷引入所述处理室;以及
在没有等离子体的情况下使氧化剂引入以通过热原子层沉积反应沉积氧化硅。
9.根据权利要求8所述的装置,其中用于使氮和氨以混合物的形式引入至所述处理室以形成氮和氨环境的所述机器可读指令包括用于使少于所述混合物的约1体积%的量的氨气引入的指令。
10.根据权利要求18所述的装置,其中用于使氮和氨以混合物的形式引入至所述处理室以形成氮和氨环境的所述机器可读指令包括用于使所述氨与所述氮以介于约0.01和约0.1之间的氨流率比氮流率的流率比引入。
CN201810151668.4A 2017-02-14 2018-02-14 氧化硅的选择性沉积 Active CN108425100B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/432,634 2017-02-14
US15/432,634 US10176984B2 (en) 2017-02-14 2017-02-14 Selective deposition of silicon oxide

Publications (2)

Publication Number Publication Date
CN108425100A true CN108425100A (zh) 2018-08-21
CN108425100B CN108425100B (zh) 2022-04-15

Family

ID=63105458

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810151668.4A Active CN108425100B (zh) 2017-02-14 2018-02-14 氧化硅的选择性沉积

Country Status (6)

Country Link
US (3) US10176984B2 (zh)
JP (1) JP2018152554A (zh)
KR (2) KR102424906B1 (zh)
CN (1) CN108425100B (zh)
SG (1) SG10201801141TA (zh)
TW (1) TW201840883A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111593329A (zh) * 2019-02-20 2020-08-28 Asm Ip私人控股有限公司 包括处理步骤的循环沉积方法及用于其的装置
TWI720597B (zh) * 2018-08-27 2021-03-01 美商慧盛材料美國責任有限公司 在含矽表面上的選擇性沉積
CN113136561A (zh) * 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 沉积氮化硅层的方法、该方法形成的结构以及执行系统
CN113330141A (zh) * 2019-01-24 2021-08-31 应用材料公司 沉积氮化硅的方法
CN113423864A (zh) * 2019-02-14 2021-09-21 恩特格里斯公司 氮化硅的选择性沉积
CN112593212B (zh) * 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
JP7073924B2 (ja) * 2018-06-06 2022-05-24 東京エレクトロン株式会社 原子層成長法を用いて基板上に薄膜を成膜する方法、または装置
US10643846B2 (en) 2018-06-28 2020-05-05 Lam Research Corporation Selective growth of metal-containing hardmask thin films
JP7101551B2 (ja) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
JP2020136677A (ja) * 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP6860605B2 (ja) * 2019-03-18 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7186909B2 (ja) * 2019-03-18 2022-12-09 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7407521B2 (ja) * 2019-04-26 2024-01-04 東京エレクトロン株式会社 成膜方法及び成膜装置
KR20200143254A (ko) * 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7023905B2 (ja) * 2019-08-30 2022-02-22 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP2021040060A (ja) * 2019-09-04 2021-03-11 株式会社Kokusai Electric 半導体装置の製造方法、基板処理システム、およびプログラム
JP7227122B2 (ja) * 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7072012B2 (ja) 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
KR20220107635A (ko) * 2021-01-25 2022-08-02 에스케이하이닉스 주식회사 선택적 영역 증착 방법 및 이를 적용한 전자 소자의 제조 방법
US20220270870A1 (en) * 2021-02-12 2022-08-25 Applied Materials, Inc. Deposition of silicon-based dielectric films
TW202248443A (zh) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 選擇性沉積的表面處理

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081104A1 (en) * 2006-09-28 2008-04-03 Kazuhide Hasebe Film formation method and apparatus for forming silicon oxide film
CN101648964A (zh) * 2008-08-12 2010-02-17 气体产品与化学公司 用于沉积含硅薄膜的前体及其制备和使用方法
US20150299848A1 (en) * 2014-04-16 2015-10-22 Asm Ip Holding B.V. Dual selective deposition
US20160099143A1 (en) * 2014-10-03 2016-04-07 Applied Materials, Inc. High Temperature Silicon Oxide Atomic Layer Deposition Technology
US20160126106A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Selective growth method and substrate processing apparatus
WO2016209570A1 (en) * 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4539061A (en) 1983-09-07 1985-09-03 Yeda Research And Development Co., Ltd. Process for the production of built-up films by the stepwise adsorption of individual monolayers
EP0559119B1 (en) 1992-03-02 2000-10-11 Matsushita Electric Industrial Co., Ltd. Chemically adsorbed film and method of manufacturing the same
US6423582B1 (en) * 1999-02-25 2002-07-23 Micron Technology, Inc. Use of DAR coating to modulate the efficiency of laser fuse blows
WO2003026019A1 (fr) 2001-09-12 2003-03-27 Nec Corporation Dispositif a semi-conducteurs et procede de production correspondant
US20060178019A1 (en) 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US6846752B2 (en) 2003-06-18 2005-01-25 Intel Corporation Methods and devices for the suppression of copper hillock formation
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
EP1905072A4 (en) * 2005-05-18 2010-11-03 Intermolecular Inc SUBSTRATES WITH A CAPS LAYER ON ELECTRICALLY CONDUCTIVE REGIONS
US20110178092A1 (en) 2005-06-22 2011-07-21 Akbar Ali HIV-1 Protease Inhibitors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US20080032064A1 (en) 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080242097A1 (en) 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
US7858525B2 (en) 2007-03-30 2010-12-28 Intel Corporation Fluorine-free precursors and methods for the deposition of conformal conductive films for nanointerconnect seed and fill
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2011087698A2 (en) 2009-12-22 2011-07-21 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP2011216862A (ja) 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8357614B2 (en) 2010-04-19 2013-01-22 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Ruthenium-containing precursors for CVD and ALD
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
WO2012112553A1 (en) 2011-02-14 2012-08-23 Dionex Corporation Nanometer size chemical modified materials and uses
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
US8664126B2 (en) 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
CN104284997B (zh) 2012-03-09 2016-08-17 气体产品与化学公司 在薄膜晶体管器件上制备含硅膜的方法
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9041125B2 (en) * 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
DE112013007056T5 (de) 2013-06-27 2016-03-17 Intel Corporation Nicht lithographisch strukturierte Ausrichtungsunterstützungsschichten für gezielte Selbstanordnung
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP2015109192A (ja) * 2013-12-04 2015-06-11 株式会社ジャパンディスプレイ 有機エレクトロルミネッセンス表示装置
US9076651B1 (en) 2013-12-20 2015-07-07 Intermolecular, Inc. Gate stacks and ohmic contacts for SiC devices
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
WO2015131160A1 (en) 2014-02-28 2015-09-03 Functionalize, Inc. Nano or macro material functionalization and self assembled construction mediated by tris(trimethylsilyl)silane
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
JP6604596B2 (ja) 2014-09-26 2019-11-13 インテル・コーポレーション 半導体デバイス用の選択的ゲートスペーサ
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9553100B2 (en) * 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
KR102185458B1 (ko) * 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
JP2018512504A (ja) 2015-02-26 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子膜を用いた選択的誘電体堆積のための方法
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
CN113936994A (zh) 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9859128B2 (en) * 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080081104A1 (en) * 2006-09-28 2008-04-03 Kazuhide Hasebe Film formation method and apparatus for forming silicon oxide film
CN101648964A (zh) * 2008-08-12 2010-02-17 气体产品与化学公司 用于沉积含硅薄膜的前体及其制备和使用方法
US20150299848A1 (en) * 2014-04-16 2015-10-22 Asm Ip Holding B.V. Dual selective deposition
US20160099143A1 (en) * 2014-10-03 2016-04-07 Applied Materials, Inc. High Temperature Silicon Oxide Atomic Layer Deposition Technology
US20160126106A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Selective growth method and substrate processing apparatus
WO2016209570A1 (en) * 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films
US20170004974A1 (en) * 2015-06-26 2017-01-05 Applied Materials, Inc. Selective deposition of silicon oxide films

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI720597B (zh) * 2018-08-27 2021-03-01 美商慧盛材料美國責任有限公司 在含矽表面上的選擇性沉積
CN113330141A (zh) * 2019-01-24 2021-08-31 应用材料公司 沉积氮化硅的方法
CN113330141B (zh) * 2019-01-24 2023-10-17 应用材料公司 沉积氮化硅的方法
CN113423864A (zh) * 2019-02-14 2021-09-21 恩特格里斯公司 氮化硅的选择性沉积
CN113423864B (zh) * 2019-02-14 2023-11-07 恩特格里斯公司 氮化硅的选择性沉积
CN111593329A (zh) * 2019-02-20 2020-08-28 Asm Ip私人控股有限公司 包括处理步骤的循环沉积方法及用于其的装置
CN112593212B (zh) * 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN113136561A (zh) * 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 沉积氮化硅层的方法、该方法形成的结构以及执行系统

Also Published As

Publication number Publication date
US10176984B2 (en) 2019-01-08
US20200219718A1 (en) 2020-07-09
CN108425100B (zh) 2022-04-15
TW201840883A (zh) 2018-11-16
US10629429B2 (en) 2020-04-21
US20190115207A1 (en) 2019-04-18
KR20220106729A (ko) 2022-07-29
KR102470304B1 (ko) 2022-11-23
JP2018152554A (ja) 2018-09-27
US20180233349A1 (en) 2018-08-16
KR20180093826A (ko) 2018-08-22
SG10201801141TA (en) 2018-09-27
KR102424906B1 (ko) 2022-07-22
US10903071B2 (en) 2021-01-26

Similar Documents

Publication Publication Date Title
KR102470304B1 (ko) 실리콘 옥사이드의 선택적인 증착
KR102572854B1 (ko) 실리콘 나이트라이드의 선택적 성장
KR102542125B1 (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
CN110402477B (zh) 在氧化硅存在下硅表面上氧化硅或氮化硅的选择性生长
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
CN107799390B (zh) 用于半导体图案化应用的高干法蚀刻速率材料
CN106057637B (zh) 通过原子层沉积和原子层蚀刻沉积共形膜
KR20200130750A (ko) 유기실리콘 전구체를 사용한 웨이퍼 표면의 소수성 개질

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant