JP2023105023A - ケイ素含有表面への選択的堆積 - Google Patents

ケイ素含有表面への選択的堆積 Download PDF

Info

Publication number
JP2023105023A
JP2023105023A JP2023087044A JP2023087044A JP2023105023A JP 2023105023 A JP2023105023 A JP 2023105023A JP 2023087044 A JP2023087044 A JP 2023087044A JP 2023087044 A JP2023087044 A JP 2023087044A JP 2023105023 A JP2023105023 A JP 2023105023A
Authority
JP
Japan
Prior art keywords
isocyanate
substituted
unsubstituted
group
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023087044A
Other languages
English (en)
Inventor
マーティン パールステイン ロナルド
Ronald Martin Pearlstein
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of JP2023105023A publication Critical patent/JP2023105023A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】基材の表面を選択的に不導体化するための方法を提供する。【解決手段】基材の表面を選択的に不動態化するための方法であって、基材の表面は、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含む。方法は、少なくとも1つの有機イソシアネートに表面を暴露する工程であって、有機イソシアネートが窒化ケイ素と選択的に反応して第一の表面を不動態化し、それによって第二の表面を実質的に未反応のままにする工程を含む。【選択図】なし

Description

関連出願の相互参照
本出願は、2018年8月27日に提出された米国仮出願第62/723023号、及び2019年8月23日に提出された米国特許出願第16/548983号明細書に対する優先権を主張していて、それらの開示は参照によってそれらの全体が本明細書に組み込まれる。
本出願は、第二の表面に対する、基材の第一の表面への選択的堆積に関する。加えて、次いで、さらなる処理を使用して、異なる材料を、第一の表面に対して、第二の表面に堆積することができる。
主に、常に物理的寸法を減少させることに基づいて先進的な半導体装置の製造を可能とする現代のリソグラフィプロセスの制限が原因で、選択的堆積プロセスは大きな勢いを得ている。従来は、マイクロエレクトロニクス産業において、種々のリソグラフィ及びエッチングプロセスを使用して、パターニングが達成されてきた。しかし、リソグラフィが、急激に、より複雑かつ高価になってきているため、自己整合フィーチャを形成するための選択的堆積の使用は、よりいっそう魅力的になってきている。自己整合ビア構造の製造は、製造可能な選択的堆積プロセスから有意に利益を得る。選択的堆積のための別の潜在的な用途はギャップの充填である。ギャップの充填において、誘電性「充填」膜は、トレンチの底部から頂部へと選択的に成長される。選択的堆積は、他の用途、例えば膜が3次元のFIN-NET構造体の暴露された表面に選択的に堆積される選択的側壁堆積のために使用することができる。このことは、複雑なパターニング工程を必要としない側壁スペーサの堆積を可能とする。さらに、ゲート誘電体及びキャパシタ誘電体として使用される金属膜及び金属酸化物膜のための選択的堆積プロセスは、半導体装置製造において非常に有用である。
暴露される複数の化学的な表面を有するウエハへの表面不動態コーティングの選択的形成に関する技術的な文献の中に、多くの前例が存在する。これは、ALDプロセスによる、これらの不動態化された表面への膜の堆積を遅延させるか、又は妨げる目的で行われてきたが、ALD堆積プロセスが膜を堆積することが所望される表面への堆積を妨げない。一般に、表面の不完全な不動態化のためか、並びに/又は不動態層自体の中、若しくは堆積が所望されない表面上のいずれかにおける、ALD前駆体分子の物理吸着及び次ぐALD膜材料の形成のために、プロセスの選択性は十分なものではなかった。本発明は、従来技術の制限を克服しようとするものであり、ALD堆積プロセスを使用する薄膜材料の選択的堆積のための改善された方法を提供する。
Liu,L.-HらのJ.Phys.:Condens. Matter 28(2016)094014(doi:10.1088/0953-8984/28/9/094014)は、アルデヒドを含有する溶液によって処理された表面の処理によって、酸化ケイ素に対する幾らかの程度で、窒化ケイ素を選択的に不動態化することができることを示している。
1つの態様において、気相反応によって基材の表面を選択的に不動態化するための方法が本明細書において提供されていて、基材の表面は、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含み、方法は、a.基材の表面を湿式化学組成物と接触させる工程;b.表面を脱イオン水ですすぐ工程;c.表面を乾燥する工程;d.任意選択で、水素プラズマ又はアンモニアプラズマで表面を処理する工程;並びにe.式I:R-N=C=O (I)に従う構造を有し、式中、RがH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートを含む蒸気に表面を暴露する工程であって、少なくとも1つの有機イソシアネートが窒化ケイ素と選択的に反応して第一の表面を不動態化し、それによって第二の表面を実質的に未反応のままにする暴露工程を含む。
別の態様において、基材の表面に膜を選択的に堆積する方法が本明細書において提供されていて、基材の表面は、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含み、方法は、a.基材の表面を湿式化学組成物と接触させる工程;b.表面を脱イオン水ですすぐ工程;c.表面を乾燥する工程;d.任意選択で、水素プラズマ又はアンモニアプラズマで表面を処理する工程;e.式I:R-N=C=O (I)に従う構造を有し、式中、RがH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートを含む蒸気に表面を暴露する工程であって、少なくとも1つの有機イソシアネートが窒化ケイ素と選択的に反応して第一の表面を不動態化し、それによって第二の表面を実質的に未反応のままにする暴露工程;並びにf.基材の表面を、1つ又は複数の堆積前駆体に暴露して、第一の表面に対して、第二の表面に膜を選択的に堆積する工程を含む。
本発明の実施態様は、単独で、又は互いに組み合わせて使用することができる。
本明細書において引用される文献、特許出願及び特許を含む全ての参照文献は、それぞれの参照文献が、参照によって個々にかつ具体的に組み込まれるように示されているのと、及び本明細書においてその全体が記載されているのと同様に、参照によって本明細書に組み込まれる。
本発明を説明する文脈における(特に以下の特許請求の範囲の文脈における)用語「a」、「an」、「the」及び類似の指示語の使用は、他に本明細書において示されるか、又は文脈によって明確に否定されない限り、単数及び複数の両方をカバーするように解釈される。用語「含む(comprising)」、「有する(having)」、「含む(including)」及び「含有する(containing)」は、他に記載されない限り、オープンエンドの用語(すなわち「含むが、その内容に限定されない」ことを意味する)として解釈される。本明細書において、値の範囲の記述は、本明細書において他に示されない限り、単に範囲内にあるそれぞれの個別の値を個々に表す略記法として働くことが意図され、それぞれの個別の値は、本明細書において個々に記述されるように、本明細書中に組み込まれる。本明細書において説明される全ての方法は、本明細書において他に示されない限り、又は文脈によって他に明確に否定されない限り、任意の適した順序で行うことができる。本明細書において提供されるあらゆる例又は例示的な文言(例として「例えば(such as)」)の使用は、単に本発明をより良く明らかにすることが意図されていて、他に主張されない限り、本発明の範囲における限定を提示するものではない。本明細書中の文言は、本発明の実施に必要である任意の請求されていない要素を意図するものとして解釈されるべきではない。
本発明を行うために、発明者らに知られている最も良好な方式を含む、本発明の好ましい実施態様が本明細書において説明されている。それらの好ましい実施態様の変形は、先の説明を読むことによって、当分野における当業者にとって明らかとなることができる。発明者は、当業者がこのような変形を適切に用いることを想定していて、発明者は、本発明について、本明細書において具体的に説明されるものとは違ったように実施されることを意図している。従って、本発明は、適用法令によって許されるように、本明細書に添付された特許請求の範囲において記述される内容の全ての変更及び均等物を含む。さらに、上で説明された要素の、そのあり得る全ての変形における任意の組み合わせは、本明細書において他に示されない限り、又は文脈によって他に明確に否定されない限り、本発明によって包含される。
選択的堆積のために使用することができる種々の方法が存在する。本開示の実施態様は、2つの異なる表面の表面化学性質を利用した表面不活性化を用いる方法に向けたものである。2つの異なる表面は異なる反応性ハンドルを有するため、一方の表面とは反応する(その表面を不活性化する)が、他方の表面とは反応しない分子を利用することによって、その差異を活用することができる。
1つの実施態様において、気相反応によって基材の表面を選択的に不動態化するための方法が提供されていて、基材の表面は、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含み、方法は、a.基材の表面を湿式化学組成物と接触させる工程;b.表面を脱イオン水ですすぐ工程;c.表面を乾燥する工程;d.任意選択で、水素プラズマ又はアンモニアプラズマで表面を処理する工程;並びにe.式I:R-N=C=O (I)に従う構造を有し、式中、RがH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートを含む蒸気に表面を暴露する工程であって、少なくとも1つの有機イソシアネートが窒化ケイ素と選択的に反応して第一の表面を不動態化し、それによって第二の表面を実質的に未反応のままにする暴露工程を含む。
別の実施態様において、基材の表面に膜を選択的に堆積する方法が提供されていて、基材の表面は、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含み、方法は、a.基材の表面を湿式化学組成物と接触させる工程;b.表面を脱イオン水ですすぐ工程;c.表面を乾燥する工程;d.任意選択で、水素プラズマ又はアンモニアプラズマで表面を処理する工程;e.式I:R-N=C=O (I)に従う構造を有し、式中、RはH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートを含む蒸気に表面を暴露する工程であって、少なくとも1つの有機イソシアネートが窒化ケイ素と選択的に反応して第一の表面を不動態化し、それによって第二の表面を実質的に未反応のままにする暴露工程;並びにf.基材を、1つ又は複数の堆積前駆体に暴露して、第一の表面に対して、第二の表面に膜を選択的に堆積する工程を含む。
本明細書及び添付の特許請求の範囲において使用されるとき、用語「基材」及び「ウエハ」は交換可能に使用され、プロセスが作用する表面の一部又は表面の、両方をいう。さらに、文脈によって他に明確に示されない限り、基材への言及は、基材の一部のみを言及する場合もあると、当分野における当業者によって理解される。加えて、基材への堆積への言及は、被覆されていない基材、及び1つ若しくは複数の膜を有するか、又はその上に堆積若しくは形成されたフィーチャを有する基材の両方を意味する場合がある。
本明細書において使用されるとき、「基材」は、任意の基材、又は製造プロセスの間に膜処理が行われる基材に形成される材料表面をいう。例えば、処理を行うことができる基材表面は材料、例えばケイ素、酸化ケイ素、歪みシリコン、シリコン・オン・インシュレータ(SOI)、炭素ドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア並びに任意の他の材料、例えば金属、金属窒化物、金属合金及び他の導電性材料を、用途に応じて含む。基材は、限定するものではないが、半導体ウエハを含む。基材は、基材表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール及び/又はベーキングする前処理プロセスを受けることができる。基材自体の表面への直接的な膜処理に加えて、本開示において、開示されている膜処理工程のうち任意のものはまた、下でより詳細に開示されるように、基材に形成された下層に行うことができ、用語「基材表面」は、文脈が示すときは、このような下層を含むことが意図される。従って、例えば、膜/層又は部分的な膜/層が基材表面に堆積された場合、新規に堆積された膜/層の暴露表面が基材表面となる。所与の基材表面が何を含むかは、どのような膜が堆積されるか、並びに使用される特定の化学物質に依存する。1つ又は複数の実施態様において、第一の基材表面は金属を含み、第二の基材表面は誘電体を含むか、その逆である。幾つかの実施態様において、基材表面は、特定の官能基(例えば-OH、-NHなど)を含んでいてよい。
同様に、本明細書において説明される方法において使用することができる膜は、非常に多様である。幾つかの実施態様において、膜は、金属を含むか、又は金属から実質的になっていてよい。金属膜の例は、コバルト(Co)、銅(Cu)、ニッケル(Ni)、タングステン(W)などを含むが、それらに限定されない。幾つかの実施態様において、膜は誘電体を含む。例は、SiO2、SiN、HfO2などを含む。
本発明の実施態様において、基材は少なくとも2つの別の表面を有し、それぞれの別の表面は異なる化学性質を特徴とする。例えば、ある実施態様において、基材の表面は、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含む。
窒化ケイ素以外の材料を含む少なくとも1つの第二の表面は、例えば、SiO2、金属酸化物、銅、コバルト、タングステン、アモルファスシリコン、ポリシリコン、単結晶シリコン、ゲルマニウム及びアモルファス水素化ゲルマニウムからなる群から選択される材料のうち任意のものであってよい。幾つかの実施態様において、SiO2を含む少なくとも1つの第二の表面は、誘電性表面、例えばSiO2表面である。幾つかの実施態様において、SiO2を含む表面は、酸化ケイ素、フッ化シリカガラス(FSG)、炭素ドープされた酸化ケイ素(SiOC)及び/又は約50%より多い酸化ケイ素を含有する材料を含んでよい。幾つかの実施態様において、SiO2を含む表面は-OH基を含み、例えば-OH基を有するアルミナ(Al23)表面をさらに含んでいてよい。
本開示の実施態様は、膜を、例えば金属膜を、基材の第二の表面に対して、基材の一方の面に選択的に堆積する方法を提供する。本明細書及び添付の特許請求の範囲において使用されるとき、用語「他方の面に対して、一方の面に膜を選択的に堆積する」、及び類似のものは、第一の又は第二の表面のうち一方が不動態化されて、不動態化された層への堆積を実質的に妨げて、膜が第二の(不動態化されていない)表面に堆積されることを意味する。これに関して使用される用語「対して」は、他方の表面の頂部への、一方の表面の物理的配向を意味せず、むしろ、他方の表面に対して一方の表面が有する、化学反応の熱力学的又は速度論的な特性の関係を意味する。例えば、誘電性表面に対して、銅表面にコバルト膜を選択的に堆積することは、コバルト膜が銅表面に堆積し、かつ、より少ないコバルト膜が誘電性表面に堆積するか、若しくは全く堆積しないこと;又は銅表面へのコバルト膜の形成が、誘電性表面へのコバルト膜の形成に対して、熱力学的に若しくは速度論的に有利であることを意味する。
幾つかの場合において、基材の一方の表面に、同じ基材の第二の異なる表面に対して、材料を選択的に堆積することが望ましい。例えば、選択的堆積を使用して、キャップ層、バリア層、エッチング停止層、犠牲層、及び/又は例えば多孔質の低k材料において、ポアをシーリングするための保護層を形成することができる。
本発明の方法は、基材の表面を湿式化学組成物と接触させて、処理された基材を得る、任意選択の工程を含む。例示的な湿式化学処理は、公知の化学処理、例えばRCA洗浄化学製品SC-1及びSC-2、水性HF、過酸化物、H2SO4/H22、NH4OH、緩衝HF溶液並びにそれらの混合物を含む。
好ましい実施態様において、湿式化学組成物は、H22(28%水溶液)、NH44(28~30%)及びH2Oを含む組成物;HF(0.01%~10%(水溶液));過酸化物;RCA洗浄化学製品SC-1及びSC-2;並びにH2SO4/H22の混合物からなる群から選択される少なくとも1つを含む。
当分野において公知である通り、「RCA洗浄化学製品」は、水酸化アンモニウム及び過酸化水素の混合物を含む組成物をいい、基礎的な洗浄手順は、Radio Corporation of Americaによって1960年代に開発された。RCA標準洗浄1(SC-1)手順は、約70℃の温度に加熱された水並びに水酸化アンモニウム及び過酸化水素の溶液を使用する。SC-1手順は膜を溶解し、1族及び2族の金属を除去する。1族及び2族金属は、SC-1溶液中の試薬との錯体化によって除去される。RCA標準洗浄2(SC-2)手順は、約70℃の温度に加熱された水、過酸化水素及び塩酸の混合物を利用する。SC-2手順は、SC-1手順によって除去されなかった金属を除去する。
湿式化学組成物との接触は、当分野における当業者にとって公知である任意の方法、例えば浸漬又はスプレーによって行うことができる。接触させる工程は、1つの個別の工程であるか、又は複数の工程であってよい。
幾つかの実施態様において、接触させる工程の間の湿式化学組成物の温度は、例えば、ほぼ周囲温度~ほぼ100℃であってよい。他の実施態様において、接触させる工程の間の湿式化学組成物の温度は、例えば、ほぼ55℃~ほぼ95℃であってよい。他の実施態様において、接触させる工程の間の湿式化学組成物の温度は、例えば、ほぼ60℃~ほぼ90℃であってよい。
実施態様は、基材の表面を湿式化学組成物と接触させる工程の後に、基材の表面を脱イオン水ですすぐ工程をさらに含む。典型的には、すすぐ工程は任意の適した手段、例えば基材の表面を浸漬又はスプレー技術によって脱イオン水ですすぐことによって行われる。
実施態様は、すすぐ工程の後に、少なくとも基材の表面を乾燥する工程をさらに含む。典型的には、乾燥する工程は任意の適した手段、例えば熱の適用、イソプロピルアルコール(IPA)蒸気乾燥又は向心力によって行われる。
任意選択で、実施態様は、水素プラズマ又はアンモニアプラズマで表面を処理する工程をさらに含む。適したプロセスはプラズマプロセス(水素プラズマ、NH3/NF3プラズマ、水プラズマ及び類似のもの)を含む。任意選択のプラズマ工程は、表面への望まれない堆積を除去するように、及び不動態化剤の次ぐ堆積のために表面を活性化するように機能する。最も好ましくは、このようなプラズマ処理は、表面への幾らかの堆積が行われた後に適用されて、先に不動態化された表面から非選択的に堆積された材料を除去し、所望の堆積厚さが達成された後に残余の不働態化剤を除去する。
実施態様は、式I:R-N=C=O (I)に従う構造を有し、式中、RがH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートを含む蒸気に表面を暴露する工程であって、少なくとも1つの有機イソシアネートが窒化ケイ素と選択的に反応して第一の表面を不動態化し、それによって第二の表面を実質的に未反応のままにする暴露工程を含む。
幾つかの実施態様において、有機イソシアネートは、Cn2n+1CH2N=C=O及びCn2n+1(C24)N=C=Oからなる群から選択される構造を有するフッ素置換されたC1~C18直鎖アルキル基である。構造Cn2n+1CH2N=C=Oを有するフッ素置換されたC1~C18直鎖アルキル基を有する好ましい有機イソシアネート前駆体は、CF3CH2N=C=O、C25CH2N=C=O、C37CH2N=C=O、C49CH2N=C=O、C511CH2N=C=O、C613CH2N=C=O、C715CH2N=C=O、C817CH2N=C=O及びC919CH2N=C=Oからなる群から選択されるものを含む。
他の実施態様において、式I中のRは、構造CnCl2n+1CH2N=C=Oを有する塩素置換されたC1~C18直鎖アルキル基である。構造CnCl2n+1CH2N=C=Oを有する塩素置換されたC1~C18直鎖アルキル基を有する好ましい有機イソシアネート前駆体は、CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O及びC9Cl19CH2N=C=Oからなる群から選択されるものを含む。
他の実施態様において、式I中のRは、置換された又は置換されていないC3~C8環状アルキル基である。このような実施態様において、少なくとも1つの有機イソシアネートは、シクロプロピルイソシアネート、シクロブチルイソシアネート、シクロヘキシルイソシアネート及びメチルシクロヘキシルイソシアネートからなる群から選択されるものを含む。
他の実施態様において、式I中のRは、置換された又は置換されていないC4~C18アリール基である。このような実施態様において、有機イソシアネートは、フェニルイソシアネート、トリルイソシアネート、ジメチルフェニルイソシアネート、ナフチルイソシアネート、2-エチルフェニルイソシアネート及びキシリルイソシアネートからなる群から選択されるものを含む。
他の実施態様において、式I中のRは置換されていないC1~C18直鎖アルキル基であるか、又はRは置換された若しくは置換されていないC3~C18分岐鎖アルキル基である。このような実施態様において、有機イソシアネートは、メチルイソシアネート、エチルイソシアネート、プロピルイソシアネート、イソプロピルイソシアネート、n-ブチルイソシアネート、sec-ブチルイソシアネート、tert-ブチルイソシアネート、ペンチルイソシアネート、ヘキシルイソシアネート、オクチルイソシアネート、デシルイソシアネート、ドデシルイソシアネート、ステアリルイソシアネート、1,1,3,3-テトラメチルブチルイソシアネート及び1-メチルヘプチルイソシアネートからなる群から選択されるものを含む。
別の実施態様において、式I中のRは、置換された又は置換されていないC54~C20アリールアルキル基である。このような実施態様において、有機イソシアネートはベンジルイソシアネートを含む。
本明細書において用いられるとき、用語「アルキル」は直鎖又は分岐鎖である飽和炭化水素基を意味する。幾つかの実施態様において、アルキル基は1~20個の炭素原子、2~20個の炭素原子、1~10個の炭素原子、2~10個の炭素原子、1~8個の炭素原子、2~8個の炭素原子、1~6個の炭素原子、2~6個の炭素原子、1~4個の炭素原子、2~4個の炭素原子、1~3個の炭素原子又は2若しくは3個の炭素原子を有する。アルキル基の例は、メチル(Me)、エチル(Et)、プロピル(例えばn-プロピル及びイソプロピル)、ブチル(例えばn-ブチル、t-ブチル、イソブチル)、ペンチル(例えばn-ペンチル、イソペンチル、ネオペンチル)、ヘキシル、イソヘキシル、ヘプチル、オクチル、ノニル、4,4-ジメチルペンチル、2,2,4-トリメチルペンチル、デシル、ウンデシル、ドデシル、2-メチル-1-プロピル、2-メチル-2-プロピル、2-メチル-1-ブチル、3-メチル-1-ブチル、2-メチル-3-ブチル、2-メチル-1-ペンチル、2,2-ジメチル-1-プロピル、3-メチル-1-ペンチル、4-メチル-1-ペンチル、2-メチル-2-ペンチル、3-メチル-2-ペンチル、4-メチル-2-ペンチル、2,2-ジメチル-1-ブチル、3,3-ジメチル-1-ブチル、2-エチル-1-ブチル並びに類似のものを含むが、それらに限定されない。
本明細書において用いられるとき、用語「環状アルキル」は、3~10個又は4~10個の炭素原子を有する環状の官能基を表す。例示的な環状アルキル基は、シクロブチル、シクロペンチル、シクロヘキシル及びシクロオクチル基を含むが、それらに限定されない。
本明細書において使用されるとき、用語「アリール」は、単環、二環又は多環(例えば2、3又は4つの縮合された環を有する)の芳香族炭化水素を意味する。幾つかの実施態様において、アリール基は、6~20個の炭素原子又は6~10個の炭素原子を有する。アリール基の例は、フェニル、ナフチル、アントラセニル、フェナントレニル、インダニル、インデニル及びテトラヒドロナフチル並びに類似のものを含むが、それらに限定されない。
本明細書において使用されるとき、用語「アリールアルキル」は、アリールによって置換されたアルキル基を意味する。幾つかの実施態様において、アルキル基はC1~C6アルキル基である。
本明細書において用いられるとき、用語「アルケニル基」は、1つ又は複数の炭素-炭素二重結合を有し、かつ2~18個又は2~10個の炭素原子を有する基を意味する。例示的なアルケニル基は、ビニル又はアリル基を含むが、それらに限定されない。
本明細書において使用されるとき、用語「アルキニル」は、2~20個の炭素原子と、1つ若しくは複数の三重炭素-炭素結合とを有する直鎖又は分岐鎖のアルキル基を意味する。幾つかの実施態様において、アルキニル基は、2~10個の炭素原子、2~8個の炭素原子、2~6個の炭素原子又は2~4個の炭素原子を有する。アルキニル基の例は、アセチレン、1-プロピレン、2-プロピレン及び類似のものを含むが、それらに限定されない。
本明細書において使用されるとき、用語「任意選択で置換された」は、置換が任意選択であることを意味し、従って置換されていない及び置換された原子及び部分の両方を含む。「置換された」原子又は部分は、指定される化合物又は部分の通常の原子価を超過しない限りにおいて、指定される化合物又は部分における任意の水素原子を、示される置換基からの選択物によって置換することができること、及び置換が安定な化合物をもたらすことを示す。例えば、メチル基が任意選択で置換される場合、メチル基中の炭素原子にある1、2又は3個の水素原子が、1、2又は3つの記述された置換基で置換されてよい。
本明細書において使用されるとき、用語「フェニル」は-C65を意味する。フェニル基は、置換されていないか、又は1、2若しくは3つの適した置換基で置換されていてよい。
本明細書において使用されるとき、用語「環状アルキル」は、20個以下の環形成炭素原子を有する環化されたアルキル、アルケニル及びアルキニル基を含む非芳香族の環状炭化水素を意味する。シクロアルキル基は、3~15個の環形成炭素原子、3~10個の環形成炭素原子、3~8個の環形成炭素原子、3~6個の環形成炭素原子、4~6個の環形成炭素原子、3~5個の環形成炭素原子又は5若しくは6個の環形成炭素原子を有する。任意選択で、シクロアルキル基の環形成炭素原子は、オキソ又はスルフィドによって置換されていてよい。シクロアルキル基は、単環又は多環の環系、例えば縮合環系、架橋環系及びスピロ環系を含むが、それらに限定されない。幾つかの実施態様において、多環の環系は2、3又は4つの縮合環を含む。シクロアルキル基の例は、シクロプロピル、シクロブチル、シクロペンチル、シクロヘキシル、シクロヘプチル、シクロオクチル、シクロノニル、シクロペンテニル、シクロヘキセニル、シクロヘキサジエニル、シクロヘプタトリエニル、ノルボルニル、ノルピニル、ノルカルニル、アダマンチル及び類似のものを含むが、それらに限定されない。シクロアルキル基は、シクロアルキル環に縮合された(共通して結合を有する)1つ又は複数の芳香族環を、例えばペンタン、ペンテン、ヘキサン及び類似のもののベンゾ又はチエニル誘導体(例えば2,3-ジヒドロ-1H-インデン-1-イル若しくは1H-インデン-2(3H)-オン-1-イル)をさらに含んでよい。
本明細書において使用されるとき、用語「ハロ」はハロゲン基を意味し、フルオロ、クロロ、ブロモ及びヨードを含むが、それらに限定されない。
本明細書において使用されるとき、用語「複素環(heterocycle)」又は「複素環状の環(heterocyclic ring)」は、5員~7員の単環又は7員~10員の二環の環系を意味し、それらの任意の環は飽和又は不飽和であってよく、それらの環は炭素原子から、並びにN、O及びSから選択される1~3個のヘテロ原子からなり、N及びSヘテロ原子は、任意選択で酸化されていてよく、Nヘテロ原子は、任意選択で四級化されていてよく、上で画定される複素環状の環のうち任意のものがベンゼン環に縮合している任意の二環の基を含む。複素環は、1個の酸素若しくは硫黄、1~3個の窒素原子、又は1若しくは2個の窒素原子と結合された1個の酸素若しくは硫黄、を含有する環を含む。複素環状の環は、安定な構造の形成をもたらす任意のヘテロ原子又は炭素原子において結合されていてよい。複素環基の例は、ピペリジニル、ピペラジニル、2-オキソピペラジニル、2-オキソピペリジニル、2-オキソピロロジニル、2-オキソアゼピニル、アゼピニル、ピロリル、4-ピペリドニル、ピロリジニル、ピラゾリル、ピラゾリジニル、イミダゾリル、イミダゾリニル、ピリジル、イミダゾリジニル、ピラジニル、ピリミジニル、ピリダジニル、オキサゾリル、オキサゾリジニル、イソオキサゾリル、イソオキサゾリジニル、モルホリニル、チアゾリル、チアゾリジニル、イソチアゾリル、キヌクリジニル、イソチアゾリジニル、インドリル、キノリニル、イソキノリニル、ベンズイミダゾリル、チアジアゾイル、ベンゾピラニル、ベンゾチアゾリル、ベンゾオキサゾリル、フリル、テトラヒドロフリル、テトラヒドロピラニル、チエニル、ベンゾチエニル、チアモルホリニル、チアモルホリニルスルホキシド、チアモルホリニルスルホン、オキサジアゾリル及び類似のものを含むが、それらに限定されない。
気相又はガス相反応は、必要な圧力制御を提供することができるべきである、かつ基材及び/又はチャンバーの壁に熱を供給することもまた可能である適したチャンバーにおける、前駆体分子及び/又は共反応体に対する、加熱された基材の暴露を含み;チャンバーは、一般に、高い漏洩完全性によって、並びに超高純度のキャリア及び反応性ガスの使用によって起こる反応のための適した純度もまた提供するべきである。
本明細書及び添付の特許請求の範囲において使用されるとき、用語「反応性ガス」、「前駆体」、「反応体」及び類似のものは交換可能に使用され、基材表面に対して反応性である種を含むガスを意味する。例えば、第一の「反応性ガス」は、基材の表面に単純に吸着することができ、第二の反応性ガスとのさらなる化学反応のために利用可能である場合がある。それらは、(先に定義された)超高純度のキャリアガスとあわせて、互いとの任意の所望の混合物で使用することができる(すなわち複数の種類の前駆体を、一緒に、又は個別の独立の工程においてのいずれかで使用して、前駆体導入が望まれるどのような順序であっても、所望の不働態層を形成することができる)。
前駆体及び/又は共反応体は、(加熱されたラインを有する場合がある)質量流動制御器、(加熱されたラインを有する場合がある)液体注入蒸発器を使用して、又は計量装置を用いずに、反応器に輸送することができる(すなわち、単純なバルブを使用する、反応器から分離された容器からの蒸気及び/又はガスの正味の導入)。さらに、先述のもののうち任意のものは、互いに組み合わせて使用することができる。十分な純度及び再現性を提供する反応チャンバーにガス及び/又は蒸気を供給する任意の手段を使用することができる。
反応器への導入前に混合して、反応器中で混合して、又は工程間でどのように前駆体が導入されるかにおける差異を含むことができる複数の独立の工程における先述のものの任意の組み合わせで、前駆体及び/又は共反応体を反応器に独立に導入することができる。
反応の温度範囲は室温~400℃であってよい。幾つかの場合において、反応の温度範囲は室温~200℃であってよい。さらに他の場合において、反応の温度範囲は室温~100℃であってよい。圧力は10-10Torr~3000Torrであってよく、動的流動条件の下で保持される(すなわちバルブ及びバタフライバルブ型の配置を有する)か、又は静的条件の下で保持されてよい(すなわち、全体の所望の圧力が達成されるまで、真空引きされたチャンバーが所望の前駆体及び/又は共反応体に暴露され、次いでチャンバーは前駆体及び/又は共反応体源並びに真空ポンプの両方から分離される)。反応器を十分に真空引きして、必要な回数、新しい前駆体及び/又は共反応体に再度暴露することができる。前駆体及び/又は共反応体は、所望される任意の混合物及び/又は濃度を使用して導入することができる。
表面の暴露は、0.1~60min、好ましくは1~5min、最も好ましくは1minの間行うことができる。反応チャンバー中のイソシアネートの分圧は、基材温度におけるその飽和蒸気圧の約1%から、その飽和蒸気圧のほぼ100%以下で可変である。最も好ましくは、その飽和蒸気圧の20~50%である。チャンバー圧力は、イソシアネート蒸気の分圧と同じであってよいが、キャリアガスを含む雰囲気のバランスのために、より高くてもよい。好ましいキャリアガスは、N2、He及びArを含むが、さらに他のガス、例えばH2、CO2及び乾燥O2を使用することができる。暴露蒸気は、暴露期間の全て又は一部の間、静的(流動しない)であってよい。好ましい実施態様は、暴露期間の少なくとも一部の間、新しい蒸気が基材の表面に暴露されるように、イソシアネートの蒸気を、暴露チャンバーを通して任意選択のキャリアガスとともに流動させることである。
暴露チャンバーは、周囲温度近くに保たれるか、又は任意選択で加熱されてよい。熱は、チャンバーの外壁に(ホットウォール)、又は基材のみに(コールドウォール反応器)供給することができる。コールドウォール反応器における基材の加熱は、透明な窓を通る入射放射の使用によって(ランプ加熱)か、基材自体の抵抗加熱によってか、若しくは基材が接触するプラットフォーム中の要素を誘導によって抵抗加熱することによってか、又は当分野において公知である他の手法によって、達成することができる。好ましくは、処理の温度は約20℃~約400℃、好ましくは20℃~約200℃、最も好ましくは20℃~約100℃である。温度は、暴露期間の間一定であるか、又は特定の温度範囲内で変化してよい。
少なくとも1つの有機イソシアネートの未反応の蒸気は、次いで、任意選択で、基材をチャンバーから取り出す前に、又は化学気相若しくは原子層堆積処理の前に、適した不活性ガスを用いたチャンバーのパージ又は真空引きによって除去することができる。任意選択で、暴露チャンバーは、プロセスを工程c)から繰り返してプロセスの効率を改善することができるようにするための、必要な場合には、保護層及び任意の非選択性ALD堆積物を取り除いて、次いで保護層を再形成するための次ぐ工程のために、さらに使用することができる。
本方法において使用される少なくとも1つの有機イソシアネートと暴露条件との選択は、標準的な実験法によって最適化されて、次ぐ処理工程によって必要とされる要求に応じて、潜在的な非選択性の不働態化に対する窒化ケイ素表面に供給される保護物の選択性、処理時間、試薬コストなどを最適化するべきである。例えば、選択性は、式Iによって表される構造を有する少なくとも1つの有機イソシアネートのR基の性質を変えることによって、調節/最適化することができる。典型的には、反応性及び選択性は、しばしば逆に相関するため、2つの表面が化学的に類似している場合、R基に対する実験が、プロセスを最適化するのに要求される場合がある。例えばアルキルR基とアリールR基との間に、反応性における違いが存在し;典型的には、アリールイソシアニドは、活性水素を有する表面に対して、アルキルイソシアニドと比較して、より反応性である。結果として、幾つかの場合において、アルキルイソシアニドは、活性水素原子に対して、より反応性がない隣接する表面も不動態化してしまうことなく、SiNを選択的に不動態化することが必要である場合がある。
一度窒化ケイ素表面が不動態化されると、例えば酸化ケイ素を含む第二の表面は、さらなる選択的反応、例えばSi-H表面へのSiCNの選択的ALD堆積について活性である。第二の表面に選択的に堆積することができる付加的な材料は、酸素、窒素、水素及び炭素(すなわちSiOx、SiNx、SiOxy、SiCxy、SiOxy、全てHを同様に組み込むことができる)、金属、金属窒化物及び金属酸化物を含むケイ素膜を含む。
幾つかの実施態様において、金属酸化物膜は、第二の表面に選択的に堆積される。1つの例において、金属酸化物膜は、第二の表面におけるキャップ層として働くことができる。例えば、金属酸化物膜は、原子層堆積(ALD)、プラズマ強化ALD(PEALD)、化学気相堆積(CVD)、プラズマ強化CVD(PECVD)又はパルスCVDによって堆積することができる。1つの実施態様によれば、金属酸化物膜は、HfO2、ZrO2、TiO2、Al23及びそれらの組み合わせからなる群から選択することができる。幾つかの例において、参照によってその全体が本明細書に組み込まれる2017年3月に提出された米国仮特許出願第62/472724号において説明されるように、金属酸化物膜は、金属有機前駆体及び酸化剤(例えばH2O、H22、プラズマ励起O2又はO3)の交互の暴露を使用するALDによって堆積することができる。
例えば、本発明による選択的堆積は、Hamalainenらの「Atomic Layer Deposition of Noble Metals and Their Oxides」、Chem.Mater.、2014、26、786~801;及びJohnsonらの「A Brief review of Atomic layer Deposition:From Fundamentals to Applications」、Materials Today、Volume 17、Number 5、2014年5月、において開示されている金属層並びに金属酸化物層であってよく、それらの文献の両方は参照によってそれらの全体が本明細書に組み込まれる。
幾つかの実施態様において、金属膜は第二の表面に選択的に堆積される。1つの例において、金属膜は、第二の表面におけるキャップ層として働くことができる。別の例において、金属膜は、第二の表面における導電性経路(すなわちライン、パッド又はプラグ)として働くことができる。別の例において、例えば、金属膜は、原子層堆積(ALD)、プラズマ強化ALD(PEALD)、化学気相堆積(CVD)、プラズマ強化CVD(PECVD)又はパルスCVDによって堆積することができる。1つの実施態様によれば、金属膜は、Al、Ti、Co、Rh、Ir、Fe、Ru、Os、Mn、Tc、Re、Cu、Ag、Au、Ni、Pd又はPt及びそれらの組み合わせからなる群から選択することができる。
幾つかの実施態様において、金属膜又は金属窒化物膜は、第二の表面に選択的に堆積される。1つの例において、金属膜又は金属窒化物膜は、第二の表面におけるキャップ層として働くことができる。別の例において、金属膜又は金属窒化物膜は、拡散バリア層として働くことができる。例えば、金属膜又は金属窒化物膜は、原子層堆積(ALD)、プラズマ強化ALD(PEALD)、化学気相堆積(CVD)、プラズマ強化CVD(PECVD)又はパルスCVDによって堆積することができる。例えば、IBM Research Report、「Atomic Layer Deposition of Metal and Metal Nitride Thin Films:Current Research Efforts and Applications for Semiconductor Device Processing」、RC22737(W0303-012)、2003年3月5日、において例が見られる。
選択的堆積プロセスの間、少なくとも1つの有機イソシアネートを用いて窒化ケイ素表面に前もって選択的に堆積された先述の保護性表面は、反応を開始するか、又はそうでなければより不活性になることができる。水性若しくはプラズマ前処理工程のうち任意のものを伴うか、又は伴わないかのいずれかである、少なくとも1つの有機イソシアネートの任意選択の再適用は、任意選択で繰り返して行われて、窒化ケイ素表面への非選択性堆積を妨げるか、又は遅らせることができる。
幾つかの実施態様において、本明細書において説明される、基材の第一の表面、例えば基材の窒化ケイ素表面における不動態化は、基材の第二の表面に対して、少なくとも約90%選択的、少なくとも約95%選択的、少なくとも約96%、97%、98%若しくは99%、又はそれよりさらに選択的である。幾つかの実施態様において、不動態化は、第一の表面においてのみ起こり、第二の表面においては起こらない。幾つかの実施態様において、基材の第二の表面に対する基材の第一の表面における不働態化は、少なくとも約70%選択的であるか、又は少なくとも約80%選択的であり、幾つかの特定の用途のために十分に選択的であることができる。幾つかの実施態様において、基材の第二の表面に対する基材の第一の表面における不動態化は、少なくとも約50%選択的であり、幾つかの特定の用途のために十分に選択的であることができる。
湿式化学洗浄を使用して不動態層を除去することができる。例示的な湿式化学洗浄は、当分野において公知である、基材を湿式化学組成物と接触させる任意選択の工程について上で説明される酸性、塩基性及び酸化性(例えば過酸化物含有)の湿式化学組成物を含む。不動態層を除去するための別の方法は、熱又は他のエネルギーの適用による。
例1
窒化ケイ素及び酸化ケイ素フィーチャの両方を含有するパターン付けした表面を有する300mm直径のシリコンウエハ(基材)を、水性の1%フッ化水素酸溶液(0.3モル/L)を含有する浴中に60sの間浸漬し、次いで、脱気した脱イオン(DI)水ですすぎ、窒素ガスの下で乾燥した。真空引きした、かつ100℃に加熱した堆積チャンバー中にウエハを移した。n-オクチルイソシアネートの蒸気を、70℃に加熱した供給源容器からチャンバーへと輸送し、5minの間浸漬した。チャンバーを再度真空引きした。基材を空気に暴露することなく、酸化チタンのサイクル性の原子層堆積を、基材の存在の下で、次いでパージするテトラキス(ジメチルアミノ)チタンと、さらに次いでパージする水蒸気との交互の暴露によって行った。基材の酸化ケイ素部分における堆積厚さは、基材の窒化ケイ素部分における堆積厚さより大きい。
比較例2
窒化ケイ素及び酸化ケイ素フィーチャの両方を含有するパターン付けした表面を有する300mm直径のシリコンウエハ(基材)を、水性の1%フッ化水素酸溶液(0.3モル/L)を含有する浴中に60sの間浸漬し、次いで、脱気した脱イオン(DI)水ですすぎ、窒素ガスの下で乾燥した。真空引きした、かつ100℃に加熱した堆積チャンバー中にウエハを移した。基材を空気に暴露することなく、酸化チタンのサイクル性の原子層堆積を、基材の存在の下で、次いでパージするテトラキス(ジメチルアミノ)チタンと、さらに次いでパージする水蒸気との交互の暴露によって行った。基材の酸化ケイ素部分における堆積厚さは、基材の窒化ケイ素部分における堆積厚さと実質的に等しい。
例3
窒化ケイ素及び酸化ケイ素フィーチャの両方を含有するパターン付けした表面を有する300mm直径のシリコンウエハ(基材)を、水性の0.5%フッ化水素酸溶液(0.1モル/L)を含有する浴中に30sの間浸漬し、次いで、脱気した脱イオン(DI)水ですすぎ、窒素ガスの下で乾燥した。次いで、ウエハを、乾燥ヘキサン中に溶解した10wt%のオクタデシルイソシアネートを含有する溶液中に浸漬し、30minの間そのままにした。次いで、ウエハを取り出し、乾燥ヘキサンですすぎ、窒素のフローの下で乾燥した。真空引きした、かつ100℃に加熱した堆積チャンバー中にウエハを移した。基材を空気に暴露することなく、酸化チタンのサイクル性の原子層堆積を、基材の存在の下で、次いでパージするテトラキス(ジメチルアミノ)チタンと、さらに次いでパージする水蒸気との交互の暴露によって行った。基材の酸化ケイ素部分における堆積厚さは、基材の窒化ケイ素部分における堆積厚さより大きい。
例4
窒化ケイ素及び酸化ケイ素フィーチャの両方を含有するパターン付けした表面を有する300mm直径のシリコンウエハ(基材)を、水性の0.5%フッ化水素酸溶液(0.1モル/L)を含有する浴中に60sの間浸漬し、次いで、脱気した脱イオン(DI)水ですすぎ、窒素ガスの下で乾燥した。真空引きした、かつ100℃に加熱した堆積チャンバー中にウエハを移した。n-オクチルイソシアネートの蒸気を、70℃に加熱した供給源容器からチャンバーへと輸送し、15minの間浸漬した。チャンバーを再度真空引きした。基材を空気に暴露することなく、酸化ケイ素のサイクル性の原子層堆積を、基材の存在の下で、次いでパージするジsecブチルアミノシラン蒸気と、さらに次いでパージする、O2中の5%オゾンとの交互の暴露によって行った。基材の酸化ケイ素部分における堆積厚さは、基材の窒化ケイ素部分における堆積厚さより大きい。
例5
窒化ケイ素及び酸化ケイ素フィーチャの両方を含有するパターン付けした表面を有する300mm直径のシリコンウエハ(基材)を、水性の0.2%フッ化水素酸溶液(0.06モル/L)を含有する浴中に60sの間浸漬し、次いで、脱気した脱イオン(DI)水ですすぎ、窒素ガスの下で乾燥した。真空引きした、かつ100℃に加熱した堆積チャンバー中にウエハを移した。n-オクチルイソシアネートの蒸気を、70℃に加熱した供給源容器からチャンバーへと輸送し、5minの間浸漬した。チャンバーを再度真空引きした。基材を空気に暴露することなく、酸化チタンのサイクル性の原子層堆積を、基材の存在の下で、次いでパージするテトラキス(ジメチルアミノ)チタンと、さらに次いでパージする水蒸気と、さらについでパージするn-オクチルイソシアネートとの交互の暴露によって行った。基材の酸化ケイ素部分における堆積厚さは、基材の窒化ケイ素部分における堆積厚さより大きかった。
例6
窒化ケイ素及びコバルトフィーチャの両方を含有するパターン付けした表面を有する300mm直径のシリコンウエハ(基材)を、水性の1%フッ化水素酸溶液(0.3モル/L)を含有する浴中に60sの間浸漬し、次いで、脱気した脱イオン(DI)水ですすぎ、窒素ガスの下で乾燥した。真空引きした、かつ100℃に加熱した堆積チャンバー中にウエハを移した。n-オクチルイソシアネートの蒸気を、70℃に加熱した供給源容器からチャンバーへと輸送し、5minの間浸漬した。チャンバーを再度真空引きした。基材を空気に暴露することなく、酸化チタンのサイクル性の原子層堆積を、基材の存在の下で、次いでパージするテトラキス(ジメチルアミノ)チタンと、さらに次いでパージする水蒸気との交互の暴露によって行った。基材のコバルト部分における堆積厚さは、基材の窒化ケイ素部分における堆積厚さより大きい。
本発明の原理が、好ましい実施態様とあわせて上で説明されたが、この説明は単なる例によってされていて、本発明の範囲を限定するものとしてされたものではないことが、明確に理解される。
本発明の実施形態としては、以下の実施形態を挙げることができる。
(付記1)基材の表面を選択的に不動態化するための方法であって、前記基材の表面が、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含み、
a.任意選択で、水素プラズマ又はアンモニアプラズマで前記表面を処理する工程;並びに
b.式I:R-N=C=O (I)に従う構造を有し、式中、RがH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートに前記表面を暴露する工程であって、前記少なくとも1つの有機イソシアネートが前記窒化ケイ素と選択的に反応して前記第一の表面を不動態化し、それによって前記第二の表面を実質的に未反応のままにする暴露工程
を含む、方法。
(付記2)工程a及びbの前に行われる以下の工程:
前記基材の表面を湿式化学組成物と接触させる工程;
前記表面を脱イオン水ですすぐ工程;及び
前記表面を乾燥する工程
をさらに含み、前記湿式化学組成物が、H22(28%水溶液)、NH44(28~30%)及びH2Oを含む組成物;HF(0.01%~5%(水溶液));過酸化物;RCA洗浄化学製品SC-1及びSC-2;並びにH2SO4/H22の混合物からなる群から選択される少なくとも1つを含む、付記1に記載の方法。
(付記3)前記第二の表面が、SiO2、金属酸化物、銅、コバルト、タングステン、アモルファスシリコン、ポリシリコン、単結晶シリコン、ゲルマニウム及びアモルファス水素化ゲルマニウムからなる群から選択される少なくとも1つを含む、付記1に記載の方法。
(付記4)前記第二の表面がSiO2を含む、付記3に記載の方法。
(付記5)前記少なくとも1つの有機イソシアネートが、構造Cn2n+1CH2N=C=Oを有し、式中、nが1~17である、フッ素置換されたC1~C18直鎖アルキル基である、付記1に記載の方法。
(付記6)前記少なくとも1つの有機イソシアネートが、CF3CH2N=C=O、C25CH2N=C=O、C37CH2N=C=O、C49CH2N=C=O、C511CH2N=C=O、C613CH2N=C=O、C715CH2N=C=O、C817CH2N=C=O及びC919CH2N=C=Oからなる群から選択される、付記5に記載の方法。
(付記7)Rが、構造CnCl2n+1CH2N=C=Oを有し、式中、nが1~17である、塩素置換されたC1~C18直鎖アルキル基である、付記1に記載の方法。
(付記8)前記少なくとも1つの有機イソシアネートが、CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O及びC9Cl19CH2N=C=Oからなる群から選択される、付記7に記載の方法。
(付記9)Rが置換された又は置換されていないC3~C8環状アルキル基である、付記1に記載の方法。
(付記10)前記少なくとも1つの有機イソシアネートが、シクロプロピルイソシアネート、シクロブチルイソシアネート、シクロヘキシルイソシアネート及びメチルシクロヘキシルイソシアネートからなる群から選択される、付記9に記載の方法。
(付記11)Rが置換された又は置換されていないC4~C18アリール基である、付記1に記載の方法。
(付記12)前記少なくとも1つの有機イソシアネートが、フェニルイソシアネート、トリルイソシアネート、ジメチルフェニルイソシアネート、ナフチルイソシアネート、2-エチルフェニルイソシアネート及びキシリルイソシアネートからなる群から選択される、付記11に記載の方法。
(付記13)Rが置換されていないC1~C18直鎖アルキル基であるか、又はRが置換された若しくは置換されていないC3~C18分岐鎖アルキル基である、付記1に記載の方法。
(付記14)前記少なくとも1つの有機イソシアネートが、メチルイソシアネート、エチルイソシアネート、プロピルイソシアネート、イソプロピルイソシアネート、n-ブチルイソシアネート、sec-ブチルイソシアネート、tert-ブチルイソシアネート、ペンチルイソシアネート、ヘキシルイソシアネート、オクチルイソシアネート、デシルイソシアネート、ドデシルイソシアネート、ステアリルイソシアネート、1,1,3,3-テトラメチルブチルイソシアネート及び1-メチルヘプチルイソシアネートからなる群から選択される、付記13に記載の方法。
(付記15)Rが置換された又は置換されていないC5~C20アリールアルキル基である、付記1に記載の方法。
(付記16)前記少なくとも1つの有機イソシアネートがベンジルイソシアネートである、付記15に記載の方法。
(付記17)前記暴露工程が、前記少なくとも1つの有機イソシアネートの蒸気によって行われる、付記1に記載の方法。
(付記18)基材の表面に膜を選択的に堆積する方法であって、前記基材の表面が、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含み、
a.任意選択で、水素プラズマ又はアンモニアプラズマで前記表面を処理する工程;
b.式I:R-N=C=O (I)に従う構造を有し、式中、RがH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートに前記表面を暴露する工程であって、前記少なくとも1つの有機イソシアネートが前記窒化ケイ素と選択的に反応して前記第一の表面を不動態化し、それによって前記第二の表面を実質的に未反応のままにする暴露工程;並びに
c.前記基材の表面を、1つ又は複数の堆積前駆体に暴露して、前記第一の表面に対して、前記第二の表面に膜を選択的に堆積する工程
を含む、方法。
(付記19)工程a、b及びcの前に行われる以下の工程:
前記基材の表面を湿式化学組成物と接触させる工程;
前記表面を脱イオン水ですすぐ工程;及び
前記表面を乾燥する工程
をさらに含み、前記湿式化学組成物が、H22(28%水溶液)、NH44(28~30%)及びH2Oを含む組成物;HF(0.01%~5%(水溶液));過酸化物;RCA洗浄化学製品SC-1及びSC-2;並びにH2SO4/H22の混合物からなる群から選択される少なくとも1つを含む、付記18に記載の方法。
(付記20)前記第二の表面が、SiO2、金属酸化物、銅、コバルト、タングステン、アモルファスシリコン、ポリシリコン、単結晶シリコン、ゲルマニウム及びアモルファス水素化ゲルマニウムからなる群から選択される少なくとも1つを含む、付記18に記載の方法。
(付記21)前記第二の表面がSiO2を含む、付記20に記載の方法。
(付記22)前記少なくとも1つの有機イソシアネートが、構造Cn2n+1CH2N=C=Oを有し、式中、nが1~17である、フッ素置換されたC1~C18直鎖アルキル基である、付記18に記載の方法。
(付記23)前記少なくとも1つの有機イソシアネートが、CF3CH2N=C=O、C25CH2N=C=O、C37CH2N=C=O、C49CH2N=C=O、C511CH2N=C=O、C613CH2N=C=O、C715CH2N=C=O、C817CH2N=C=O及びC919CH2N=C=Oからなる群から選択される、付記22に記載の方法。
(付記24)Rが、構造CnCl2n+1CH2N=C=Oを有し、式中、nが1~17である、塩素置換されたC1~C18直鎖アルキル基である、付記18に記載の方法。
(付記25)前記少なくとも1つの有機イソシアネートが、CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O及びC9Cl19CH2N=C=Oからなる群から選択される、付記24に記載の方法。
(付記26)Rが置換された又は置換されていないC3~C8環状アルキル基である、付記18に記載の方法。
(付記27)前記少なくとも1つの有機イソシアネートが、シクロプロピルイソシアネート、シクロブチルイソシアネート、シクロヘキシルイソシアネート及びメチルシクロヘキシルイソシアネートからなる群から選択される、付記26に記載の方法。
(付記28)Rが置換された又は置換されていないC4~C18アリール基である、付記18に記載の方法。
(付記29)前記少なくとも1つの有機イソシアネートが、フェニルイソシアネート、トリルイソシアネート、ジメチルフェニルイソシアネート、ナフチルイソシアネート、2-エチルフェニルイソシアネート及びキシリルイソシアネートからなる群から選択される、付記28に記載の方法。
(付記30)Rが置換されていないC1~C18直鎖アルキル基であるか、又はRが置換された若しくは置換されていないC3~C18分岐鎖アルキル基である、付記18に記載の方法。
(付記31)前記少なくとも1つの有機イソシアネートが、メチルイソシアネート、エチルイソシアネート、プロピルイソシアネート、イソプロピルイソシアネート、n-ブチルイソシアネート、sec-ブチルイソシアネート、tert-ブチルイソシアネート、ペンチルイソシアネート、ヘキシルイソシアネート、オクチルイソシアネート、デシルイソシアネート、ドデシルイソシアネート、ステアリルイソシアネート、1,1,3,3-テトラメチルブチルイソシアネート及び1-メチルヘプチルイソシアネートからなる群から選択される、付記30に記載の方法。
(付記32)Rが置換された又は置換されていないC5~C20アリールアルキル基である、付記18に記載の方法。
(付記33)前記少なくとも1つの有機イソシアネートがベンジルイソシアネートである、付記32に記載の方法。
(付記34)前記暴露工程が、前記少なくとも1つの有機イソシアネートの蒸気によって行われる、付記18に記載の方法。

Claims (30)

  1. a.少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、SiO2を含む第二の表面とを含む基材の表面を、HF(0.01%~5%(水溶液))を含む湿式化学組成物と接触させる工程;
    b.前記表面を脱イオン水ですすぐ工程;
    c.前記表面を乾燥する工程;
    d.前記基材の表面を、任意選択で、水素プラズマ又はアンモニアプラズマで処理する工程;並びに
    e.式I:R-N=C=O (I)に従う構造を有し、式中、RがH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートに前記表面を暴露する暴露工程
    を含む、方法。
  2. 前記少なくとも1つの有機イソシアネートが、構造Cn2n+1CH2N=C=Oを有し、式中、nが1~17である、フッ素置換されたC1~C18直鎖アルキル基である、請求項1に記載の方法。
  3. 前記少なくとも1つの有機イソシアネートが、CF3CH2N=C=O、C25CH2N=C=O、C37CH2N=C=O、C49CH2N=C=O、C511CH2N=C=O、C613CH2N=C=O、C715CH2N=C=O、C817CH2N=C=O及びC919CH2N=C=Oからなる群から選択される、請求項2に記載の方法。
  4. Rが、構造CnCl2n+1CH2N=C=Oを有し、式中、nが1~17である、塩素置換されたC1~C18直鎖アルキル基である、請求項1に記載の方法。
  5. 前記少なくとも1つの有機イソシアネートが、CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O及びC9Cl19CH2N=C=Oからなる群から選択される、請求項4に記載の方法。
  6. Rが置換された又は置換されていないC3~C8環状アルキル基である、請求項1に記載の方法。
  7. 前記少なくとも1つの有機イソシアネートが、シクロプロピルイソシアネート、シクロブチルイソシアネート、シクロヘキシルイソシアネート及びメチルシクロヘキシルイソシアネートからなる群から選択される、請求項6に記載の方法。
  8. Rが置換された又は置換されていないC4~C18アリール基である、請求項1に記載の方法。
  9. 前記少なくとも1つの有機イソシアネートが、フェニルイソシアネート、トリルイソシアネート、ジメチルフェニルイソシアネート、ナフチルイソシアネート、2-エチルフェニルイソシアネート及びキシリルイソシアネートからなる群から選択される、請求項8に記載の方法。
  10. Rが置換されていないC1~C18直鎖アルキル基であるか、又はRが置換された若しくは置換されていないC3~C18分岐鎖アルキル基である、請求項1に記載の方法。
  11. 前記少なくとも1つの有機イソシアネートが、メチルイソシアネート、エチルイソシアネート、プロピルイソシアネート、イソプロピルイソシアネート、n-ブチルイソシアネート、sec-ブチルイソシアネート、tert-ブチルイソシアネート、ペンチルイソシアネート、ヘキシルイソシアネート、オクチルイソシアネート、デシルイソシアネート、ドデシルイソシアネート、ステアリルイソシアネート、1,1,3,3-テトラメチルブチルイソシアネート及び1-メチルヘプチルイソシアネートからなる群から選択される、請求項10に記載の方法。
  12. Rが置換された又は置換されていないC5~C20アリールアルキル基である、請求項1に記載の方法。
  13. 前記少なくとも1つの有機イソシアネートがベンジルイソシアネートである、請求項12に記載の方法。
  14. 前記暴露工程が、前記少なくとも1つの有機イソシアネートの蒸気によって行われる、請求項1に記載の方法。
  15. 基材の表面に膜を堆積する方法であって、前記基材の表面が、少なくとも、窒化ケイ素を含む第一の表面と、少なくとも、窒化ケイ素以外の材料を含む第二の表面とを含み、
    a.前記基材の表面を、HF(0.01%~5%(水溶液))を含む湿式化学組成物と接触させる工程;
    b.前記表面を脱イオン水ですすぐ工程;
    c.前記表面を乾燥する工程;
    d.任意選択で、水素プラズマ又はアンモニアプラズマで前記表面を処理する工程;
    e.式I:R-N=C=O (I)に従う構造を有し、式中、RがH、置換された又は置換されていないC1~C18直鎖アルキル基、置換された又は置換されていないC3~C18分岐鎖アルキル基、置換された又は置換されていないC3~C8環状アルキル基、置換された又は置換されていないC3~C10複素環基、置換された又は置換されていないC3~C18アルケニル基、置換された又は置換されていないC4~C18アリール基、置換された又は置換されていないC5~C20アリールアルキル基及び置換された又は置換されていないC3~C10アルキニル基からなる群から選択される少なくとも1つの有機イソシアネートに前記表面を暴露する暴露工程;並びに
    f.前記基材の表面を、1つ又は複数の堆積前駆体に暴露して、前記第二の表面に、前記第二の表面における堆積厚さが前記第一の表面における堆積厚さより大きい膜を堆積する工程
    を含む、方法。
  16. 前記第二の表面が、SiO2、金属酸化物、銅、コバルト、タングステン、アモルファスシリコン、ポリシリコン、単結晶シリコン、ゲルマニウム及びアモルファス水素化ゲルマニウムからなる群から選択される少なくとも1つを含む、請求項15に記載の方法。
  17. 前記第二の表面がSiO2を含む、請求項16に記載の方法。
  18. 前記少なくとも1つの有機イソシアネートが、構造Cn2n+1CH2N=C=Oを有し、式中、nが1~17である、フッ素置換されたC1~C18直鎖アルキル基である、請求項15に記載の方法。
  19. 前記少なくとも1つの有機イソシアネートが、CF3CH2N=C=O、C25CH2N=C=O、C37CH2N=C=O、C49CH2N=C=O、C511CH2N=C=O、C613CH2N=C=O、C715CH2N=C=O、C817CH2N=C=O及びC919CH2N=C=Oからなる群から選択される、請求項18に記載の方法。
  20. Rが、構造CnCl2n+1CH2N=C=Oを有し、式中、nが1~17である、塩素置換されたC1~C18直鎖アルキル基である、請求項15に記載の方法。
  21. 前記少なくとも1つの有機イソシアネートが、CCl3CH2N=C=O、C2Cl5CH2N=C=O、C3Cl7CH2N=C=O、C4Cl9CH2N=C=O、C5Cl11CH2N=C=O、C6Cl13CH2N=C=O、C7Cl15CH2N=C=O、C8Cl17CH2N=C=O及びC9Cl19CH2N=C=Oからなる群から選択される、請求項20に記載の方法。
  22. Rが置換された又は置換されていないC3~C8環状アルキル基である、請求項15に記載の方法。
  23. 前記少なくとも1つの有機イソシアネートが、シクロプロピルイソシアネート、シクロブチルイソシアネート、シクロヘキシルイソシアネート及びメチルシクロヘキシルイソシアネートからなる群から選択される、請求項22に記載の方法。
  24. Rが置換された又は置換されていないC4~C18アリール基である、請求項15に記載の方法。
  25. 前記少なくとも1つの有機イソシアネートが、フェニルイソシアネート、トリルイソシアネート、ジメチルフェニルイソシアネート、ナフチルイソシアネート、2-エチルフェニルイソシアネート及びキシリルイソシアネートからなる群から選択される、請求項24に記載の方法。
  26. Rが置換されていないC1~C18直鎖アルキル基であるか、又はRが置換された若しくは置換されていないC3~C18分岐鎖アルキル基である、請求項15に記載の方法。
  27. 前記少なくとも1つの有機イソシアネートが、メチルイソシアネート、エチルイソシアネート、プロピルイソシアネート、イソプロピルイソシアネート、n-ブチルイソシアネート、sec-ブチルイソシアネート、tert-ブチルイソシアネート、ペンチルイソシアネート、ヘキシルイソシアネート、オクチルイソシアネート、デシルイソシアネート、ドデシルイソシアネート、ステアリルイソシアネート、1,1,3,3-テトラメチルブチルイソシアネート及び1-メチルヘプチルイソシアネートからなる群から選択される、請求項26に記載の方法。
  28. Rが置換された又は置換されていないC5~C20アリールアルキル基である、請求項15に記載の方法。
  29. 前記少なくとも1つの有機イソシアネートがベンジルイソシアネートである、請求項28に記載の方法。
  30. 前記暴露工程が、前記少なくとも1つの有機イソシアネートの蒸気によって行われる、請求項15に記載の方法。
JP2023087044A 2018-08-27 2023-05-26 ケイ素含有表面への選択的堆積 Pending JP2023105023A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862723023P 2018-08-27 2018-08-27
US62/723,023 2018-08-27
JP2021510687A JP7288501B2 (ja) 2018-08-27 2019-08-23 ケイ素含有表面への選択的堆積
US16/548,983 2019-08-23
US16/548,983 US11282710B2 (en) 2018-08-27 2019-08-23 Selective deposition on silicon containing surfaces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021510687A Division JP7288501B2 (ja) 2018-08-27 2019-08-23 ケイ素含有表面への選択的堆積

Publications (1)

Publication Number Publication Date
JP2023105023A true JP2023105023A (ja) 2023-07-28

Family

ID=69586286

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021510687A Active JP7288501B2 (ja) 2018-08-27 2019-08-23 ケイ素含有表面への選択的堆積
JP2023087044A Pending JP2023105023A (ja) 2018-08-27 2023-05-26 ケイ素含有表面への選択的堆積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021510687A Active JP7288501B2 (ja) 2018-08-27 2019-08-23 ケイ素含有表面への選択的堆積

Country Status (9)

Country Link
US (1) US11282710B2 (ja)
EP (1) EP3844799A4 (ja)
JP (2) JP7288501B2 (ja)
KR (1) KR102431745B1 (ja)
CN (1) CN112602169A (ja)
IL (1) IL280881A (ja)
SG (1) SG11202101696UA (ja)
TW (1) TWI720597B (ja)
WO (1) WO2020046746A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11515154B2 (en) * 2020-10-27 2022-11-29 Applied Materials, Inc. Selective deposition of a passivation film
KR102504146B1 (ko) * 2020-11-23 2023-02-27 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
US20240047196A1 (en) * 2020-12-01 2024-02-08 Versum Materials Us, Llc Selective thermal atomic layer deposition

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6187699A (ja) * 1984-10-05 1986-05-06 Nok Corp 生理活性物質の固定化方法
JP3592226B2 (ja) * 2000-10-06 2004-11-24 松下電器産業株式会社 機能性有機薄膜の製造方法
US6686017B2 (en) * 2001-02-28 2004-02-03 Matsushita Electric Industrial Co., Ltd. Optical recording film, method for manufacturing the same, optical recording medium, method for manufacturing the same, optical recording method, information recording/reproducing apparatus, information reproducing/recording method, computer system and video signal recording/reproducing system
US6641899B1 (en) * 2002-11-05 2003-11-04 International Business Machines Corporation Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
US7132678B2 (en) * 2003-03-21 2006-11-07 International Business Machines Corporation Electronic device including a self-assembled monolayer, and a method of fabricating the same
US8221879B2 (en) * 2004-06-21 2012-07-17 Wageningen University Tailor-made functionalized silicon and/or germanium surfaces
US8114301B2 (en) * 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP2013011465A (ja) * 2011-06-28 2013-01-17 Konica Minolta Advanced Layers Inc 修飾基導入基板の製造方法およびリガンド固定化基板の製造方法,修飾基導入基板およびリガンド固定化基板,ならびに,分子間相互作用検出方法
US8765613B2 (en) * 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
EP2832539B1 (en) * 2012-03-30 2016-05-04 Lintec Corporation Gas barrier film laminate, member for electronic device, and electronic device
EP2824717B1 (en) * 2013-07-09 2016-12-28 Agfa-Gevaert A backsheet for photovoltaic modules
JP6577695B2 (ja) * 2013-12-18 2019-09-18 大陽日酸株式会社 シリコン窒化膜の形成方法
WO2016161340A1 (en) * 2015-04-01 2016-10-06 Ayon Arturo A Compositions for uv sequestration and methods of use
CN107533951B (zh) * 2015-05-01 2021-10-26 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
WO2017151639A1 (en) * 2016-03-03 2017-09-08 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10907073B2 (en) * 2017-01-11 2021-02-02 Fujimi Incorporated Polishing composition
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10519035B1 (en) * 2017-02-23 2019-12-31 Rhode Island Council On Postsecondary Education Covalent chemical surface modification of surfaces with available silicon or nitrogen
JP2018182021A (ja) * 2017-04-11 2018-11-15 ソニーセミコンダクタソリューションズ株式会社 撮像素子、積層型撮像素子及び固体撮像装置
WO2019136398A1 (en) * 2018-01-05 2019-07-11 Simpore Inc. Functionalized silicon nanomembranes and uses thereof

Also Published As

Publication number Publication date
US11282710B2 (en) 2022-03-22
EP3844799A1 (en) 2021-07-07
EP3844799A4 (en) 2022-05-25
IL280881A (en) 2021-04-29
TWI720597B (zh) 2021-03-01
JP7288501B2 (ja) 2023-06-07
KR102431745B1 (ko) 2022-08-10
TW202009319A (zh) 2020-03-01
CN112602169A (zh) 2021-04-02
SG11202101696UA (en) 2021-03-30
WO2020046746A1 (en) 2020-03-05
KR20210035337A (ko) 2021-03-31
US20200066539A1 (en) 2020-02-27
JP2021536527A (ja) 2021-12-27

Similar Documents

Publication Publication Date Title
JP2023105023A (ja) ケイ素含有表面への選択的堆積
KR102434954B1 (ko) 금속 표면들 상에 블로킹 층들을 증착시키기 위한 방법들
KR20200146036A (ko) 이중 선택적 퇴적
TWI709655B (zh) 金屬膜的選擇性沈積
JP6751107B2 (ja) 表面ブロッキング化学作用を用いた薄膜誘電体の選択的堆積
KR102185458B1 (ko) 선택적 퇴적
KR102380197B1 (ko) SiCON의 저온 분자층 증착
KR102306680B1 (ko) 실리콘계 유전체들 상에서의 선택적 증착을 위한 방법들
CN110612364B (zh) 在含硅表面上的选择性沉积
CN108028172B (zh) 使用硅氢加成钝化的表面选择性原子层沉积
JP2014506013A (ja) SiO2のインシトゥ気相表面活性化
KR102251989B1 (ko) 유기 금속 전구체 및 이를 이용한 박막 형성 방법
TWI729285B (zh) 金屬薄膜的選擇性沈積
TW202021046A (zh) 形成具有嵌入式阻障層的穿孔之方法
KR20220114612A (ko) 선택적 증착 방법들
KR20210117344A (ko) 희생 마스크 (sacrificial mask) 를 사용하는 선택적인 에칭
JP2024508893A (ja) シリコン誘電体膜の選択的堆積
WO2021097022A1 (en) Silyl pseudohalides for silicon containing films
WO2023114214A1 (en) Spin coatable metal-containing compositions and methods of using the same
Sato et al. In situ vapor phase surface activation of SiO 2

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230526

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230526

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240528