WO2023114214A1 - Spin coatable metal-containing compositions and methods of using the same - Google Patents

Spin coatable metal-containing compositions and methods of using the same Download PDF

Info

Publication number
WO2023114214A1
WO2023114214A1 PCT/US2022/052714 US2022052714W WO2023114214A1 WO 2023114214 A1 WO2023114214 A1 WO 2023114214A1 US 2022052714 W US2022052714 W US 2022052714W WO 2023114214 A1 WO2023114214 A1 WO 2023114214A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
metal
approximately
substrate
spin
Prior art date
Application number
PCT/US2022/052714
Other languages
French (fr)
Other versions
WO2023114214A8 (en
Inventor
Guillaume HUSSON
Fabrizio Marchegiani
Fan QIN
Christopher M. STANGL
Original Assignee
L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedes Georgesgeorges Claude
American Air Liquide, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedes Georgesgeorges Claude, American Air Liquide, Inc. filed Critical L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedes Georgesgeorges Claude
Publication of WO2023114214A1 publication Critical patent/WO2023114214A1/en
Publication of WO2023114214A8 publication Critical patent/WO2023114214A8/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances

Definitions

  • the present invention relates to a method for forming a Si-free metal-containing film through a wet coating process, in particular, to a method using a film-forming composition comprising a metal-containing precursor, at least one cross-linker compound comprising two or more linking groups and a solvent to form the Si-free metal-containing film, wherein the metal-containing precursor is a Ti, Zr, Hf, W, Sn, Ta, V, Nb, Ta, Or, Mo or W containing precursor.
  • Metal oxide films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against plasma etches.
  • Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are commonly used to deposit metal-containing films on substrates, unfortunately ALD or CVD tools are high cost-of-ownership and low throughput.
  • the typical lithographic technique includes providing a material layer on a semiconductor substrate; coating a photoresist layer thereon; exposing and developing the same to provide a photoresist pattern; and etching the material layer using the photoresist pattern as a mask.
  • the hardmask layer plays a role of an intermediate layer for transferring the fine pattern of photoresist to the material layer through the selective etching process.
  • the hardmask layer requires having characteristics such as chemical resistance, heat resistance, and etching resistance.
  • the hardmasks usually contain high amounts of refractory materials (e.g., Al, Si, Ti, Zr, Hf, W, and the like) or have high carbon content. They are used when the photoresist, usually made of organic polymer, Is not capable of providing sufficient resistance to dry etching.
  • Spin-on coating is a procedure used to deposit uniform thin films onto mainly flat substrates by centrifugal force.
  • a coating formulation is applied on the center of the substrate, which is then spun at a certain speed.
  • the coating formulation is spread by centrifugal force and forms a film to cover the substrate.
  • the thickness of the film is typically controlled by the concentration of the coating formulation and the spin rate.
  • the hardmask layer may be formed on a predetermined pattern by spin-on coating method.
  • Related prior art include the following.
  • Padmanaban et al. J. Photopoly. Sci. & Tech., Vol. 27, No. 4 (2014) 503-509; J. Photopoly. Sci. & Tech., Vol. 29, No. 1 (2016) 59—67 disclose a spin-on metal hard mask (MHM) materials containing metal Ti, W, Zr, Hf, Al, and Sn etc., which can form amorphous metal oxide films after the spin coating and bake process.
  • MHM spin-on metal hard mask
  • Padmanaban et al. used commercial AZ Spin-on MHM but precursor families are not specified. Those metal oxide films showed good halogen plasma etch resistance (CF 4 , BCia) with low defects and no metal contamination.
  • High metal content ZrO x has demonstrated the best etch resistance in CF 4 and O 2 plasmas. They could be easily removed using standard wet chemicals such as SC1 (H 2 O:H 2 O 2 :NH 4 OH) and Piranha.
  • the hard mask material absorbs DUV wavelengths and hence can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity and potentially be used as etch stop layer in self aligned quadruple patterning (SAQP) for advanced technology nodes below 7 nm since they have high dry etch and wet etch resistance during 1st etch and post-etch clean.
  • SAQP self aligned quadruple patterning
  • US 2019/0309422 discloses a method to deposit by spin coating a conductive metallic film onto a substrate using a liquid metallic precursor.
  • the metal can be Fe, Co, Ni, Ru, Ir, Rh, Pd, Pt, Cu, Ag, Au or Ob, coordinated to at least one neutral stabilizing ligand such as CO, NO, acetylene, ethylene, C4-C18 diene or cyclic diene, C S -CIB triene, CB-C-IB tetraene, organocyanide, nitrile, phosphine, amine, ether, alkyne).
  • neutral stabilizing ligand such as CO, NO, acetylene, ethylene, C4-C18 diene or cyclic diene, C S -CIB triene, CB-C-IB tetraene, organocyanide, nitrile, phosphine, amine, ether, alkyne).
  • US 9201305 discloses organic solvent soluble spin-on metal oxide carboxylate materials that can be used to form underlayers suitable for photolithographic processes.
  • the metal oxide dicarboxylate can decompose during the curing at -200 to 500°C for about 30 to 200 s to give a cured metal oxide film.
  • the metal is a high refractive metal selected from Ti, V, Cr, Zr, Nb, Mo, Ru, Rh, Hf, Ta, W, Re, and Ir, such as Zirconium oxide dicarboxylate or titanium oxide dicarboxylate.
  • the metal carboxylate is mixed with a polymer containing a hydrophobic moiety, a chromophore moiety and a crosslinking moiety.
  • the metal content of the metal oxide film after the heating step is in the range of 10 to 85 weight%.
  • a photoresist film is formed above this metal film and is imaged with radiation in the range of about 12 to about 250 nm and developed to form a pattern.
  • US9409793 discloses a spin-on composition containing metallosilicic acid, such as tungstosilicic add, and an oligo/poiymer which has at least two or more 4-hydroxyphenyl groups; and a solvent. This formulation showed good film quality, and via filling performance where all the vias were completely filled. These coated films showed lower etch rates in Oz/Ar than that of photoresist or the commercial high carbon material AZOU98.
  • US10163797 discloses using a spin-on metal oxide deposition process to form a part of the interlayer dielectric (ILD). Electrical interconnections such as metal lines or vias are electrically insulated from one another by ILD material. Silicon oxycarbide (SiOC) is generally used as ILD material; the formation of the SiOC material also involves an oxygencontaining gas. The oxygen may oxidize the metal layer below, and this may lead to increased resistivity.
  • SiOC Silicon oxycarbide
  • This patent can be used in a N5 mid-end-of-line (MEOL) flow.
  • Kim et al. discloses inorganic peroxopolytungstic acid (W-IPA) powder, which is soluble in water, by dissolving metal tungsten in H 2 O 2 and by evaporating residual solvent. The solution of W- IPA is then mixed with organic solvent, which is spin-coated on wafers. Once coated, tungsten metal films are reduced.
  • the viscosity of the organic solvents should be in the range of 1-4 cP and the decomposition temperature should not be too high.
  • N-methyl-2- pyrrolidone was found to be the most effective organic solvent to increase the uniformity and density of the W-IPA films formed.
  • UV (30W) is irradiated (2.9x10 -3 J/cm 2 s) before the reduction.
  • UV irradiated W-IPA films show much lower sheet resistance (300 mW-cm) than that of films without UV irradiation (2,300 mW-cm).
  • Page et al. disclose that the relative humidity during spin-processing has a marked impact on film thickness of amorphous metal oxide (aluminum oxide and lanthanum zirconium oxide, “LZO”) and hafnium oxide-sulfate (HafSOx) thin films deposited from aqueous precursors. Decreasing RH from 95% to 20% RH results in a -three- fold increase in film thickness.
  • the Aluminum precursor was prepared by briefly passing a reductive current through a -1.2 M AlfNChMaq) (99.9%) solution until a pH of -3 was achieved.
  • Exact concentration was determined by slowly heating a 5 mL portion of the reduced solution to 800°C and obtaining the mass of the remaining AIO X .
  • the reduced AIO X precursor solution was diluted to 1.0 M [Al 3+ ] for deposition.
  • LZO precursors were prepared by dissolving La(NOs)3-6H2O and ZrO(NOs)2 ⁇ 8H 2 O in 18.2 MQ cm H 2 O to achieve a 1 :1 La:Zr ratio and a total metal concentration of 1.0 M.
  • metal precursors such as Ferrocene (bis(cyclopentadienyl) iron), acetylacetonate platinum(ll) (Pt(acac) 2 ), or acetylacetonate iron(lll) (Fe(aca
  • the ferrocene/styrene, Pt(acac) 2 /vinylpyridine, and Fe(acac) 3 /vinylpyridine molar ratios were 1.0/1.0, 0.5/1.0, 0.5/1.0, respectively.
  • the solution was spin- coated onto a glass substrate at 2000 rpm from the toluene solution.
  • the sample film was separated from the glass substrate by floating the substrate in distilled water.
  • the block copolymer templates were removed by oxygen plasma treatment or by calcination in air (550°C).
  • US2014/0377457 discloses a method to form a metal nanoparticle dispersion by mixing solvent and metal nanoparticles that are a combination of a metal oxide and a reducing agent in an amount sufficient to react with the oxide to significantly increase the conductivity of a metal film.
  • the dispersion is then deposited onto a substrate to form a nanoparticle film and heated to form a conductive metal film.
  • the metal nanoparticles are made of Al, Ag, Au, Pt, Pd, Cu, Co, Cr, In or Ni and the metal nanoparticles are in a concentration ranging from about 15 wt% to about 90 wt%.
  • the reducing agent is chosen from substituted or unsubstituted hydrazine, formic acid, formic acid salts, esters, substituted or unsubstituted amine borane compounds, oxalic acid, substituted or unsubstituted aldehydes, alkali sulfites and alkaline earth sulfites.
  • the metal film has a conductivity that is greater than 1 .0x10 4 S/cm.
  • the annealing is performed at a temperature ranging from about 80°C to about 250°C. Summary
  • a film-forming composition comprising: a metal-containing precursor; at least one cross-linker compound comprising two or more linking groups; and a solvent, wherein the metal-containing precursor has the following general formula: ML4, M'L 5 ,
  • M Ti, Zr, Hf, W, Sn;
  • L 1 is N-R
  • L 2 is NR 2 , PR3, arene, OR, SR, Cp, R'xCp, OSiR 3 , pyrazolate, amidinate;
  • the disclosed methods may include one or more of the following aspects:
  • the metal-containing precursor being selected from M(NR 2 ) 4 , M'(NR 2 ) 5 or (R ' 5 Cp)M(NR 2 ) 3 ;
  • the metal-containing precursor being selected from Zr(NMe 2 ) 4 , Zr(NMeEt) 4 , Zr(NEt 2 ) 4 , Ti(NMe 2 ) 4 , Ti(NMeEt) 4 , Ti(NEt 2 ) 4 , Hf(NMe 2 ) 4 , Hf(NMeEt) 4 , Hf(NEt 2 ) 4 , Sn(NMe) 2 ) 4 , Ta(NMe 2 ) 5 , CpZr(NMe 2 ) 3 , CpZr(NMeEt) 3 , CpZr(NEt 2 ) 3 , (MeCp)Zr(NMe 2 ) 3 , (MeCp)Zr(NMeEt) 3 , (MeCp)Zr(NEt 2 ) 3 , CpTi(NMe 2 ) 3 , CpTi(NMeEt) 3 , CpTi(NEt 2 ) 3
  • the metal-containing precursor being selected from AI 2 (NMe 2 ) 6 (CAS#: 32093-39-3), AI(O-iPr) 3 (CAS#: 555-31 -7), AI(Me) 2 (OiPr), AI(Et) 2 CI, or AS(iBu) 2 CI;
  • the metal-containing precursor being tris(dimethylamido)aluminum(lll) dimer (AI 2 (NMe 2 ) 6 , CAS#: 32093-39-3);
  • the metal-containing precursor being aluminum isopropoxide (Al(O-iPr)s, CAS#: 555- 31 -7);
  • the metal-containing precursor being tetrakis(dimethylamido)zirconium(IV) (Zr(CH 3 ) 2 N] 4 , CAS#: 19756-04-8);
  • the two or more linking groups being selected from the group consisting of an organic linking moiety or a heteroatom containing linking moiety;
  • the film-forming composition being soluble in organic solvents
  • the film-forming composition being removed using standard wet chemicals such as SC1 (H 2 O:H 2 O 2 :NH4OH) and diluted HF;
  • the two or more linking groups being selected from primary and secondary amine, hydrazine, sulfhydryl, Imino, alkoxycarbonyl, hydroxyl, alkylcarbonyl, carbamide;
  • the two or more linking groups being selected from ethylene diamine, diethylene triamine, dimethyl hydrazine, 1 ,2-ethanedithiol, 1 ,3-propanedithiol, 1 ,4-butanedithiol, 1 ,3- diiminoisoindoline, diiminopyridine, 1 ,2-dimethoxyethane, diethoxymethane, ethylene glycol, diethylene glycol, succinic acid, oxalic acid, urea;
  • the solvent being selected from alcohols, glycols, glycol ether derivatives, glycol ether ester derivatives, aromatic solvents, saturated hydrocarbon compounds, unsaturated hydrocarbon compounds, ethers, esters, ketones and mixtures thereof;
  • the crosslinking catalyst being selected from an amine, a borane, or an organometallic;
  • an amount of the crosslinking catalyst included in the spin coatable composition being about 0.0001 to 5% by weight of the spin coatable composition
  • an amount of the crosslinking catalyst included in the spin coatable composition being 0.01 to 10 parts by weight, based on 100 parts by weight of the metal-containing precursor;
  • the surfactant being selected from a non-ionic surfactant, unsaturated fatty amine, fluorinated surfactant, silicon-based surfactant or a combination thereof;
  • the surfactant being added in a concentration of 0.001 % to 5% by weight of the metalcontaining precursor in the spin coatable composition.
  • a method for forming a Si-free metal-containing film on a substrate comprising: applying a film-forming composition of claim 1 that contains a metal-containing precursor onto a substrate through a wet coating process; and baking the substrate with the film-forming composition of claim 1 thereon under a temperature ranging from about 50°C to about 1500°C to form the Si-free metal-containing film.
  • the disclosed methods may include one or more of the following aspects:
  • the wet coating process being a spin coating, spray coating, dip coating, or slit coating technique
  • further comprising thermal curing the substrate with the film-forming composition of claim 1 thereon at a temperature ranging from approximately 200°C to approximately 800°C, under an inert or a reactive gas; ⁇ further comprising exposing the substrate with the film-forming composition of claim 1 thereon to a reactive gas, wherein the reactive gas is selected from H 2I an oxidizing gas selected from O 2 , O 3 , ambient air, compressed dry air, humid air, H 2 O, H2O2, organic peroxides, NO, N 2 O, NO 2 , CO, CO 2 , SO 2 , NH 3 , hydrazines and combinations thereof;
  • the substrate being a patterned wafer including gaps, wherein the gaps refer to apertures, vias, lines, tranches, or holes;
  • the Si-free metal-containing film being a Si-free metal-containing gapfill without defects generated
  • the substrate comprising trenches or holes having an aspect ratio ranging from approximately 1 :1 to approximately 1 :100;
  • the trenches or holes having a critical dimension ranging from approximately 1 nm to approximately 10 ⁇ m;
  • further comprising prior to the formation of the metal-containing film, treating the surface of the substrate by plasma, wet chemistry treatment, surface prewet, UV/O 3 cleaning treatment, or contacting a adhesion promoter to increase the surface energy of the surface of the substrate;
  • the metal-containing precursor being selected from M(NR 2 ) 4 , M'(NR 2 ) 5 or (R' 5 Cp)M(NR 2 ) 3 ;
  • the metal-containing precursor being selected from Zr(NMe 2 ) 4i Zr(NMeEt) 41 Zr(NEt 2 ) 4 , Ti(NMe 2 ) 4 , Ti(NMeEt) 4 , Ti(NEt 2 ) 4 , Hf(NMe 2 ) 4 , Hf(NMeEt) 4 , Hf(NEt 2 ) 4 , Sn(NMe) 2 ) 4 , Ta(NMe 2 ) 5 , CpZr(NMe 2 ) 3 , CpZr(NMeEt) 3 , CpZr(NEt 2 ) 3 , (MeCp)Zr(NMe 2 ) 3 , (MeCp)Zr(NMeEt) 3 , (MeCp)Zr(NEt 2 ) 3 , CpTi(NMe 2 ) 3 , CpTi(NMeEt) 3 , CpTi(NEt 2 ) 3 , (M
  • the metal-containing precursor being selected from AI 2 (NMe 2 ) 6 (CAS#: 32093-39-3), AI(O-iPr) 3 (CAS#: 555-31-7), AI(Me) 2 (OiPr), AI(Et) 2 CI, or AI(iBu) 2 CI; ⁇ the metal-containing precursor being tris(dimethylamido)aluminum(lll) dimer (AI 2 (NMe 2 ) 6 , CAS#: 32093-39-3);
  • the metal-containing precursor being aluminum isopropoxide (AI(O-iPr) 3 , CAS#: 555- 31-7);
  • the metal-containing precursor being tetrakis(dimethylamido)zirconium(IV) (Zr(CH 3 ) 2 N]4, CAS#: 19756-04-8);
  • the metal-containing film having a film shrinkage ranging from approximately 0% to approximately 40%;
  • the metal-containing film having a film shrinkage ranging from approximately 0% to approximately 20%;
  • the metal-containing film being a metal oxide (MO X ), nitride (MN X ), carboxide (MC x O y ), carbonitride (MC x N y ), carbooxynitride (MC x O y N z ) film or combinations thereof;
  • the metal-containing film being a metal oxide AIO X , TiO x , ZrO x , SnO x , HfO x , TaO x films or the like;
  • the metal-containing film being 100% amorphous
  • the metal-containing film being partially amorphous
  • the metal-containing film being silicon free
  • ⁇ a selectivity of a silicon-containing film versus the metal-containing film being greater than 2;
  • the silicon-containing film being SiO, SiN, P-Si, a-Si, crystalized Si;
  • the metal-containing film being heat resistance, chemical resistance, and etch resistance.
  • room temperature (RT) in the text or in a claim means from approximately 20°C to approximately 25°C.
  • ambient temperature refers to an environment temperature approximately 20°C to approximately 25°C.
  • substrate refers to a material or materials on which a process is conducted.
  • the substrate may refer to a wafer having a material or materials on which a process is conducted.
  • the substrates may be any suitable wafer used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing.
  • the substrate may also have one or more layers of differing materials already deposited upon it from a previous manufacturing step.
  • the wafers may include silicon layers (e.g., crystalline, amorphous, porous, etc.), silicon containing layers (e.g., SiQz, SIN, SION, SICOH, etc.), metal-containing layers (e.g., copper, cobalt, ruthenium, tungsten, platinum, palladium, nickel, ruthenium, gold, etc.) or combinations thereof.
  • the substrate may be planar or patterned.
  • the substrate may be an organic patterned photoresist film.
  • the substrate may include layers of oxides which are used as dielectric materials in MEMS, 3D NAND, MIM, DRAM, or FeRam device applications (for example, ZrO?
  • nitride-based films for example, TaN, TIN, NbN
  • films refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line. Throughout the specification and claims, the wafer and any associated layers thereon are referred to as substrates.
  • wafer or patterned wafer refers to a wafer having a stack of films on a substrate and at least the top-most film having topographic features that have been created in steps prior to the deposition of the low-k film.
  • the term “aspect ratio” refers to a ratio of the height of a trench (or aperture) to the width of the trench (or the diameter of the aperture).
  • film and “layer” may be used interchangeably. It is understood that a film may correspond to, or related to a layer, and that the layer may refer to the film. Furthermore, one of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may range from as large as the entire wafer to as small as a trench or a line.
  • the terms “gap”, “aperture”, “via”, “hole”, “trench” and “line” may be used interchangeably to refer to an opening formed in a semiconductor structure or in a substrate or a wafer.
  • the standard abbreviations of the eiements from the periodic table of elements are used herein, it should be understood that elements may be referred to by these abbreviation (e.g., Si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, H refers to hydrogen, F refers to fluorine, etc.).
  • hydrocarbon refers to a saturated or unsaturated function group containing exclusively carbon and hydrogen atoms.
  • alkyl group refers to saturated functional groups containing exclusively carbon and hydrogen atoms.
  • An alkyl group is one type of hydrocarbon.
  • alkyl group refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
  • the abbreviation “Me” refers to a methyl group
  • the abbreviation “Et” refers to an ethyl group
  • the abbreviation “Pr” refers to any propyl group (i.e., n-propyl or isopropyl);
  • the abbreviation “i Pr” refers to an isopropyl group
  • the abbreviation “Bu” refers to any butyl group (n-butyl, iso-butyl, tert-butyl, sec-butyl);
  • the abbreviation “tBu” refers to a tert-butyl group;
  • the abbreviation “sBu” refers to a sec-butyl group;
  • the abbreviation “iBu” refers to an iso-butyl group;
  • the abbreviation “Ph” refers to a phenyl group;
  • the abbreviation “Am” refers to any amyl group
  • the silicon-containing films such as Si, Si N, SiO, SIOC, SiON, SiCON, are listed throughout the specification and claims without reference to their proper stoichiometry.
  • the silicon-containing films may also include dopants, such as B, P, As, Ga and/or Ge.
  • dopants such as B, P, As, Ga and/or Ge.
  • the fact that the film contains some residual hydrogen is also omitted from the film-forming composition description. For instance, a SiOC film may contain residual H.
  • exemplary is used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as “exemplary” is not necessarily to be construed as preferred or advantageous over other aspects or designs. Rather, use of the word exemplary is intended to present concepts in a concrete fashion.
  • the term “or” is intended to mean an inclusive “or rather than an exclusive “or. That is, unless specified otherwise, or clear from context, “X employs A or B” is intended to mean any of the natural inclusive permutations. That is, if X employs A; X employs B; or X employs both A and B, then “X employs A or B” is satisfied under any of the foregoing instances.
  • the articles “a” and “an” as used in this application and the appended claims should generally be construed to mean “one or more” unless specified otherwise or clear from context to be directed to a singular form.
  • FIG. 1 is a flow chart demonstrating exemplary processes for the preparation of the disclosed spin coatable compositions or formulations, preparation of the silicon substrate, and the steps of a spin-coating process.
  • spin coatable compositions or formulations metal-containing film forming compositions or formulations, or spin-on metal-containing film forming compositions or formulations, which contain a spin coatable precursor or a metal-containing precursor, and methods of using the same to deposit metal oxide or metal nitride rich films.
  • the deposited metal oxide or metal nitride rich films possess good etch selectivity, may be removed by standard wet chemistries, and may be used for photolithographic processes, such as via or trench filling, anti-reflective coatings and/or optical applications.
  • the disclosed includes effective spin-on deposition of metal oxide AIO X , TiO X , ZrOx, SnOx, HfO x , TaO x films or the like.
  • the disclosed spin coatable compositions or materials form good quality films when applied from a solvent onto a substrate demonstrating good dry etch resistance in halogen- containing plasmas.
  • the resulting metal-containing film may be a metal oxide (MO X ), metal nitride (MN X ), metal carboxide (MCxOy), metal carbonitride (MC x N y ), metal carbooxynitride (MC x O y N z ) or combinations thereof.
  • the films formed by these spin coatable compositions may be used as metal hardmasks.
  • the disclsoed spin coatable compositions or the metal-containing precursor are soluble in organic solvents and may act as via and trench filling materials for photoresist substrate or under layer materials.
  • the disclosed spin coatable compositions may be used as gap fill materials to fill particular openings in a given relief pattern, enabling gap-free or void-free filling.
  • These spin coatable compositions may be easily removed using standard wet chemicals such as SC1 (H2O:H 2 O2:NH4OH) and diluted HF.
  • SC1 H2O:H 2 O2:NH4OH
  • the disclosed also provides a method of forming a pattern using the disclosed spin coatable compositions or spin-on metal-containing film-forming compositions/ formulations.
  • the disclosed relates to novel spin coatable compositions or metal-containing filmforming compositions to form deposited films, which have high metal-oxide and/or metalnitride contents.
  • the disclosed relates to a spin coatable composition that comprises: a) a metal precursor or metal-containing precursor; b) at least one compound comprising two or more cross-linker or linking groups; and c) a solvent.
  • the disclosed metal precursors is listed in the non-limiting Table 1 below, in which commercially available spin coatable precursors or metal-containing precursors may be selected.
  • the disclosed spin coatable compositions may comprise from 0.01 % wt/wt to 80% wt/wt of a metal (e.g., M, M', or M"), preferably from 10% wt/wt to 50 % wt/wt, and more preferably from 15% wt/wt to 45% wt/wt.
  • a metal e.g., M, M', or M
  • Exemplary ML 4 precursors include M(NR 2 ) 4 and exemplary M'Ls precursors include M'(NR 2 ) 5 in Table 1. More specifically, the exemplary ML 4 and M'L 5 precursors may include Zr(NMe 2 ) 4 , Zr(NMeEt) 4 , Zr(NEt 2 ) 4 , Ti(NMe 2 ) 4 , TI(NMeEt) 4 , Ti(NEt 2 ) 4 , Hf(NMe 2 ) 4 , Hf(NMeEt) 4 , Hf(NEt 2 ) 4 , Sn(NMe) 2 ) 4 , Ta(NMe 2 ) 5 or the like.
  • Exemplary ML 4 precursors also include (R' 5 Cp)M(NR 2 ) 3 . More specifically, the ML 4 precursors may be CpZr(NMe 2 ) 3 , CpZr(NMeEt) 3 , CpZr(NEt 2 ) 3 , (MeCp)Zr(NMe 2 ) 3 , (MeCp)Zr(NIVIeEt) 3 , (MeCp)Zr(NEt 2 ) 31 CpTi(NMe 2 ) 3 , CpTi(NMeEt) 3 , CpTI(NEt 2 ) 3 , (MeCp)Ti(NMe 2 ) 3 , (MeCp)Ti(NMeEt) 3 , (MeCp)TI(NEt 2 ) 3 , CpHf(NMe 2 ) 3 , CpHf(NMeEt) 3 , CpHf(NEt 2 ) 3 , (M
  • Exemplary AIL 3 3 precursors include tris(dimethylamido)aluminum(lll) dimer (AI 2 (NMe 2 ) 61 CAS#: 32093-39-3) and aluminum isopropoxide (AI(O-iPr) 3 , CAS#: 555-31-7).
  • Exemplary AIL 3 3.a L 4 a precursors include AI(Me) 2 (OiPr), AI(Et) 2 CI, AI(iBu) 2 CI.
  • the disclosed metal precursor or metal-containing precursor is tetrakis(dimethylamido)zirconium(IV) (Zr(CH 3 ) 2 N] 4 , CAS#: 19756-04-8).
  • the disclosed metal precursor or metal-containing precursor is tris(dimethylamido)aluminum(lll) dimer (AI 2 (NMe 2 ) 6 , CAS#: 32093-39-3).
  • the disclosed metal precursor or metal-containing precursor is aluminum isopropoxide (AI(O-iPr) 3 , CAS#: 555-31-7).
  • An amount of the disclosed metal precursor ranges from approximately 0.5% w/w to approximately 99.5% w/w in the film-forming composition.
  • the disclosed cross-linker or linking group increases the viscosity of the composition by connecting separate metal precursors together and increasing the molecular weight of the precursor by linking multiple molecules together.
  • the cross-linker increases molecular weight without adding additional polymers.
  • the disclosed cross-linker being crosslinking is achieved with the use of bifunctional reagents containing reactive end groups that respond to functional groups.
  • An amount of the cross-linker in the disclosed spin coatable composition ranges from 1% to 80% by weight, based on 100% by weight of the metal precursor.
  • the disclosed metal precursor in the spin coatable composition may have a molecular weight about 200 to 1 ,200.
  • the metal precursor may be cross-linked as a polymer having a high molecular weight within a short time during a heat treatment to provide excellent characteristics required for hardmask layers such as excellent mechanical characteristics, heat resistance, chemical resistance, and etch resistance.
  • Exemplary cross-linkers or linking groups may be selected from the non-limiting Table 2 below.
  • the disclosed spin coatable compositions may comprise a dissolved crosslinking catalyst and/or a surfactant combined with a metal-containing oligomer or polymer having a molecular weight ranging from approximately 200 dalton to approximately 500,000 dalton.
  • a metal-containing oligomer or polymer having a molecular weight ranging from approximately 200 dalton to approximately 500,000 dalton.
  • Various families of crosslinking catalysts including amines, boranes, and organometallics, have been used to catalyze metal oligo/polymers from molecular precursors and affect the cross-linking.
  • An amount of the crosslinking catalyst included in the disclosed spin coatable composition may be about 0.0001 to 5% by weight of the disclosed spin coatable composition.
  • an amount of the crosslinking catalyst in the disclosed spin coatable composition may be 0.01 to 10 parts by weight, based on 100 parts by weight of the metal precursor.
  • the solvent in the disclosed spin coatable compositions may be a solvent or a mixture of solvents that dissolves solid components in the spin coatable compositions and is chemically inert with respect to other ingredients in the spin coatable composition.
  • exemplary solvents include hydrocarbons, such as pentane, hexanes, heptanes, benzene, toluene, xylene, mesitylene, other alkanes, or alkane mixes.
  • solvents include halohydrocarbons such as dichloromethane or chloroform; ethers such as tetra hydrofu ran (THF), or methyl tert- butyl ether, and more generally aprotic solvents, such as acetonitrile, benzene, dimethylformamide, hexamethylphosphoramide, dimethyl sulfoxide, or combinations thereof.
  • the solvent can also be an alcohol, an ether, an ester, a ketone, an amide, or a diketone.
  • suitable solvents are lower alcohols (Ci-Ce) such as isopropanol, n-butanol, t-butanol, 1 -pentanol and 4-methyl-2-pentanol, a glycol such as ethylene glycol and propylene glycol, diketones such as diacetyl, acetylacetone, and hexane-2, 5-dione, a glycol ether derivative such as ethyl cellosolve, methyl cellosolve, propylene glycol monomethyl ether (PGME), diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, dipropylene glycol dimethyl ether, propylene glycol n-propyl ether, or diethylene glycol dimethyl ether; a glycol ether ester derivative such as propylene glycol monomethyl ether acetate (PGMEA); carboxylates such as ethyl acetate, n-
  • the solvent should have a boiling point typically comprised between 50°C and 250°C, more preferably between 70°C and 180°C.
  • the solvent is selected so as to evaporate during a pre-bake step, typically performed at a temperature ranging from 40°C to 220°C, preferably between 80°C and 200°C.
  • the solvent or solvent mixture selection is also guided by the need to dissolve the metal precursor and cross-linker.
  • the solvent may be a polar or a non-polar solvent, or a mixture of polar and non-polar solvent. Hydrocarbons, toluene, xylene, mesitylene are typical non- polar solvent, while tertiary amines, ethers and halocarbons are polar solvents.
  • the disclosed spin coatable compositions are particularly suitable for gap fill applications on holes, vias and trenches in semiconductor devices, whether for sacrificial films or left behind films.
  • the disclosed spin coatable compositions are capable of filling structures with small openings, typically from 1 to 10 ⁇ m, preferably from 10 to 1000 nm, without defects, voids, delaminations, cracks, and seams, as required by gap fill applications.
  • the disclosed spin coatable compositions may be converted to dense, low-stress, low dry etch rate metal oxide or metal nitride at the lowest possible temperature.
  • the resulting films may have a uniform film composition along the feature depth.
  • the disclosed also includes applications of the disclosed spin coatable compositions, e.g., a method of forming a pattern.
  • the method of forming the pattern comprises: providing a material layer to be etched on a substrate; forming a metal-containing layer on the material layer by applying a spin coatable composition onto the material layer through a wet coating method; heat-treating the metal-containing layer to form a metal-containing hardmask layer; forming a photoresist pattern on the metal-containing hardmask layer; removing the metal-containing hardmask layer over the photoresist pattern forming a patterned hardmask layer; and etching an exposed part of the material layer over the patterned hardmask layer to form the pattern.
  • the material layer may be a metal oxide layer, a metal layer, a silicon (e.g., polysilicon, crystaiized silicon, etc.) layer, a carbon (e.g., a-C, doped a-C, etc.) layer, a Si- containing (SiO, SiN, etc.) layer, or the like.
  • the material layer may be a underlayer.
  • the heat treatment may be prebaking, hard baking, or the like.
  • the spin coatable composition may be applied using a spin-on coating method or other wet coating methods. Once the spin coatable composition is deposited, the metalcontaining layer may be heat-treated at about 50 to about 1500°C for about 10 seconds to 3 hours. The thickness of the metal-containing layer may be, for example, about 50 A to about 10,000 ⁇ .
  • the substrate may be, for example, low dielectric constant materials, silicon, silicon substrates, copper coated silicon wafer, copper, aluminum, polymeric resins, silicon dioxide, metals, doped silicon dioxide, silicon nitride, tantalum, polysilicon, ceramics, aluminum/copper mixtures, any of the metal nitrides such as aluminum nitride AIN, gallium arsenide and other such Group III A/ compounds, or a glass substrate.
  • Silane coupling reagents enhance adhesion of the material layer to the substrates.
  • Aminofunctional trialkoxysilanes such as hexamethyidisilazane (HMDS), aminopropyltriethoxysilane (APTES), aminopropyltrimethoxysilane (APTMS) and (3- trimethoxysilylpropyl)diethylenetriamine (DETAS) may be employed as a surface modification molecule for generating monolayer modification on the surface of the silicon substrate.
  • HMDS hexamethyidisilazane
  • APTES aminopropyltriethoxysilane
  • APITMS aminopropyltrimethoxysilane
  • DETAS (3- trimethoxysilylpropyl)diethylenetriamine
  • a surfactant may be added to the spin coatable composition disclosed herein to lower the surface tension of the composition and improve the deposition (e.g., gap-filling) properties of the composition.
  • the surfactant is preferably added in a concentration of 0.001 % to 5% weight of the metal precursor in the composition.
  • Suitable surfactants include: i) non-ionic surfactants, such as, polyglycerol alkyl ethers, glucosyl dialkyl ethers, crown ethers, ester-linked surfactants, polyoxyethylene alkyl ethers, Brij, Spans (sorbitan esters) and Tweens (Polysorbates); ii) unsaturated fatty amine; iii) fluorinated surfactants; and Iv) silicon-based surfactants, such as organosiloxane polymer. These surfactants may be used alone or a mixture of two or more surfactants thereof.
  • An additional component of the disclosed spin coatable composition may be dissolved catalyst(s) that Increase the rate of ligand substitution reactions between a ligand coordinated to the metal and the crosslinker, for example acids, metals or metals salts, or mixtures of these compounds.
  • acids used as a catalyst include trifluoroacetic acid, acetic acid, propionic acid, butyric acid, or the like.
  • metals and metals salts used as a catalyst include palladium, palladium acetate, ruthenium, ruthenium acetylacetonate, ruthenium carbonyls, or the like.
  • the crosslinking catalyst may be in amounts of 0.0001 to 5% by weight of the metal precursor.
  • the disclosed spin coatable compositions may be stored under an inert atmosphere in dried glass, plastic bottles (such as NOWPak® bottles from Entegris, made of HDPE, PTFE, PE) or stainless steel canisters at temperatures ranging from approximately 0°C to approximately room temperature. If necessary, the stainless steel canister may be coated and/or passivated to minimize any reaction with the metalcontaining composition.
  • plastic bottles such as NOWPak® bottles from Entegris, made of HDPE, PTFE, PE
  • stainless steel canisters may be coated and/or passivated to minimize any reaction with the metalcontaining composition.
  • the disclosed spin coatable compositions may also be used in coating deposition processes to form metal nitride, metal oxide, metal oxynitride, metal carbonitride, metal carboxide, metal oxycarbonitride films used in electronics and optics industries.
  • the metal oxide films are obtained from thermal treatment of the deposited film under an oxidative atmosphere, containing at least one of O 2 , O 31 ambient air, compressed dry air, H 2 O, H 2 O 2 , NO, N 2 O, NO 2 , CO, CO 2 . SO 2 and combinations thereof.
  • the disclosed spin coatable compositions may also be used to form protective coatings or pre-ceramic materials (i.e. , nitrides and oxynitrides) for use in aerospace, automotive, military, or steel industry or any other industries requiring strong materials capable of withstanding high temperatures.
  • the formed film using the disclosed spin coatable compositions will be 100% amorphous, but different crystalline phases might be present, making the formed film partially amorphous.
  • the disclosed spin coatable compositions may be deposited onto a patterned and blank substrate using techniques well known in the art.
  • the patterned substrate (aspect ratio ranges from 1 : 1 to approximately 200:1) may be any patterned substrate with features composed of vias, trenches, holes, and/or other hollow topographical features.
  • the film thickness coated on patterned substrates ranges from about 5 nm to about 1000 nm. Thicker films may also be formed making multiple-stacks spin according to the required thickness and applications.
  • the coated substrate with the coated film thereon may be further heated on a hot plate, hot wall chamber, cold wall chamber, tube furnace, UV curing systems, rapid thermal annealing systems or convection oven for a sufficient length of time to remove a majority of the solvent and optionally to induce curing.
  • the baking temperature may be from about 50°C to about 1500°C, preferably from 150°C to 800°C, for about 30 seconds to about 2 hours.
  • the composition of the film after baking contains between about 5 to about 90 wt% of total metal oxide.
  • suitable coating methods include spin coating, dip coating, spray coating, fiber spinning, extrusion, molding, casting, impregnation, roll coating, transfer coating, slit coating, etc.
  • the disclosed spin coatable compositions may also contain a filler or a gap filler.
  • the coating method is preferably spin coating in order to provide suitable film thickness control and gapfill performance.
  • the disclosed spin coatable compositions may be applied directly to the center of a substrate and then spread to the entire substrate by spinning or may be applied to the entire substrate by spraying. When applied directly to the center of the substrate, the substrate may be spun to utilize centrifugal forces to evenly distribute the composition over the substrate.
  • the viscosity of the disclosed spin coatable compositions will contribute as to whether rotation of the substrate is necessary.
  • the substrate may be dipped in the disclosed spin coatable compositions/formulations.
  • the resulting films may be dried at room temperature for a period of time to vaporize the solvent or volatile components of the film or dried by forcedrying or baking or by the use of one or a combination of any following suitable process including thermal curing and irradiations, such as, ion irritation, electron irradiation, UV and/or visible light irradiation, etc.
  • the disclosed spin coatable compositions may also be used for the formation of transparent metal oxide films suitable for optics applications.
  • the disclosed spin coatable compositions or formulations may be used for forming sacrificial layers such as etching hard masks, ion implantation masks, anti-reflective coatings, tone inversion layers.
  • the disclosed spin coatable compositions or formulations may be used for forming non-sacrificial, such as gapfill oxide layer, etch stop layers.
  • the trench or hole may have an aspect ratio ranging from approximately 1 : 1 to approximately 200:1.
  • the disclosed spin coatable composition or formulation is typically spun on the substrate, pre-baked at 40°C-250°C to evaporate the solvent(s), and eventually converted to metal oxide by annealing the substrate in an oxidizing atmosphere, typically containing O2, O3, H 2 O, H2O2, N 2 O, NO, at a temperature ranging from 100 to 1300°C.
  • an oxidizing atmosphere typically containing O2, O3, H 2 O, H2O2, N 2 O, NO, at a temperature ranging from 100 to 1300°C.
  • a multi-step annealing process in various atmospheres (oxidative or inert) may improve the metal oxide quality.
  • FIG. 1 is a flow chart demonstrating exemplary processes for the preparation of the disclosed spin coatable compositions or formulations, preparation of the silicon substrate, and the steps of a spin-coating process.
  • the characterization step may not be required in commercial operations.
  • the process is preferably performed under an inert atmosphere to prevent undesired oxidation of the film and/or in a clean room to help prevent particle contamination of the film.
  • a mixture of the disclosed spin coatable precursor, the cross-linker, the solvent and optional surfactant may be stirred and heated to a temperature ranging from 27°C to approximately 180°C.
  • the heating temperature should always remain lower than the pre-baking temperature (40 - 220°C).
  • the mixture may be aged to allow any reactions between the cross-linker additive and the spin coatable precursor to reach equilibrium. After mixing, the mixture may age for 1 hour to 2 weeks prior to use. Depending on the components, the mixture may be aged at a temperature ranging from 27°C to approximately 100°C. Therefore, aging is recommended prior to use to stabilize the components. Initial aging test results indicate that the ingredients reach an equilibrium at which further shrinking of the resulting oxide film does not occur. One or ordinary skill in the art would be able to perform the necessary aging tests to determine the proper aging duration.
  • the mixture may be filtered to remove any particles or other solid content.
  • the filter must be compatible with the components of the disclosed spin coatable composition.
  • Polytetrafluoroethylene (PTFE) is typically a suitable filtration material.
  • the filter size ranges from approximately 0.02 micron to approximately 1 micron.
  • PTFE polytetrafluoroethylene
  • An exemplary process to prepare a substrate for the spin-coating process is also provided in FIG. 1.
  • a planar or patterned substrate on which a metal-containing film is to be deposited may be prepared for the deposition process in Step 102.
  • High purity gases and solvents are used in the preparation process. Gases are typically of semiconductor grade and free of particle contamination.
  • solvents should be anhydrous and particle free, typically less than 100 particles/mL (0.5 pm particle, more preferably less than 10 particles/mL) and free of non-volatile residues that would lead to surface contamination.
  • Semiconductor grade solvents having less than 50 ppb metal contamination (for each element, and preferably less than 5 ppb) are advised.
  • Step 102 the substrate is sonicated in acetone or/and in isopropyl alcohol (I PA) at room temperature (between approximately 20°C and approximately 25°C) for approximately 60 seconds to approximately 15 minutes, and preferably for approximately 10 minutes.
  • I PA isopropyl alcohol
  • One of ordinary skill in the art will recognize that these steps may be performed in the same or different sonicators. Different sonicators require more equipment, but provide an easier process. The sonicator must be thoroughly cleaned between Steps 102 and 104 if used for both to prevent any contamination of the substrate.
  • Exemplary sonicators suitable for the disclosed methods include Leela Electronics Leela Sonic Models 50, 60, 100, 150, 200, 250, or 500 or Branson’s B Series.
  • the substrate is removed from the sonicator and rinsed with fresh rinsing solvent.
  • the rinsed substrate is dried using an inert gas, such as N 2 or Ar.
  • the substrates at Step 102 may be treated by UV-ozone for 5 min to 1 hour at 25°C and atmospheric pressure to generate OH-terminated hydrophilic surfaces when a hydrophilic surface is desired. It also further removes organic contaminants.
  • the substrates at Step 102 are dipped into a 1% DHF (100:1) water solution at 25 °C for 1-2 minute to etch away the top native oxide layer, and then rinsed in DI water to generate H- terminated hydrophobic surfaces when a hydrophobic surface is desired.
  • Step 102 and optional substeps in Step 102 with UV-ozone cleaning and/or standard cleaning wet solutions provide exemplary wafer preparation processes.
  • Multiple wafer preparation processes exist and may be utilized without departing from the teachings herein. See, e.g., Handbook of Silicon Wafer Cleaning Technology, 3 rd Edition, 2017 (William Andrew).
  • One of ordinary skill in the art may determine the appropriate wafer preparation process based at least upon the substrate material and degree of cleanliness required. The substrates may proceed to the spin coating process after those steps.
  • FIG. 1 also diagrams an exemplary spin-coating process.
  • the substrate prepared above is transferred to a spin coater.
  • spin coaters include Brewer Science’s Cee® Precision spin coaters, Laurell’s 650 series spin coaters, Specialty Coating System’s G3 spin coaters, SCREEN Semiconductor Solution Coat and Develop T rack equipment family or T okyo Electron’s CLEAN TRACK ACT equipment family.
  • the disclosed spin coatable compositions are dispensed onto the substrate.
  • the substrate is spun in Step 106.
  • Step 104 and Step 106 may be performed sequentially (static mode) or concurrently (dynamic mode).
  • Step 106 is performed using a manual or auto-dispensing device (such as a pipette, syringe, or liquid flow meter).
  • a manual or auto-dispensing device such as a pipette, syringe, or liquid flow meter.
  • the initial spin rate is slow (i.e., between approximately 5 rpm to approximately 999 rpm, preferably between approximately 5 rpm to approximately 300 rpm).
  • the spin rate ranges between approximately 1000 rpm to approximately 10000 rpm, preferably 800 rpm to 5000 rpm, more preferably between 800 and 3000 rpm.
  • Step 106, 108 and 110 produce a metai-containing film on the substrate.
  • the required duration of the spin coating process, the acceleration rate, the solvent evaporation rate, etc. are adjustable parameters that require optimization for each new formulation in order to obtain the target film thickness and uniformity (see, e.g., University of Louisville, Micro/Nano Technology Center - Spin Coating Theory, October 2013).
  • Step 110 may take place in a thermal chamber or on a hot plate at a temperature ranging from approximately 40°C to approximately 250°C, preferably 80°C to 200°C for a time period ranging from approximately 1 minute to approximately 120 minutes.
  • the substrate is cured to produce the desired material.
  • Three non-limiting options are shown in FIG. 1, that is, Thermal curing, UV curing or UV-thermal curing in presence of reactive gas/gases. Any of the three options may be performed using an inert or reactive gas. Exemplary inert gases include N 2 , Ar, He, Kr, Xe, Ne etc.
  • the reactive gas may be used to introduce oxygen, nitrogen, or carbon into the film.
  • Exemplary reactive gases that introduce oxygen Into the film include oxygencontaining gases, such as O2, O3, air, H 2 O, H2O2, N 2 O, NO, etc. Under an O 2 /Ar, the curing temperature may range for approximately 150°C to approximately 1300°C. Alternatively, curing may occur under a H 2 O 2 at temperatures ranging from approximately 200°C to approximately 500°C.
  • Exemplary reactive gases that introduce carbon into the film include carbon-containing gases, and specifically unsaturated carbon-containing gases, such as alkenes and alkynes (ethylene, acetylene, propylene, etc.). Exemplary reactive gases that introduce nitrogen into the film must have at least one N-H bond to enable the nitriding to proceed.
  • the curing gas may comprise NH 3 or N2H4.
  • C-containing N-sources may be used, but may yield some C in the film.
  • Exemplary C-containing N sources include substituted hydrazines (/.e.
  • each R is independently H or a C1-C4 hydrocarbon provided that at least one R is H)(e.g., MeHNNH 2 , Me 2 NNH 2 , MeHNNHMe, phenyl hydrazine, t-butyl hydrazine, 2-cyclohexyl-1 ,1- dimethyhydrazine, 1 -tert-butyl-1 ,2,2-trimethylhydrazine, 1 ,2-diethylhydrazine, 1-(1- phenylethyl)hydrazlne, 1 -(2-methylphenyl)hydrazlne, 1 ,2-bis(4-methylphenyl)hydrazine, 1 ,2-bis(trityl)hydrazine, 1-(1-methyl-2-phenylethyl)hydrazine, 1 -Isopropylhydrazine, 1 ,2- Dimethylhydrazine, N,N-
  • H X NR 3-X wherein each R is independently a C1-C4 hydrocarbon and x is at 1 or 2) (e.g., NMeH 2 , NEtH2, NMe 2 H, NEt2H, (SiMesJzNH, n-Butylamine, Sec-Butylamine, Tert-Butylamine, Dibutylamine, Diisopropylamine, N,N-Diisopropylethylamine, N,N-dimethylethylamine, Dipropyiamine, Ethylmethylamine, Hexylamine, Isobutylamine, Isopropylamine, Methylhex
  • the reactant is NH 3 , radicals thereof, or mixtures thereof.
  • the substrate is subject to thermal curing at a temperature ranging from approximately 100°C to approximately 1 ,300°C, preferably from approximately 200°C to approximately 900°C, under an inert or reactive gas.
  • a furnace or rapid thermal processor may be used to perform the thermal curing process.
  • Exemplary furnaces include the ThermoFisher Lindberg/Blue MTM tube furnace, the Thermo Scientific ThermolyneTM benchtop tube furnace or muffle furnace, the Inseto tabletop quartz tube furnace, the NeyTech Vulcan benchtop furnace, the Tokyo Electron TELINDYTM thermal processing equipment, or the ASM International ADVANC®® vertical furnace.
  • Exemplary rapid thermal processors include Solaris 100, ULVAC RTP-6, or Annealsys As-one 100.
  • the substrate is subject to UV-curing at a wavelength ranging from approximately 190 nm to approximately 400 nm using a monochromatic or polychromatic source.
  • exemplary VUV- or UV-curing systems suitable to perform the UV curing include, but are not limited to, the Nordson Coolwaves® 2 UV curing system, the Heraeus Noblelight Light Hammer® 10 product platform, or the Radium Xeradex® lamp.
  • the thermal and UV curing may be performed simultaneously or sequentially.
  • the choice of curing methods and conditions will be determined by the target metal-containing film desired.
  • the thermal curing process may proceed in a stepwise fashion.
  • the thermal curing may start at a temperature ranging from approximately 50°C to approximately 500°C under an inert or reactive gas for a time period ranging from approximately 10 to approximately 30 minutes.
  • the temperature may be increased by approximately 50°C to approximately 150°C and maintained for an additional 10 to 30 minutes. Additional incremental temperature increases may be used, if necessary.
  • the temperature may be increased using a specified ramp and then maintained at specific temperatures for a short period of time.
  • the wafer may be placed in a room temperature chamber being heated at a ramping rate of approximately 1 o C/minute to approximately 70°C/minute, preferably from approximately 5°C/minute to approximately 40°C/minute, and more preferably from approximately 10°C/minute to approximately 20°C/minute.
  • the ramping may be stopped for a specified period of time, for example ranging from approximately 5 minutes to approximately 120 minutes.
  • the same or a different ramping temperature rate may then be used to increase the chamber temperature to the next desired heating temperature, for example approximately 300°C to approximately 600°C and be maintained for another specified period of time, for example ranging from approximately 5 minutes to approximately 120 minutes.
  • This may be repeated for again if a third heating temperature is desired, for example approximately 500°C to approximately 1,300°C and maintained for another specified period of time, for example ranging from approximately 5 minutes to approximately 300 minutes.
  • the curing may use a slow, steady heating ramp without any specified time spent at any specific temperature (e.g., approximately 0.5/minute to approximately 3°C/minute).
  • any specific temperature e.g., approximately 0.5/minute to approximately 3°C/minute.
  • the furnace is allowed to cool to room temperature at a cooling rate ranging from approximately rC/minute to approximately 100°C/minute. Applicants believe that any of these thermal curing steps may help to reduce formation of cracks and voids in the resulting film.
  • shrinkage may be further reduced by controlling the O 2 :H 2 O volume ratio when an oxygen-containing atmosphere is required.
  • the O 2 :H 2 O ratio ranges from approximately 6:1 to approximately 2.5:1.
  • shrinkage may be reduced using an H 2 O 2 :H 2 O atmosphere.
  • the shrinkage may be calculated as: 100% X [1- (hardbake film thickness)/(prebaked film thickness)].
  • the disclosed metal compositions may provide oxide shrinkage ranging from approximately -5% to approximately 20%, preferably from approximately 0% to approximately 10%, and more preferably from approximately 0% to approximately 5%. After curing, the resulting metal oxide film has a O:M atomic ratio ranging from approximately 1 :1 to approximately 2.1 :1.
  • the C content of the resulting metal oxide film ranges from approximately 0 atomic% to approximately 30 atomic %, preferably from approximately 0 atomic% to approximately 20 atomic %.
  • the N content of the resulting metal oxide film ranges from approximately 0 atomic% to approximately 30 atomic %, preferably from approximately 0 atomic% to approximately 20 atomic %.
  • the Si, O, and C concentrations may be determined by X-ray photoelectron spectroscopy (XPS). Since the novei metal oxide films have low volumetric shrinkage compared to other metal oxides, it is harder to generate film defects, such as voids.
  • the cured film is characterized using standard analytic tools.
  • Exemplary tools include, but are not limited to, ellipsometers, X-ray photoelectron spectroscopy, X-ray reflectometry (XRR), atomic force microscopy, X-ray fluorescence, Fourier-transform infrared spectroscopy, scanning electron microscopy, secondary ion mass spectrometry (SIMS), Rutherford backscattering spectrometry (RBS), profilometer for stress analysis, Hg probe, nanoindenter, four point bending or combination thereof.
  • the metal-containing films resulting from the processes discussed above may include metal oxide, nitride, oxynitride, in which metal is selected from Zr, Hf, Ti, Al, etc.
  • metal oxide, nitride, oxynitride in which metal is selected from Zr, Hf, Ti, Al, etc.
  • One of ordinary skill in the art will recognize that by judicial selection of the appropriate metal-containing composition and co-reactants, the desired metal-containing films may be obtained.
  • the metal oxide films also exhibited excellent gap-fill in a trench having an aspect ratio of 1 :1 to 200:1.
  • the primary method for shrinkage control is to increase the polymer crosslinking in synthesis by optimizing reaction conditions, including reaction temperature/pressure/time, catalyst activity, precursor concentration, and so on. However, it is difficult to fully optimize all of these inter-dependent conditions.
  • the disclosed spin coatable compositions provide less shrinkage of metal-containing films than prior art metalcontaining compositions for semiconductor applications from the Example that follows.
  • the recipe for the curing of the film and conversion to metal oxide is also widely investigated to decrease the shrinkage, as it is believed that the shrinkage is related to the loss (volatilization) of short oligomers before they are oxidized during the curing step.
  • the curing recipe composition of the vapor phase, temperature ramp speed, etc. have a significant impact on the final film shrinkage. Overall, both parameters combine to yield the final shrinkage.
  • the baked metal-containing film formed by the disclosed spin coatable composition or residual hard mask, after oxygen plasma based pattern transfer, may be advantageously removed using a chemical stripping agent, such as acid, base, peroxide, and mixture thereof.
  • a chemical stripping agent such as acid, base, peroxide, and mixture thereof.
  • SC1 solution, 85% phosphoric acid, diluted sulfuric acid, 1-3% DHF, 10% TMAH, 10% hydrogen peroxide, aqueous alkaline peroxides and mixtures thereof are useful stripping compounds. Stripping time ranges from about 5 seconds to about 120 seconds at about room temperature to about 70°C depending on the film curing conditions.
  • etching layer may be performed through a dry etching process using an etching gas and the etching gas may be, for example CHF 3 , CF 4 , Ch, O2, C 4 F 8 , BCl 3 , and a mixed gas thereof.
  • etching gas may be, for example CHF 3 , CF 4 , Ch, O2, C 4 F 8 , BCl 3 , and a mixed gas thereof.
  • the process of etching is well known to those skilled in the art.
  • the plasma etching method includes providing a reaction chamber having a substrate disposed therein.
  • the reaction chamber may be any enclosure or chamber within a device in which etching methods take place such as, and without limitation, reactive ion etching (RIE), capacitively coupled plasma (CCP) with single or multiple frequency RF sources, inductively coupled plasma (ICP), or microwave plasma reactors, or thermal etch or atomic layer etch (ALE) or other types of etching systems capable of selectively removing a portion of the silicon-containing film or generating active species.
  • RIE reactive ion etching
  • CCP capacitively coupled plasma
  • ICP inductively coupled plasma
  • ALE thermal etch or atomic layer etch
  • Suitable commercially available plasma reaction chambers include but are not limited to the Applied Materials magnetically enhanced reactive ion etcher sold under the trademark eMAXTM or the Lam Research Dual CCP reactive ion etcher dielectric etch product family sold under the trademark 2300® FlexTM.
  • the RF power and gases in such may be pulsed to control plasma properties and thereby improving the etch performance (selectivity and damage) further.
  • the plasma-treated reactant may be produced outside of the reaction chamber.
  • the MKS Instruments’ ASTRONi® reactive gas generator may be used to treat the reactant prior to passage into the reaction chamber. Operated at 2.45 GHz, 7kW plasma power, and a pressure ranging from approximately 0.5 Torr to approximately 10 Torr, the reactant O 2 may be decomposed into two O- radicals.
  • the remote plasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.
  • the reaction chamber may contain one or more than one substrate.
  • the reaction chamber may contain from 1 to 200 silicon wafers having from 25.4 mm to 450 mm diameters.
  • the substrates may be any suitable substrates used in semiconductor, photovoltaic, flat panel or LCD-TFT device manufacturing.
  • suitable substrates include wafers, such as silicon, silica, glass, or GaAs wafers.
  • the wafer will have multiple films or layers on it from previous manufacturing steps, including silicon-containing films or layers. The layers may or may not be patterned.
  • suitable layers include without limitation silicon (such as amorphous silicon, p- Si, crystalline silicon, any of which may further be p-doped or n-doped with B, C, P, As, and/or Ge), silica, silicon nitride, silicon oxide, silicon oxynitride, SiaObHcCdNe, (wherein a>0; b, c, d, e > 0) , mask layer materials such as amorphous carbon, antireflective coatings, photoresist materials, tungsten, titanium nitride, tantalum nitride or combinations thereof, etch stop layer materials such as silicon nitride, polysilicon, crystalline silicon, silicon carbide, SiCN or combinations thereof, device channel materials such crystalline silicon, epitaxial silicon, doped silicon, Si a O b H c C d N e , (wherein a>0; b, c, d, e > 0) or combinations
  • the silicon oxide layer may form a dielectric material, such as an organic based or silicon oxide based low-k dielectric material (e.g., a porous SiCOH film).
  • a dielectric material such as an organic based or silicon oxide based low-k dielectric material (e.g., a porous SiCOH film).
  • An exemplary low-k dielectric material is sold by Applied Materials under the trade name Black Diamond II or III.
  • layers comprising tungsten or noble metals e.g. platinum, palladium, rhodium or gold
  • examples of the silicon- containing films may be Si a ObH c CdN e , (wherein a>0; b, c, d, e > 0).
  • the wafer and any associated layers thereon are referred to as substrates.
  • PTFE polytetrafluoroethylene
  • 4.7 g of a formulation was made by dissolving 0.74 g of tris(dimethylamido)aluminum(lll) dimer in 3.2 g of mesitylene, and N,N’- dimethylethylenediamine.
  • the dissolved tris(dimethylamido)aluminum(lll) dimer was added dropwise into a reaction vessel at room temperature. This solution was stirred, heated and maintained at constant temperature of 120°C for 2 hours, and then cooled back to room temperature and filtered using a 0.2 pm PTFE filter. A spin coating was done on a Silicon coupon wafer at a 1000-3000 rpm speed.
  • the dissolved Tetrakis(dimethylamido)zirconium(IV) was added dropwise into a reaction vessel containing the dissolved urea at room temperature.
  • the solution was stirred and heated to a temperature of 140°C, which was maintained for 3 hours.
  • the solution was then cooled back to room temperature and filtered using a 0.2 pm PTFE filter.
  • a spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
  • a formulation was prepared by dissolving 0.32 g of Tris(dimethylamido)aluminum(lll) dimer in 4.3 g of mesitylene, and 0.35 g of dimethyl glutarate. The dissolved Tris(dimethylamido)aluminum(lll) dimer was added dropwise to a reaction vessel at room temperature. This solution was stirred and maintained at room temperature for 2 hours, then filtered using a 0.2 ⁇ m PTFE filter. A spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
  • 3.3 g of a formulation was prepared by dissolving 0.54 g of Tetrakis(dimethylamido)zirconium(IV) in 2.6 g of mesitylene, and 0.15 g of N,N’- dimethylethylenediamine.
  • the dissolved Tetrakis(dimethylamido)zirconium(IV) was added dropwise into a reaction vessel at room temperature. This solution was stirred and maintained at a constant temperature of 120°C for 2 hours. The solution was then cooled back to room temperature and filtered using a 0.2 ⁇ m PTFE filter. A spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
  • a formulation was prepared by dissolving 0.41 g of aluminum isopropoxide in 2.6 g of mesitylene, and dissolving 0.09 g of oxalic acid in 1 .9 g of propylene glycol methyl ether acetate.
  • the dissolved aluminum isopropoxide was added dropwise into a reaction vessel containing the dissolved oxalic acid at room temperature.
  • the solution was stirred and heated to a temperature of 140°C, which was maintained for 3 hours.
  • the solution was then cooled back to room temperature and filtered using a 0.2 ⁇ m PTFE filter.
  • a spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
  • 5.3 g of a formulation was prepared by dissolving 0.32 g of Tris(dimethylamido)aluminum(lll) dimer in 2.4 g of propylene glycol methyl ether acetate (PGMEA), and dissolving 0.18 g of oxalic acid in 2.4 g of propylene glycol methyl ether acetate.
  • the dissolved Tris(dimethy!amido)a!uminum(lll) dimer was added dropwise into a reaction vessel containing the dissolved oxalic acid at room temperature.
  • the solution was stirred and heated to a temperature of 140°C, which was maintained for 3 hours.
  • the solution was then cooled back to room temperature and filtered using a 0.2 pm PTFE filter.
  • a spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
  • Example 1 Deposition of aluminum oxide films
  • a 2.7 wt% Aluminum containing formulation In mesitylene (from Formulation Example 1 above) was spin-coated onto a silicon substrate at a spin rate of 1000-3000 rpm. The coated substrates were then prebaked at 180°C for 5 min in nitrogen. Next, the prebaked substrates were hardbaked at 200°C for 1 hour in compressed air. The film shrinkage, defined as the thickness difference before and after hardbake, divided by the thickness before hardbake, was 28%.
  • a 10.3 wt% Zirconium containing formulation in mesitylene (from Formulation Example 4 above) was spin-coated onto a silicon substrate at a spin rate of 1000-3000 rpm.
  • the coated substrate was then prebaked at 180°C for 3 min in nitrogen.
  • the prebaked substrate was hardbaked at 200°C for 1 hour in compressed air.
  • the film shrinkage defined as the thickness difference before and after hardbake, divided by the thickness before hardbake, was 34%.
  • the spin coating of the formulation examples was done by depositing a solution of each formulation onto the center of a substrate (e.g. silicon wafer) and then spinning the substrate at high speed (typically between 1000 to 3000 rpm).
  • a Brewer Science Cee 200X spin coater was employed to coat bare substrates or to coat substrates with patterned photoresist to accomplish via or trench filling.
  • the formulation of Formulation Example 1 was diluted in mesitylene and was spin-coated on a deep via substrate patterned wafer with trench sizes of 600 nm to 650 nm (depth) 70 nm to 100 nm (width) and line/space (L/S) 1 :1.
  • the coated wafer was subsequently baked at 250°C for 60 s to 600°C for 60 s.
  • solid content of the formulations was adjusted to target a final film thickness of 80 nm which was spin-coated on a patterned wafer with trench size of 70 nm (depth) x 30 nm (width) and L/S 1 :1.
  • the coated wafer was subsequently baked at 220°C for 60 s, 250°C for 60 s.
  • Solutions of Formulation Examples 1 , 2, 3 and 4 with t targeting final film thickness of 100 to 200 nm were spin-coated on a deep via substrate-patterned wafer with trench size of 300 nm (depth) 30 nm to 60 nm (width) and line/space (L/S) 1 :1 at a spin speed of 2500 rpm.
  • the coated wafer was baked at 200°C for 60 min to 400°C for 60 min.
  • the crosssection scanning electron microscope (XSEM) data showed excellent film coating quality and good filling performances.
  • Solutions of Formulation Examples 1 , 2, 3 and 4 with targeting final film thickness of 100 to 200 nm were spin-coated on a patterned wafer with trench size of 80 nm was spin- coated on a patterned wafer with trench size of 70 nm (depth) 35 nm (width) and L/S 1 :1 at a spin speed of 2500 rpm.
  • the coated wafer was baked at 200°C for 60 min to 400°C for 60 min.
  • the cross-section scanning electron microscope (XSEM) data showed excellent film coating quality and good filling performances.
  • Metal oxide film or residue on a wafer or substrate should be removed by wet etching without damaging stack materials.
  • the spin-on metai oxide films are designed for strippability in chemical solutions after curing. Formulations of metal oxide was tested using the stripping recipes including acidic or alkaline aqueous solutions such as SC1 (H 2 O:H 2 O 2 :NH 4 OH), Piranha (H 2 SO 4 : 30% H 2 O 2 ), diluted HF, NH 4 F, phosphoric acid, or simply a solvent or a solvent mixture.
  • the initial thickness of the film was 20 - 200 nm.
  • Spin- on metal material was spin coated and cured on a silicon wafer. The wafer was immersed in one of above stripping solutions. The film thickness was recorded periodically for 3-5 min. The wet etch rate was obtained by measuring film loss at different time intervals during wetetch process if there is a linear relationship between film loss and etching time.
  • the cured metai oxide films can be removed or partially removed by these stripping solutions at ambient or elevated temperatures.
  • AIO X and ZrO x films are readily removed by the diluted HF solution that is commonly used in semiconductor manufacturing process.
  • ZrO x films show high wet etch resistance in SC1 solution under similar conditions.
  • Etch Rate for Coatings Prepared with Formulations of Formulation Examples 1 S 2, 3, 4 Using CF 4 and O2/Ar as Etch Gases
  • a-C amorphous carbon
  • ALD AI 2 O 3
  • etch rate were measured using an Ellipsometer and/or SEM by measuring the changes in etch thickness as a function of etching time.
  • the etching experiments were performed on four 1.5 x1.5 cm 2 coupons having four different substrate materials including SIO, SiN, p-Si and a-C, listed above.
  • the coupons were placed on 200 or 300 mm diameter carrier wafer and held in contact by using silicon oil or thermal paste.
  • double sided carbon tape obtained from SPI suppliers may have been used to stick coupons on carrier wafer.
  • Etching tests were performed at a pressure of 30 mTorr, source power of 750 W (27 MHz), bias power of 1500 W(2 MHz), and temperature 20°C.
  • the feed mixture contains 250 seem of Ar, 15 seem of etch gas, while O 2 is varied in the range 0 to 20 seem.
  • each etching test have been repeated at least three times. Standard deviation of the average of the three measurements is applied.
  • Table 4 lists relative bulk etch rates of metal oxide samples vs. SiO x at various baking temperatures in CF 4 gas.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)
  • Chemically Coating (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

A method for forming a Si-free metal-containing film on a substrate comprises: applying a film-forming composition onto a substrate through a wet coating process; and baking the substrate with the film-forming composition thereon under a temperature ranging from about 50°C to about 1500°C to form the Si-free metal-containing film. The film-forming composition comprises a metal-containing precursor; at least one cross-linker compound comprising two or more linking groups; and a solvent.

Description

SPIN COATABLE METAL-CONTAINING COMPOSITIONS AND METHODS OF USING
THE SAME
Cross Reference to Related Applications
[0001] This application claims the benefit of priority under 35 U.S.C. § 119 (a) and (b) to U.S. Patent Application No. 63/291 ,244, filed December 17, 2021 , the entire contents of which are incorporated herein by reference.
Technical Field
[0002] The present invention relates to a method for forming a Si-free metal-containing film through a wet coating process, in particular, to a method using a film-forming composition comprising a metal-containing precursor, at least one cross-linker compound comprising two or more linking groups and a solvent to form the Si-free metal-containing film, wherein the metal-containing precursor is a Ti, Zr, Hf, W, Sn, Ta, V, Nb, Ta, Or, Mo or W containing precursor.
Background
[0003] Metal oxide films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are commonly used to deposit metal-containing films on substrates, unfortunately ALD or CVD tools are high cost-of-ownership and low throughput. The typical lithographic technique includes providing a material layer on a semiconductor substrate; coating a photoresist layer thereon; exposing and developing the same to provide a photoresist pattern; and etching the material layer using the photoresist pattern as a mask.
[0004] The hardmask layer plays a role of an intermediate layer for transferring the fine pattern of photoresist to the material layer through the selective etching process. The hardmask layer requires having characteristics such as chemical resistance, heat resistance, and etching resistance. The hardmasks usually contain high amounts of refractory materials (e.g., Al, Si, Ti, Zr, Hf, W, and the like) or have high carbon content. They are used when the photoresist, usually made of organic polymer, Is not capable of providing sufficient resistance to dry etching.
[0005] Spin-on coating is a procedure used to deposit uniform thin films onto mainly flat substrates by centrifugal force. In general, a coating formulation is applied on the center of the substrate, which is then spun at a certain speed. The coating formulation is spread by centrifugal force and forms a film to cover the substrate. The thickness of the film is typically controlled by the concentration of the coating formulation and the spin rate. The hardmask layer may be formed on a predetermined pattern by spin-on coating method. Related prior art include the following.
[0006] Padmanaban et al. (J. Photopoly. Sci. & Tech., Vol. 27, No. 4 (2014) 503-509; J. Photopoly. Sci. & Tech., Vol. 29, No. 1 (2016) 59—67) disclose a spin-on metal hard mask (MHM) materials containing metal Ti, W, Zr, Hf, Al, and Sn etc., which can form amorphous metal oxide films after the spin coating and bake process. Padmanaban et al. used commercial AZ Spin-on MHM but precursor families are not specified. Those metal oxide films showed good halogen plasma etch resistance (CF4, BCia) with low defects and no metal contamination. High metal content ZrOx has demonstrated the best etch resistance in CF4 and O2 plasmas. They could be easily removed using standard wet chemicals such as SC1 (H2O:H2O2:NH4OH) and Piranha. The hard mask material absorbs DUV wavelengths and hence can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity and potentially be used as etch stop layer in self aligned quadruple patterning (SAQP) for advanced technology nodes below 7 nm since they have high dry etch and wet etch resistance during 1st etch and post-etch clean.
[0007] US 2019/0309422 discloses a method to deposit by spin coating a conductive metallic film onto a substrate using a liquid metallic precursor. The metal can be Fe, Co, Ni, Ru, Ir, Rh, Pd, Pt, Cu, Ag, Au or Ob, coordinated to at least one neutral stabilizing ligand such as CO, NO, acetylene, ethylene, C4-C18 diene or cyclic diene, CS-CIB triene, CB-C-IB tetraene, organocyanide, nitrile, phosphine, amine, ether, alkyne).
[0008] US 9201305 discloses organic solvent soluble spin-on metal oxide carboxylate materials that can be used to form underlayers suitable for photolithographic processes. The metal oxide dicarboxylate can decompose during the curing at -200 to 500°C for about 30 to 200 s to give a cured metal oxide film. The metal is a high refractive metal selected from Ti, V, Cr, Zr, Nb, Mo, Ru, Rh, Hf, Ta, W, Re, and Ir, such as Zirconium oxide dicarboxylate or titanium oxide dicarboxylate. The carboxylate is a C3-C6 carboxylate, such as propionate (-O-C(=O)-CH2-). The metal carboxylate is mixed with a polymer containing a hydrophobic moiety, a chromophore moiety and a crosslinking moiety. The metal content of the metal oxide film after the heating step is in the range of 10 to 85 weight%. A photoresist film is formed above this metal film and is imaged with radiation in the range of about 12 to about 250 nm and developed to form a pattern.
[0009] US9409793 discloses a spin-on composition containing metallosilicic acid, such as tungstosilicic add, and an oligo/poiymer which has at least two or more 4-hydroxyphenyl groups; and a solvent. This formulation showed good film quality, and via filling performance where all the vias were completely filled. These coated films showed lower etch rates in Oz/Ar than that of photoresist or the commercial high carbon material AZOU98.
[0010] US10163797 discloses using a spin-on metal oxide deposition process to form a part of the interlayer dielectric (ILD). Electrical interconnections such as metal lines or vias are electrically insulated from one another by ILD material. Silicon oxycarbide (SiOC) is generally used as ILD material; the formation of the SiOC material also involves an oxygencontaining gas. The oxygen may oxidize the metal layer below, and this may lead to increased resistivity. This patent can be used in a N5 mid-end-of-line (MEOL) flow.
[0011] Kim et al. (Korea-Australia Rheology Journal, vol. 14, No. 2, June 2002 pp. 71-76) discloses inorganic peroxopolytungstic acid (W-IPA) powder, which is soluble in water, by dissolving metal tungsten in H2O2 and by evaporating residual solvent. The solution of W- IPA is then mixed with organic solvent, which is spin-coated on wafers. Once coated, tungsten metal films are reduced. The viscosity of the organic solvents should be in the range of 1-4 cP and the decomposition temperature should not be too high. N-methyl-2- pyrrolidone was found to be the most effective organic solvent to increase the uniformity and density of the W-IPA films formed. W metal films reduced at 600°C and 800°C. In order to increase the uniformity and density of tungsten film, UV (30W) is irradiated (2.9x10-3 J/cm2 s) before the reduction. UV irradiated W-IPA films show much lower sheet resistance (300 mW-cm) than that of films without UV irradiation (2,300 mW-cm).
[0012] Page et al. (Chem. Mat., 2017, 29, 7, 2921-2926) disclose that the relative humidity during spin-processing has a marked impact on film thickness of amorphous metal oxide (aluminum oxide and lanthanum zirconium oxide, “LZO”) and hafnium oxide-sulfate (HafSOx) thin films deposited from aqueous precursors. Decreasing RH from 95% to 20% RH results in a -three- fold increase in film thickness. The Aluminum precursor was prepared by briefly passing a reductive current through a -1.2 M AlfNChMaq) (99.9%) solution until a pH of -3 was achieved. Exact concentration was determined by slowly heating a 5 mL portion of the reduced solution to 800°C and obtaining the mass of the remaining AIOX. The reduced AIOX precursor solution was diluted to 1.0 M [Al3+] for deposition. LZO precursors were prepared by dissolving La(NOs)3-6H2O and ZrO(NOs)2 ·8H2O in 18.2 MQ cm H2O to achieve a 1 :1 La:Zr ratio and a total metal concentration of 1.0 M.
[0013] Wakayama et al. (J. Nanomat., Vol. 2015, Article ID 905083, 6 pages) discloses block copolymer composites by dissolving metal precursors, such as Ferrocene (bis(cyclopentadienyl) iron), acetylacetonate platinum(ll) (Pt(acac)2), or acetylacetonate iron(lll) (Fe(acac)3), in a 0.5 wt% solution of polystyrene-block-poly(4-vinylpyridine) (PS- P4VP, MnPS = 25.5 kg/mol, MnP4VP = 24 kg/mol, polydispersity index = 1.40) in toluene. The ferrocene/styrene, Pt(acac)2/vinylpyridine, and Fe(acac)3/vinylpyridine molar ratios were 1.0/1.0, 0.5/1.0, 0.5/1.0, respectively. After stirring for 3 h, the solution was spin- coated onto a glass substrate at 2000 rpm from the toluene solution. After the residual solvent evaporation, the sample film was separated from the glass substrate by floating the substrate in distilled water. The block copolymer templates were removed by oxygen plasma treatment or by calcination in air (550°C).
[0014] US 9093279B2 discloses thin film-forming compositions that is used together with a resist (which can be a EUV resist) in a lithography process, made of a mixture of titanium precursor compounds of formula RnTiR1(4-n) wherein R = alkyl, aryl, halogenated alkyl, halogenated aryl, alkenyl, epoxy group, acryloyl group, methacryloyl, mercapto, an amino or cyano group; R1 = alkoxy, acyloxy group, or a halogen atom; and n = 0 to 2, and a silicon compound of Formula R2 3R3bSiR4(4-a-b) wherein R2 is a N-containing ring or an organic group, phenolic hydroxyl group or a bisaryl group; R3 is an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, an amino group, or a cyano group and is bonded to a silicon atom through a Si-C bond or a Si-N bond; R4 is an alkoxy group, an acyloxy group, or a halogen atom; a =1 , b =0 or 1. The mixture is applied onto a silicon wafer, heated on a hot plate at temperatures from 150°C to 500°C for 0.5 to 2 min to form a thin film of 0.05 pm.
[0015] US2014/0377457 discloses a method to form a metal nanoparticle dispersion by mixing solvent and metal nanoparticles that are a combination of a metal oxide and a reducing agent in an amount sufficient to react with the oxide to significantly increase the conductivity of a metal film. The dispersion is then deposited onto a substrate to form a nanoparticle film and heated to form a conductive metal film. The metal nanoparticles are made of Al, Ag, Au, Pt, Pd, Cu, Co, Cr, In or Ni and the metal nanoparticles are in a concentration ranging from about 15 wt% to about 90 wt%. The reducing agent is chosen from substituted or unsubstituted hydrazine, formic acid, formic acid salts, esters, substituted or unsubstituted amine borane compounds, oxalic acid, substituted or unsubstituted aldehydes, alkali sulfites and alkaline earth sulfites. The metal film has a conductivity that is greater than 1 .0x104 S/cm. The annealing is performed at a temperature ranging from about 80°C to about 250°C. Summary
[0016] There is disclosed a film-forming composition, the film-forming composition comprising: a metal-containing precursor; at least one cross-linker compound comprising two or more linking groups; and a solvent, wherein the metal-containing precursor has the following general formula: ML4, M'L5,
M”(L1)m( L2)n, AIL3 3, and AIL3 3-aL4 a, wherein
M = Ti, Zr, Hf, W, Sn;
M' = Ta;
L is R, NR2, PR3, arene, OR, SR, Cp, R'xCp, OSIR3, pyrazolate, amidinate; M" = V, Nb, Ta, Cr, Mo, W; m = 1 to 5; n = 1 to 5; a = 1 to 2;
L1 is N-R;
L2 is NR2, PR3, arene, OR, SR, Cp, R'xCp, OSiR3, pyrazolate, amidinate;
L3 is an alkyl, OR, NR2, Halide; and L4 = H, NR3, SR2, OR2, wherein x = 1 to 5; R is independently a C1 to C4 hydrocarbon; R' is independently H or a C1 to C4 hydrocarbon. The disclosed methods may include one or more of the following aspects:
® the metal-containing precursor being selected from M(NR2)4, M'(NR2)5 or (R' 5Cp)M(NR2)3;
® the metal-containing precursor being selected from Zr(NMe2)4, Zr(NMeEt)4, Zr(NEt2)4, Ti(NMe2)4, Ti(NMeEt)4, Ti(NEt2)4, Hf(NMe2)4, Hf(NMeEt)4, Hf(NEt2)4, Sn(NMe)2)4, Ta(NMe2)5, CpZr(NMe2)3, CpZr(NMeEt)3, CpZr(NEt2)3, (MeCp)Zr(NMe2)3, (MeCp)Zr(NMeEt)3, (MeCp)Zr(NEt2)3, CpTi(NMe2)3, CpTi(NMeEt)3, CpTi(NEt2)3, (MeCp)Ti(NMe2)3, (MeCp)Ti(NMeEt)3, (MeCp)Ti(NEt2)3, CpHf(NMe2)3, CpHf(NMeEt)3, CpHf(NEt2)3, (MeCp)Hf(NMe2)31 (MeCp)Hf(NMeEt)3, (MeCp)Hf(NEt2)3, AI2(NMe2)6 (CAS#: 32093-39-3), AI(O-iPr)3 (CAS#: 555-31 -7), AI(Me)2(OiPr), AI(Et)2CI, or AI(iBu)2CI;
· the metal-containing precursor being selected from AI2(NMe2)6 (CAS#: 32093-39-3), AI(O-iPr)3 (CAS#: 555-31 -7), AI(Me)2(OiPr), AI(Et)2CI, or AS(iBu)2CI;
· the metal-containing precursor being tris(dimethylamido)aluminum(lll) dimer (AI2(NMe2)6, CAS#: 32093-39-3);
• the metal-containing precursor being aluminum isopropoxide (Al(O-iPr)s, CAS#: 555- 31 -7);
• the metal-containing precursor being tetrakis(dimethylamido)zirconium(IV) (Zr(CH3)2N]4, CAS#: 19756-04-8);
• an amount of the metal-containing precursor ranging from approximately 0 5% w/w to approximately 99.5% w/w in the film-forming composition;
• the two or more linking groups being selected from the group consisting of an organic linking moiety or a heteroatom containing linking moiety;
• the metal-containing precursor being soluble in organic solvents;
· the film-forming composition being soluble in organic solvents;
· the film-forming composition being removed using standard wet chemicals such as SC1 (H2O:H2O2:NH4OH) and diluted HF;
· the two or more linking groups being selected from linear, branched or cyclic amino, hydrazino, sulfhydryls, amido, imino, carbamide, alkoxycarbonyl, hydroxyl, alkylcarbonyl (alkyl-C(=O)-), alkylcarbonyloxy (alkyl-C(=O)-O-), alkyloxycarbonyl (alkyl-O-C(=O)-), alkyloxycarbonyloxy (alkyl-O-C(=O)-O-) and mixtures thereof;
· the two or more linking groups being selected from primary and secondary amine, hydrazine, sulfhydryl, Imino, alkoxycarbonyl, hydroxyl, alkylcarbonyl, carbamide;
· the two or more linking groups being selected from ethylene diamine, diethylene triamine, dimethyl hydrazine, 1 ,2-ethanedithiol, 1 ,3-propanedithiol, 1 ,4-butanedithiol, 1 ,3- diiminoisoindoline, diiminopyridine, 1 ,2-dimethoxyethane, diethoxymethane, ethylene glycol, diethylene glycol, succinic acid, oxalic acid, urea;
• an amount of the at least one cross-linker compound ranging from 1 % to 80% by weight, based on 100% by weight of the metal precursor;
• the solvent being selected from alcohols, glycols, glycol ether derivatives, glycol ether ester derivatives, aromatic solvents, saturated hydrocarbon compounds, unsaturated hydrocarbon compounds, ethers, esters, ketones and mixtures thereof;
· the solvent or the mixture of the solvent having different boiling points; · the solvent being mesitylene or propylene glycol methyl ether acetate;
· further comprising a crosslinking catalyst;
· the crosslinking catalyst being selected from an amine, a borane, or an organometallic;
· an amount of the crosslinking catalyst included in the spin coatable composition being about 0.0001 to 5% by weight of the spin coatable composition;
· an amount of the crosslinking catalyst included in the spin coatable composition being 0.01 to 10 parts by weight, based on 100 parts by weight of the metal-containing precursor;
· further comprising a surfactant;
· the surfactant being selected from a non-ionic surfactant, unsaturated fatty amine, fluorinated surfactant, silicon-based surfactant or a combination thereof; and
· the surfactant being added in a concentration of 0.001 % to 5% by weight of the metalcontaining precursor in the spin coatable composition.
[0017] There is disclosed a method for forming a Si-free metal-containing film on a substrate, the method comprising: applying a film-forming composition of claim 1 that contains a metal-containing precursor onto a substrate through a wet coating process; and baking the substrate with the film-forming composition of claim 1 thereon under a temperature ranging from about 50°C to about 1500°C to form the Si-free metal-containing film. The disclosed methods may include one or more of the following aspects:
· the wet coating process being a spin coating, spray coating, dip coating, or slit coating technique;
· further comprising curing the substrate with the film-forming composition of claim 1 thereon by thermal curing, photon curing, microwave curing, annealing, laser treatment, or combinations thereof;
· further comprising thermal curing the substrate with the film-forming composition of claim 1 thereon at a temperature ranging from approximately 101°C to approximately 1000°C, under an inert or a reactive gas;
· further comprising thermal curing the substrate with the film-forming composition of claim 1 thereon at a temperature ranging from approximately 200°C to approximately 800°C, under an inert or a reactive gas; · further comprising exposing the substrate with the film-forming composition of claim 1 thereon to a reactive gas, wherein the reactive gas is selected from H2I an oxidizing gas selected from O2, O3, ambient air, compressed dry air, humid air, H2O, H2O2, organic peroxides, NO, N2O, NO2, CO, CO2, SO2, NH3, hydrazines and combinations thereof;
· the substrate being a planar wafer:
· the substrate being a patterned wafer including gaps, wherein the gaps refer to apertures, vias, lines, tranches, or holes;
· the Si-free metal-containing film being a Si-free metal-containing gapfill without defects generated;
· the substrate comprising trenches or holes having an aspect ratio ranging from approximately 1 :1 to approximately 1 :100;
· the trenches or holes having a critical dimension ranging from approximately 1 nm to approximately 10 μm;
• further comprising prior to the formation of the metal-containing film, treating the surface of the substrate with surfactants to reduce the surface energy of the surface of the substrate;
· further comprising prior to the formation of the metal-containing film, treating the surface of the substrate by plasma, wet chemistry treatment, surface prewet, UV/O3 cleaning treatment, or contacting a adhesion promoter to increase the surface energy of the surface of the substrate;
· the metal-containing precursor being selected from M(NR2)4, M'(NR2)5 or (R'5Cp)M(NR2)3;
· the metal-containing precursor being selected from Zr(NMe2)4i Zr(NMeEt)41 Zr(NEt2)4, Ti(NMe2)4, Ti(NMeEt)4, Ti(NEt2)4, Hf(NMe2)4, Hf(NMeEt)4, Hf(NEt2)4, Sn(NMe)2)4, Ta(NMe2)5, CpZr(NMe2)3, CpZr(NMeEt)3, CpZr(NEt2)3, (MeCp)Zr(NMe2)3, (MeCp)Zr(NMeEt)3, (MeCp)Zr(NEt2)3, CpTi(NMe2)3, CpTi(NMeEt)3, CpTi(NEt2)3, (MeCp)Ti(NMe2)3, (MeCp)Ti(NIVIeEt)3, (MeCp)Ti(NEt2)3, CpHf(NMe2)3, CpHf(NMeEt)3, CpHf(NEt2)3, (MeCp)Hf(NMe2)31 (MeCp)Hf(NMeEt)3, (MeCp)Hf(NEt2)3, AI2(NMe2)6 (CAS#: 32093-39-3), AI(O-iPr)3 (CAS#: 555-31-7), AI(Me)2(OiPr), AI(Et)2CI, or AI(iBu)2CI;
· the metal-containing precursor being selected from AI2(NMe2)6 (CAS#: 32093-39-3), AI(O-iPr)3 (CAS#: 555-31-7), AI(Me)2(OiPr), AI(Et)2CI, or AI(iBu)2CI; · the metal-containing precursor being tris(dimethylamido)aluminum(lll) dimer (AI2(NMe2)6, CAS#: 32093-39-3);
· the metal-containing precursor being aluminum isopropoxide (AI(O-iPr)3, CAS#: 555- 31-7);
· the metal-containing precursor being tetrakis(dimethylamido)zirconium(IV) (Zr(CH3)2N]4, CAS#: 19756-04-8);
· the metal-containing film having a film shrinkage ranging from approximately 0% to approximately 40%;
· the metal-containing film having a film shrinkage ranging from approximately 0% to approximately 20%;
· the metal-containing film being a metal oxide (MOX), nitride (MNX), carboxide (MCxOy), carbonitride (MCxNy), carbooxynitride (MCxOyNz) film or combinations thereof;
· the metal-containing film being a metal oxide AIOX, TiOx, ZrOx, SnOx, HfOx, TaOx films or the like;
· the metal-containing film having high metal-oxide and metai-nitride contents;
· the metal-containing film having high metal-oxide contents;
· the metal-containing film having high metai-nitride contents;
· the metal-containing film being used as a hadmask layer;
· the metal-containing film being amorphous;
· the metal-containing film being 100% amorphous;
· the metal-containing film being partially amorphous;
· the metal-containing film being silicon free;
· a selectivity of a silicon-containing film versus the metal-containing film being greater than 2;
· the silicon-containing film being SiO, SiN, P-Si, a-Si, crystalized Si; and
• the metal-containing film being heat resistance, chemical resistance, and etch resistance.
Notation and Nomenclature
[0018] The following detailed description and claims utilize a number of abbreviations, symbols, and terms, which are generally well known in the art, and include:
[0019] As used herein, the indefinite article “a" or “an” means one or more.
[0020] As used herein, “about” or “around” or “approximately” in the text or in a claim means ±10% of the value stated.
[0021] As used herein, “room temperature (RT)” in the text or in a claim means from approximately 20°C to approximately 25°C.
[0022] The term “ambient temperature” refers to an environment temperature approximately 20°C to approximately 25°C.
[0023] The term “substrate” refers to a material or materials on which a process is conducted. The substrate may refer to a wafer having a material or materials on which a process is conducted. The substrates may be any suitable wafer used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. The substrate may also have one or more layers of differing materials already deposited upon it from a previous manufacturing step. For example, the wafers may include silicon layers (e.g., crystalline, amorphous, porous, etc.), silicon containing layers (e.g., SiQz, SIN, SION, SICOH, etc.), metal-containing layers (e.g., copper, cobalt, ruthenium, tungsten, platinum, palladium, nickel, ruthenium, gold, etc.) or combinations thereof. Furthermore, the substrate may be planar or patterned. The substrate may be an organic patterned photoresist film. The substrate may include layers of oxides which are used as dielectric materials in MEMS, 3D NAND, MIM, DRAM, or FeRam device applications (for example, ZrO? based materials, HfC>2 based materials, TICE based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or nitride-based films (for example, TaN, TIN, NbN) that are used as electrodes. One of ordinary skill in the art will recognize that the terms “film” or “layer used herein refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line. Throughout the specification and claims, the wafer and any associated layers thereon are referred to as substrates.
[0024] The term “wafer or “patterned wafer refers to a wafer having a stack of films on a substrate and at least the top-most film having topographic features that have been created in steps prior to the deposition of the low-k film.
[0025] The term “aspect ratio” refers to a ratio of the height of a trench (or aperture) to the width of the trench (or the diameter of the aperture).
[0026] Note that herein, the terms “film” and “layer” may be used interchangeably. It is understood that a film may correspond to, or related to a layer, and that the layer may refer to the film. Furthermore, one of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may range from as large as the entire wafer to as small as a trench or a line.
[0027] Note that herein, the terms “gap”, “aperture”, “via”, “hole”, “trench” and “line” may be used interchangeably to refer to an opening formed in a semiconductor structure or in a substrate or a wafer. [0028] The standard abbreviations of the eiements from the periodic table of elements are used herein, it should be understood that elements may be referred to by these abbreviation (e.g., Si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, H refers to hydrogen, F refers to fluorine, etc.).
[0029] As used herein, the term “hydrocarbon" refers to a saturated or unsaturated function group containing exclusively carbon and hydrogen atoms. As used herein, the term “alkyl group" refers to saturated functional groups containing exclusively carbon and hydrogen atoms. An alkyl group is one type of hydrocarbon. Further, the term “alkyl group” refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
[0030] As used herein, the abbreviation “Me" refers to a methyl group; the abbreviation “Et” refers to an ethyl group; the abbreviation “Pr” refers to any propyl group (i.e., n-propyl or isopropyl); the abbreviation “i Pr” refers to an isopropyl group; the abbreviation “Bu” refers to any butyl group (n-butyl, iso-butyl, tert-butyl, sec-butyl); the abbreviation “tBu” refers to a tert-butyl group; the abbreviation “sBu” refers to a sec-butyl group; the abbreviation “iBu” refers to an iso-butyl group; the abbreviation “Ph” refers to a phenyl group; the abbreviation “Am” refers to any amyl group (iso-amyl, sec-amyl, tert-amyl); the abbreviation “Cy” refers to a cyclic hydrocarbon group (cyclobutyl, cyclopentyl, cyclohexyl, etc.).
[0031] Please note that the silicon-containing films, such as Si, Si N, SiO, SIOC, SiON, SiCON, are listed throughout the specification and claims without reference to their proper stoichiometry. The silicon-containing films may also include dopants, such as B, P, As, Ga and/or Ge. The fact that the film contains some residual hydrogen is also omitted from the film-forming composition description. For instance, a SiOC film may contain residual H.
[0032] Ranges may be expressed herein as from about one particular value, and/or to about another particular value. When such a range is expressed, it is to be understood that another embodiment is from the one particular value and/or to the other particular value, along with all combinations within said range. Any and all ranges recited herein are inclusive of their endpoints (i.e., x~1 to 4 or x ranges from 1 to 4 includes x=1, x=4, and x=any number in between), irrespective of whether the term “inclusively” is used.
[0033] Reference herein to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment may be included in at least one embodiment of the invention. The appearances of the phrase "in one embodiment" in various places in the specification are not necessarily all referring to the same embodiment, nor are separate or alternative embodiments necessarily mutually exclusive of other embodiments. The same applies to the term “implementation.” [0034] As used herein, the term “independently” when used in the context of describing R groups should be understood to denote that the subject R group is not only independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group. For example in the formula MR1 X (NR2R3)(4-x), where x is 2 or 3, the two or three R1 groups may, but need not be identical to each other or to R2 or to R3. Further, it should be understood that unless specifically stated otherwise, values of R groups are independent of each other when used in different formulas.
[0035] As used in this application, the word “exemplary” is used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as “exemplary” is not necessarily to be construed as preferred or advantageous over other aspects or designs. Rather, use of the word exemplary is intended to present concepts in a concrete fashion.
[0036] Additionally, the term “or” is intended to mean an inclusive “or rather than an exclusive “or. That is, unless specified otherwise, or clear from context, “X employs A or B” is intended to mean any of the natural inclusive permutations. That is, if X employs A; X employs B; or X employs both A and B, then “X employs A or B” is satisfied under any of the foregoing instances. In addition, the articles “a” and “an” as used in this application and the appended claims should generally be construed to mean "one or more” unless specified otherwise or clear from context to be directed to a singular form.
Brief Description of the Drawings
[0037] The foregoing and various other aspects, features, and advantages of the present invention, as well as the invention itself, may be more fully appreciated with reference to the following detailed description of the invention when considered in connection with the following drawings. The drawings are presented for the purpose of illustration only and are not intended to be limiting of the invention, in which:
FIG. 1 is a flow chart demonstrating exemplary processes for the preparation of the disclosed spin coatable compositions or formulations, preparation of the silicon substrate, and the steps of a spin-coating process.
Description of Preferred Embodiments
[0038] Disclosed are spin coatable compositions or formulations, metal-containing film forming compositions or formulations, or spin-on metal-containing film forming compositions or formulations, which contain a spin coatable precursor or a metal-containing precursor, and methods of using the same to deposit metal oxide or metal nitride rich films. The deposited metal oxide or metal nitride rich films possess good etch selectivity, may be removed by standard wet chemistries, and may be used for photolithographic processes, such as via or trench filling, anti-reflective coatings and/or optical applications. More specifically, the disclosed includes effective spin-on deposition of metal oxide AIOX, TiOX, ZrOx, SnOx, HfOx, TaOx films or the like. The disclosed spin coatable compositions or materials form good quality films when applied from a solvent onto a substrate demonstrating good dry etch resistance in halogen- containing plasmas. The resulting metal-containing film may be a metal oxide (MOX), metal nitride (MNX), metal carboxide (MCxOy), metal carbonitride (MCxNy), metal carbooxynitride (MCxOyNz) or combinations thereof. The films formed by these spin coatable compositions may be used as metal hardmasks. The disclsoed spin coatable compositions or the metal-containing precursor are soluble in organic solvents and may act as via and trench filling materials for photoresist substrate or under layer materials. The disclosed spin coatable compositions may be used as gap fill materials to fill particular openings in a given relief pattern, enabling gap-free or void-free filling. These spin coatable compositions may be easily removed using standard wet chemicals such as SC1 (H2O:H2O2:NH4OH) and diluted HF. The disclosed also provides a method of forming a pattern using the disclosed spin coatable compositions or spin-on metal-containing film-forming compositions/ formulations.
[0039] The disclosed relates to novel spin coatable compositions or metal-containing filmforming compositions to form deposited films, which have high metal-oxide and/or metalnitride contents. The disclosed relates to a spin coatable composition that comprises: a) a metal precursor or metal-containing precursor; b) at least one compound comprising two or more cross-linker or linking groups; and c) a solvent.
[0040] The disclosed metal precursors is listed in the non-limiting Table 1 below, in which commercially available spin coatable precursors or metal-containing precursors may be selected.
Table 1
Figure imgf000014_0001
Figure imgf000015_0001
[0041] The disclosed spin coatable compositions may comprise from 0.01 % wt/wt to 80% wt/wt of a metal (e.g., M, M', or M"), preferably from 10% wt/wt to 50 % wt/wt, and more preferably from 15% wt/wt to 45% wt/wt.
[0042] Exemplary ML4 precursors include M(NR2)4and exemplary M'Ls precursors include M'(NR2)5 in Table 1. More specifically, the exemplary ML4 and M'L5 precursors may include Zr(NMe2)4, Zr(NMeEt)4, Zr(NEt2)4, Ti(NMe2)4, TI(NMeEt)4, Ti(NEt2)4, Hf(NMe2)4, Hf(NMeEt)4, Hf(NEt2)4, Sn(NMe)2)4, Ta(NMe2)5 or the like. Exemplary ML4 precursors also include (R'5Cp)M(NR2)3. More specifically, the ML4 precursors may be CpZr(NMe2)3, CpZr(NMeEt)3, CpZr(NEt2)3, (MeCp)Zr(NMe2)3, (MeCp)Zr(NIVIeEt)3, (MeCp)Zr(NEt2)31 CpTi(NMe2)3, CpTi(NMeEt)3, CpTI(NEt2)3, (MeCp)Ti(NMe2)3, (MeCp)Ti(NMeEt)3, (MeCp)TI(NEt2)3, CpHf(NMe2)3, CpHf(NMeEt)3, CpHf(NEt2)3, (MeCp)Hf(NMe2)3, (MeCp)Hf(NMeEt)3, (MeCp)Hf(NEt2)31 or the like.
[0043] Exemplary AIL3 3 precursors include tris(dimethylamido)aluminum(lll) dimer (AI2(NMe2)61 CAS#: 32093-39-3) and aluminum isopropoxide (AI(O-iPr)3, CAS#: 555-31-7). Exemplary AIL3 3.aL4 a precursors include AI(Me)2(OiPr), AI(Et)2CI, AI(iBu)2CI.
[0044] The disclosed metal precursor or metal-containing precursor is tetrakis(dimethylamido)zirconium(IV) (Zr(CH3)2N]4, CAS#: 19756-04-8).
[0045] The disclosed metal precursor or metal-containing precursor is tris(dimethylamido)aluminum(lll) dimer (AI2(NMe2)6, CAS#: 32093-39-3).
[0046] The disclosed metal precursor or metal-containing precursor is aluminum isopropoxide (AI(O-iPr)3, CAS#: 555-31-7).
[0047] An amount of the disclosed metal precursor ranges from approximately 0.5% w/w to approximately 99.5% w/w in the film-forming composition.
[0048] The disclosed cross-linker or linking group increases the viscosity of the composition by connecting separate metal precursors together and increasing the molecular weight of the precursor by linking multiple molecules together. The cross-linker increases molecular weight without adding additional polymers.
[0049] The disclosed cross-linker being crosslinking is achieved with the use of bifunctional reagents containing reactive end groups that respond to functional groups. The linking group is chosen from the group consisting of an organic linking moiety, a heteroatom containing linking moiety such as amino, hydrazino, Sulfhydryls, amido, imino, carbamido, alkoxy, hydroxyl, alkylcarbonyl (alkyl-C(=O)), alkylcarbonyloxy (alkyl-C(=O)-O-), alkyloxycarbonyl (alkyl-O-C(=O)-), alkyloxycarbonyloxy (alkyl-O-C(=O)-O-) and mixtures of these. An amount of the cross-linker in the disclosed spin coatable composition ranges from 1% to 80% by weight, based on 100% by weight of the metal precursor.
[0050] The disclosed metal precursor in the spin coatable composition may have a molecular weight about 200 to 1 ,200. The metal precursor may be cross-linked as a polymer having a high molecular weight within a short time during a heat treatment to provide excellent characteristics required for hardmask layers such as excellent mechanical characteristics, heat resistance, chemical resistance, and etch resistance.
[0051] Exemplary cross-linkers or linking groups may be selected from the non-limiting Table 2 below.
Table 2
Figure imgf000016_0001
[0052] The disclosed spin coatable compositions may comprise a dissolved crosslinking catalyst and/or a surfactant combined with a metal-containing oligomer or polymer having a molecular weight ranging from approximately 200 dalton to approximately 500,000 dalton. Various families of crosslinking catalysts, including amines, boranes, and organometallics, have been used to catalyze metal oligo/polymers from molecular precursors and affect the cross-linking. An amount of the crosslinking catalyst included in the disclosed spin coatable composition may be about 0.0001 to 5% by weight of the disclosed spin coatable composition. Alternatively, an amount of the crosslinking catalyst in the disclosed spin coatable composition may be 0.01 to 10 parts by weight, based on 100 parts by weight of the metal precursor.
[0053] The solvent in the disclosed spin coatable compositions may be a solvent or a mixture of solvents that dissolves solid components in the spin coatable compositions and is chemically inert with respect to other ingredients in the spin coatable composition. Exemplary solvents include hydrocarbons, such as pentane, hexanes, heptanes, benzene, toluene, xylene, mesitylene, other alkanes, or alkane mixes. Other suitable solvents include halohydrocarbons such as dichloromethane or chloroform; ethers such as tetra hydrofu ran (THF), or methyl tert- butyl ether, and more generally aprotic solvents, such as acetonitrile, benzene, dimethylformamide, hexamethylphosphoramide, dimethyl sulfoxide, or combinations thereof. The solvent can also be an alcohol, an ether, an ester, a ketone, an amide, or a diketone. Specific non-limiting examples of suitable solvents are lower alcohols (Ci-Ce) such as isopropanol, n-butanol, t-butanol, 1 -pentanol and 4-methyl-2-pentanol, a glycol such as ethylene glycol and propylene glycol, diketones such as diacetyl, acetylacetone, and hexane-2, 5-dione, a glycol ether derivative such as ethyl cellosolve, methyl cellosolve, propylene glycol monomethyl ether (PGME), diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, dipropylene glycol dimethyl ether, propylene glycol n-propyl ether, or diethylene glycol dimethyl ether; a glycol ether ester derivative such as propylene glycol monomethyl ether acetate (PGMEA); carboxylates such as ethyl acetate, n-butyl acetate and amyl acetate; carboxylates of di-basic acids such as diethyl oxylate and diethylmalonate; dicarboxylates of glycols such as ethylene glycol diacetate and propylene glycol diacetate; and hydroxy carboxylates such as methyl lactate, ethyl lactate, ethyl glycolate, and ethyl-3-hydroxy propionate; a ketone ester such as methyl pyruvate or ethyl pyruvate; an alkoxy alcohol such as 1-methoxy-2-propanol, 2- methoxy ethanol, ethoxyethanol, an alkoxycarboxylic acid ester such as methyl 3- methoxypropionate, ethyl 3-ethoxypropionate, ethyl 2-hydroxy-2-methylpropionate, or methylethoxypropionate; a ketone derivative such as methyl ethyl ketone, acetyl acetone, cyclopentanone, cyclohexanone or 2-heptanone; a ketone ether derivative such as diacetone alcohol methyl ether; a ketone alcohol derivative such as acetol or diacetone alcohol; lactones such as butyrolactone, gamma-butyrolactone and gamma-velaro lactone; aromatic solvents such as anisole, and mixtures thereof.
[0054] The solvent should have a boiling point typically comprised between 50°C and 250°C, more preferably between 70°C and 180°C. In order to generate dense films, the solvent is selected so as to evaporate during a pre-bake step, typically performed at a temperature ranging from 40°C to 220°C, preferably between 80°C and 200°C. The solvent or solvent mixture selection is also guided by the need to dissolve the metal precursor and cross-linker. As such, the solvent may be a polar or a non-polar solvent, or a mixture of polar and non-polar solvent. Hydrocarbons, toluene, xylene, mesitylene are typical non- polar solvent, while tertiary amines, ethers and halocarbons are polar solvents.
[0055] The disclosed spin coatable compositions are particularly suitable for gap fill applications on holes, vias and trenches in semiconductor devices, whether for sacrificial films or left behind films. The disclosed spin coatable compositions are capable of filling structures with small openings, typically from 1 to 10 μm, preferably from 10 to 1000 nm, without defects, voids, delaminations, cracks, and seams, as required by gap fill applications. Additionally, the disclosed spin coatable compositions may be converted to dense, low-stress, low dry etch rate metal oxide or metal nitride at the lowest possible temperature. The resulting films may have a uniform film composition along the feature depth. The low shrinkage achieved with the disclosed spin coatable compositions, the absence of insoluble products and particles, and their ability to easily convert to a solid and dense film, make such compositions particularly suitable for semiconductor gap fill applications. Shrinkage of the metal oxide or nitride films is normally detrimental for semiconductor applications since it results in stress in the resulting cured film. This stress may lead to voids, pinholes, and cracks.
[0056] The disclosed also includes applications of the disclosed spin coatable compositions, e.g., a method of forming a pattern. The method of forming the pattern comprises: providing a material layer to be etched on a substrate; forming a metal-containing layer on the material layer by applying a spin coatable composition onto the material layer through a wet coating method; heat-treating the metal-containing layer to form a metal-containing hardmask layer; forming a photoresist pattern on the metal-containing hardmask layer; removing the metal-containing hardmask layer over the photoresist pattern forming a patterned hardmask layer; and etching an exposed part of the material layer over the patterned hardmask layer to form the pattern.
[0057] Here the material layer may be a metal oxide layer, a metal layer, a silicon (e.g., polysilicon, crystaiized silicon, etc.) layer, a carbon (e.g., a-C, doped a-C, etc.) layer, a Si- containing (SiO, SiN, etc.) layer, or the like. The material layer may be a underlayer. The heat treatment may be prebaking, hard baking, or the like.
[0058] The spin coatable composition may be applied using a spin-on coating method or other wet coating methods. Once the spin coatable composition is deposited, the metalcontaining layer may be heat-treated at about 50 to about 1500°C for about 10 seconds to 3 hours. The thickness of the metal-containing layer may be, for example, about 50 A to about 10,000 Å.
[0059] The substrate may be, for example, low dielectric constant materials, silicon, silicon substrates, copper coated silicon wafer, copper, aluminum, polymeric resins, silicon dioxide, metals, doped silicon dioxide, silicon nitride, tantalum, polysilicon, ceramics, aluminum/copper mixtures, any of the metal nitrides such as aluminum nitride AIN, gallium arsenide and other such Group III A/ compounds, or a glass substrate. Silane coupling reagents enhance adhesion of the material layer to the substrates. Aminofunctional trialkoxysilanes such as hexamethyidisilazane (HMDS), aminopropyltriethoxysilane (APTES), aminopropyltrimethoxysilane (APTMS) and (3- trimethoxysilylpropyl)diethylenetriamine (DETAS) may be employed as a surface modification molecule for generating monolayer modification on the surface of the silicon substrate.
[0060] A surfactant may be added to the spin coatable composition disclosed herein to lower the surface tension of the composition and improve the deposition (e.g., gap-filling) properties of the composition. The surfactant is preferably added in a concentration of 0.001 % to 5% weight of the metal precursor in the composition. Suitable surfactants include: i) non-ionic surfactants, such as, polyglycerol alkyl ethers, glucosyl dialkyl ethers, crown ethers, ester-linked surfactants, polyoxyethylene alkyl ethers, Brij, Spans (sorbitan esters) and Tweens (Polysorbates); ii) unsaturated fatty amine; iii) fluorinated surfactants; and Iv) silicon-based surfactants, such as organosiloxane polymer. These surfactants may be used alone or a mixture of two or more surfactants thereof.
[0061] An additional component of the disclosed spin coatable composition may be dissolved catalyst(s) that Increase the rate of ligand substitution reactions between a ligand coordinated to the metal and the crosslinker, for example acids, metals or metals salts, or mixtures of these compounds.
[0062] Examples of acids used as a catalyst include trifluoroacetic acid, acetic acid, propionic acid, butyric acid, or the like.
[0063] Examples of metals and metals salts used as a catalyst include palladium, palladium acetate, ruthenium, ruthenium acetylacetonate, ruthenium carbonyls, or the like. [0064] Preferably, the crosslinking catalyst may be in amounts of 0.0001 to 5% by weight of the metal precursor.
[0065] The disclosed spin coatable compositions may be stored under an inert atmosphere in dried glass, plastic bottles (such as NOWPak® bottles from Entegris, made of HDPE, PTFE, PE) or stainless steel canisters at temperatures ranging from approximately 0°C to approximately room temperature. If necessary, the stainless steel canister may be coated and/or passivated to minimize any reaction with the metalcontaining composition.
[0066] The disclosed spin coatable compositions may also be used in coating deposition processes to form metal nitride, metal oxide, metal oxynitride, metal carbonitride, metal carboxide, metal oxycarbonitride films used in electronics and optics industries. The metal oxide films are obtained from thermal treatment of the deposited film under an oxidative atmosphere, containing at least one of O2, O31 ambient air, compressed dry air, H2O, H2O2, NO, N2O, NO2, CO, CO2. SO2 and combinations thereof. The disclosed spin coatable compositions may also be used to form protective coatings or pre-ceramic materials (i.e. , nitrides and oxynitrides) for use in aerospace, automotive, military, or steel industry or any other industries requiring strong materials capable of withstanding high temperatures.
[0067] Preferably the formed film using the disclosed spin coatable compositions will be 100% amorphous, but different crystalline phases might be present, making the formed film partially amorphous.
[0068] The disclosed spin coatable compositions may be deposited onto a patterned and blank substrate using techniques well known in the art. The patterned substrate (aspect ratio ranges from 1 : 1 to approximately 200:1) may be any patterned substrate with features composed of vias, trenches, holes, and/or other hollow topographical features. The film thickness coated on patterned substrates ranges from about 5 nm to about 1000 nm. Thicker films may also be formed making multiple-stacks spin according to the required thickness and applications. The coated substrate with the coated film thereon may be further heated on a hot plate, hot wall chamber, cold wall chamber, tube furnace, UV curing systems, rapid thermal annealing systems or convection oven for a sufficient length of time to remove a majority of the solvent and optionally to induce curing. The baking temperature may be from about 50°C to about 1500°C, preferably from 150°C to 800°C, for about 30 seconds to about 2 hours. The composition of the film after baking contains between about 5 to about 90 wt% of total metal oxide. Examples of suitable coating methods include spin coating, dip coating, spray coating, fiber spinning, extrusion, molding, casting, impregnation, roll coating, transfer coating, slit coating, etc. For usage in nonsemiconductor applications, the disclosed spin coatable compositions may also contain a filler or a gap filler. The coating method is preferably spin coating in order to provide suitable film thickness control and gapfill performance.
[0069] The disclosed spin coatable compositions may be applied directly to the center of a substrate and then spread to the entire substrate by spinning or may be applied to the entire substrate by spraying. When applied directly to the center of the substrate, the substrate may be spun to utilize centrifugal forces to evenly distribute the composition over the substrate. One of ordinary skill in the art will recognize that the viscosity of the disclosed spin coatable compositions will contribute as to whether rotation of the substrate is necessary. Alternatively, the substrate may be dipped in the disclosed spin coatable compositions/formulations. The resulting films may be dried at room temperature for a period of time to vaporize the solvent or volatile components of the film or dried by forcedrying or baking or by the use of one or a combination of any following suitable process including thermal curing and irradiations, such as, ion irritation, electron irradiation, UV and/or visible light irradiation, etc.
[0070] The disclosed spin coatable compositions may also be used for the formation of transparent metal oxide films suitable for optics applications. In semiconductor applications, the disclosed spin coatable compositions or formulations may be used for forming sacrificial layers such as etching hard masks, ion implantation masks, anti-reflective coatings, tone inversion layers. Alternatively, the disclosed spin coatable compositions or formulations may be used for forming non-sacrificial, such as gapfill oxide layer, etch stop layers. For gapfill applications, the trench or hole may have an aspect ratio ranging from approximately 1 : 1 to approximately 200:1. The disclosed spin coatable composition or formulation is typically spun on the substrate, pre-baked at 40°C-250°C to evaporate the solvent(s), and eventually converted to metal oxide by annealing the substrate in an oxidizing atmosphere, typically containing O2, O3, H2O, H2O2, N2O, NO, at a temperature ranging from 100 to 1300°C. A multi-step annealing process in various atmospheres (oxidative or inert) may improve the metal oxide quality.
[0071] FIG. 1 is a flow chart demonstrating exemplary processes for the preparation of the disclosed spin coatable compositions or formulations, preparation of the silicon substrate, and the steps of a spin-coating process. Here the characterization step may not be required in commercial operations. One of ordinary skill in the art will further recognize that the process is preferably performed under an inert atmosphere to prevent undesired oxidation of the film and/or in a clean room to help prevent particle contamination of the film. Depending on components in the disclosed spin coatable composition or formulation, a mixture of the disclosed spin coatable precursor, the cross-linker, the solvent and optional surfactant may be stirred and heated to a temperature ranging from 27°C to approximately 180°C. The heating temperature should always remain lower than the pre-baking temperature (40 - 220°C). The mixture may be aged to allow any reactions between the cross-linker additive and the spin coatable precursor to reach equilibrium. After mixing, the mixture may age for 1 hour to 2 weeks prior to use. Depending on the components, the mixture may be aged at a temperature ranging from 27°C to approximately 100°C. Therefore, aging is recommended prior to use to stabilize the components. Initial aging test results indicate that the ingredients reach an equilibrium at which further shrinking of the resulting oxide film does not occur. One or ordinary skill in the art would be able to perform the necessary aging tests to determine the proper aging duration.
[0072] The mixture may be filtered to remove any particles or other solid content. One of ordinary skill in the art would recognize that the filter must be compatible with the components of the disclosed spin coatable composition. Polytetrafluoroethylene (PTFE) is typically a suitable filtration material. The filter size ranges from approximately 0.02 micron to approximately 1 micron. One of ordinary skill in the art will also recognize that other additional sequences are possible, such as the pre-blending of a catalyst in the solvent or one of the solvents to facilitate the mixing and enable a more homogeneous mixture with the metal-containing formulations.
[0073] An exemplary process to prepare a substrate for the spin-coating process is also provided in FIG. 1. A planar or patterned substrate on which a metal-containing film is to be deposited may be prepared for the deposition process in Step 102. High purity gases and solvents are used in the preparation process. Gases are typically of semiconductor grade and free of particle contamination. For semiconductor usage, solvents should be anhydrous and particle free, typically less than 100 particles/mL (0.5 pm particle, more preferably less than 10 particles/mL) and free of non-volatile residues that would lead to surface contamination. Semiconductor grade solvents having less than 50 ppb metal contamination (for each element, and preferably less than 5 ppb) are advised. In Step 102, the substrate is sonicated in acetone or/and in isopropyl alcohol (I PA) at room temperature (between approximately 20°C and approximately 25°C) for approximately 60 seconds to approximately 15 minutes, and preferably for approximately 10 minutes. One of ordinary skill in the art will recognize that these steps may be performed in the same or different sonicators. Different sonicators require more equipment, but provide an easier process. The sonicator must be thoroughly cleaned between Steps 102 and 104 if used for both to prevent any contamination of the substrate. Exemplary sonicators suitable for the disclosed methods include Leela Electronics Leela Sonic Models 50, 60, 100, 150, 200, 250, or 500 or Branson’s B Series. The substrate is removed from the sonicator and rinsed with fresh rinsing solvent. The rinsed substrate is dried using an inert gas, such as N2 or Ar. Optionally, the substrates at Step 102 may be treated by UV-ozone for 5 min to 1 hour at 25°C and atmospheric pressure to generate OH-terminated hydrophilic surfaces when a hydrophilic surface is desired. It also further removes organic contaminants. Optionally, the substrates at Step 102 are dipped into a 1% DHF (100:1) water solution at 25 °C for 1-2 minute to etch away the top native oxide layer, and then rinsed in DI water to generate H- terminated hydrophobic surfaces when a hydrophobic surface is desired.
[0074] One of ordinary skills in the art will recognize that Step 102 and optional substeps in Step 102 with UV-ozone cleaning and/or standard cleaning wet solutions, such as diluted HF, Piranha, DHF, RCA-1 or RCA-2 cleaning, provide exemplary wafer preparation processes. Multiple wafer preparation processes exist and may be utilized without departing from the teachings herein. See, e.g., Handbook of Silicon Wafer Cleaning Technology, 3rd Edition, 2017 (William Andrew). One of ordinary skill in the art may determine the appropriate wafer preparation process based at least upon the substrate material and degree of cleanliness required. The substrates may proceed to the spin coating process after those steps. FIG. 1 also diagrams an exemplary spin-coating process. The substrate prepared above is transferred to a spin coater. Exemplary suitable spin coaters include Brewer Science’s Cee® Precision spin coaters, Laurell’s 650 series spin coaters, Specialty Coating System’s G3 spin coaters, SCREEN Semiconductor Solution Coat and Develop T rack equipment family or T okyo Electron’s CLEAN TRACK ACT equipment family. At Step 104, the disclosed spin coatable compositions are dispensed onto the substrate. The substrate is spun in Step 106. One of ordinary skill in the art will recognize that Step 104 and Step 106 may be performed sequentially (static mode) or concurrently (dynamic mode). Step 106 is performed using a manual or auto-dispensing device (such as a pipette, syringe, or liquid flow meter). When Step 104 and Step 106 are performed concurrently, the initial spin rate is slow (i.e., between approximately 5 rpm to approximately 999 rpm, preferably between approximately 5 rpm to approximately 300 rpm). After all of the disclosed spin coatable composition is dispensed (i.e., when Step 106 is complete in either static or dynamic mode), the spin rate ranges between approximately 1000 rpm to approximately 10000 rpm, preferably 800 rpm to 5000 rpm, more preferably between 800 and 3000 rpm. The wafer is spun until a uniform coating is achieved across the substrate, which typically takes between approximateiy 10 seconds and approximately 3 minutes. Steps 106, 108 and 110 produce a metai-containing film on the substrate. One of ordinary skill in the art will recognize that the required duration of the spin coating process, the acceleration rate, the solvent evaporation rate, etc., are adjustable parameters that require optimization for each new formulation in order to obtain the target film thickness and uniformity (see, e.g., University of Louisville, Micro/Nano Technology Center - Spin Coating Theory, October 2013).
[0075] After the metal-containing film is formed, the wafer or substrate is pre-baked or soft baked in Step 108 to remove any remaining volatile organic components of the spin coatable composition and/or by-products from the spin-coating process. Step 110 may take place in a thermal chamber or on a hot plate at a temperature ranging from approximately 40°C to approximately 250°C, preferably 80°C to 200°C for a time period ranging from approximately 1 minute to approximately 120 minutes. In step 110, the substrate is cured to produce the desired material. Three non-limiting options are shown in FIG. 1, that is, Thermal curing, UV curing or UV-thermal curing in presence of reactive gas/gases. Any of the three options may be performed using an inert or reactive gas. Exemplary inert gases include N2, Ar, He, Kr, Xe, Ne etc. The reactive gas may be used to introduce oxygen, nitrogen, or carbon into the film.
[0076] Exemplary reactive gases that introduce oxygen Into the film include oxygencontaining gases, such as O2, O3, air, H2O, H2O2, N2O, NO, etc. Under an O2/Ar, the curing temperature may range for approximately 150°C to approximately 1300°C. Alternatively, curing may occur under a H2O2 at temperatures ranging from approximately 200°C to approximately 500°C. Exemplary reactive gases that introduce carbon into the film include carbon-containing gases, and specifically unsaturated carbon-containing gases, such as alkenes and alkynes (ethylene, acetylene, propylene, etc.). Exemplary reactive gases that introduce nitrogen into the film must have at least one N-H bond to enable the nitriding to proceed. For a completely C-free film, this means that the curing gas may comprise NH3 or N2H4. Alternatively, C-containing N-sources may be used, but may yield some C in the film. Exemplary C-containing N sources include substituted hydrazines (/.e. , N2R4, wherein each R is independently H or a C1-C4 hydrocarbon provided that at least one R is H)(e.g., MeHNNH2, Me2NNH2, MeHNNHMe, phenyl hydrazine, t-butyl hydrazine, 2-cyclohexyl-1 ,1- dimethyhydrazine, 1 -tert-butyl-1 ,2,2-trimethylhydrazine, 1 ,2-diethylhydrazine, 1-(1- phenylethyl)hydrazlne, 1 -(2-methylphenyl)hydrazlne, 1 ,2-bis(4-methylphenyl)hydrazine, 1 ,2-bis(trityl)hydrazine, 1-(1-methyl-2-phenylethyl)hydrazine, 1 -Isopropylhydrazine, 1 ,2- Dimethylhydrazine, N,N-Dimethylhydrazine, 1 -Boc-1 -methylhydrazine,
Tetramethylhydrazine, Ethylhydrazine, 2-Benzylidene-1,1 -dimethylhydrazine, 1-Benzyl-2- methyihydrazine, 2-Hydrazinopyrazlne), primary or secondary amines (i.e., HXNR3-X, wherein each R is independently a C1-C4 hydrocarbon and x is at 1 or 2) (e.g., NMeH2, NEtH2, NMe2H, NEt2H, (SiMesJzNH, n-Butylamine, Sec-Butylamine, Tert-Butylamine, Dibutylamine, Diisopropylamine, N,N-Diisopropylethylamine, N,N-dimethylethylamine, Dipropyiamine, Ethylmethylamine, Hexylamine, Isobutylamine, Isopropylamine, Methylhexanamine, Pentylamine, Propylamine, cyclic amines like pyrrolidine or pyrimidine), ethylene diamines (i.e., R2N-C2H4-NR2 wherein each R is independently H, a C1-C4 hydrocarbon with the proviso that at least one R is H) (e.g., ethylene diamine, N,N’~ dimethylethylene diamine, tetramethylethylenediamine), pyrazoline, pyridine, radicals thereof, or mixtures thereof. If the desired Metal-containing film also contains oxygen, C- containing N source may include H2N-CxH2x-OH, with x=1-4 hydrocarbon, such as ethanolamine. Preferably the reactant is NH3, radicals thereof, or mixtures thereof.
[0077] The substrate is subject to thermal curing at a temperature ranging from approximately 100°C to approximately 1 ,300°C, preferably from approximately 200°C to approximately 900°C, under an inert or reactive gas. A furnace or rapid thermal processor may be used to perform the thermal curing process. Exemplary furnaces include the ThermoFisher Lindberg/Blue M™ tube furnace, the Thermo Scientific Thermolyne™ benchtop tube furnace or muffle furnace, the Inseto tabletop quartz tube furnace, the NeyTech Vulcan benchtop furnace, the Tokyo Electron TELINDY™ thermal processing equipment, or the ASM International ADVANC®® vertical furnace. Exemplary rapid thermal processors include Solaris 100, ULVAC RTP-6, or Annealsys As-one 100.
[0078] The substrate is subject to UV-curing at a wavelength ranging from approximately 190 nm to approximately 400 nm using a monochromatic or polychromatic source. Exemplary VUV- or UV-curing systems suitable to perform the UV curing include, but are not limited to, the Nordson Coolwaves® 2 UV curing system, the Heraeus Noblelight Light Hammer® 10 product platform, or the Radium Xeradex® lamp. Alternatively, the thermal and UV curing may be performed simultaneously or sequentially. One of ordinary skill in the art will recognize that the choice of curing methods and conditions will be determined by the target metal-containing film desired. Alternatively, the thermal curing process may proceed in a stepwise fashion. More particularly, the thermal curing may start at a temperature ranging from approximately 50°C to approximately 500°C under an inert or reactive gas for a time period ranging from approximately 10 to approximately 30 minutes. The temperature may be increased by approximately 50°C to approximately 150°C and maintained for an additional 10 to 30 minutes. Additional incremental temperature increases may be used, if necessary. Alternatively, the temperature may be increased using a specified ramp and then maintained at specific temperatures for a short period of time. For example, the wafer may be placed in a room temperature chamber being heated at a ramping rate of approximately 1oC/minute to approximately 70°C/minute, preferably from approximately 5°C/minute to approximately 40°C/minute, and more preferably from approximately 10°C/minute to approximately 20°C/minute. Once the temperature reaches the desired heating temperature, for example approximately 100°C to approximately 400°C, the ramping may be stopped for a specified period of time, for example ranging from approximately 5 minutes to approximately 120 minutes. The same or a different ramping temperature rate may then be used to increase the chamber temperature to the next desired heating temperature, for example approximately 300°C to approximately 600°C and be maintained for another specified period of time, for example ranging from approximately 5 minutes to approximately 120 minutes. This may be repeated for again if a third heating temperature is desired, for example approximately 500°C to approximately 1,300°C and maintained for another specified period of time, for example ranging from approximately 5 minutes to approximately 300 minutes. In yet another alternative, the curing may use a slow, steady heating ramp without any specified time spent at any specific temperature (e.g., approximately 0.5/minute to approximately 3°C/minute). Once curing is complete, the furnace is allowed to cool to room temperature at a cooling rate ranging from approximately rC/minute to approximately 100°C/minute. Applicants believe that any of these thermal curing steps may help to reduce formation of cracks and voids in the resulting film.
[0079] Additionally, shrinkage may be further reduced by controlling the O2:H2O volume ratio when an oxygen-containing atmosphere is required. Preferably, the O2:H2O ratio ranges from approximately 6:1 to approximately 2.5:1. Alternatively, shrinkage may be reduced using an H2O2:H2O atmosphere. The shrinkage may be calculated as: 100% X [1- (hardbake film thickness)/(prebaked film thickness)]. The disclosed metal compositions may provide oxide shrinkage ranging from approximately -5% to approximately 20%, preferably from approximately 0% to approximately 10%, and more preferably from approximately 0% to approximately 5%. After curing, the resulting metal oxide film has a O:M atomic ratio ranging from approximately 1 :1 to approximately 2.1 :1. The C content of the resulting metal oxide film ranges from approximately 0 atomic% to approximately 30 atomic %, preferably from approximately 0 atomic% to approximately 20 atomic %. The N content of the resulting metal oxide film ranges from approximately 0 atomic% to approximately 30 atomic %, preferably from approximately 0 atomic% to approximately 20 atomic %. The Si, O, and C concentrations may be determined by X-ray photoelectron spectroscopy (XPS). Since the novei metal oxide films have low volumetric shrinkage compared to other metal oxides, it is harder to generate film defects, such as voids. In Step 112, the cured film is characterized using standard analytic tools. Exemplary tools include, but are not limited to, ellipsometers, X-ray photoelectron spectroscopy, X-ray reflectometry (XRR), atomic force microscopy, X-ray fluorescence, Fourier-transform infrared spectroscopy, scanning electron microscopy, secondary ion mass spectrometry (SIMS), Rutherford backscattering spectrometry (RBS), profilometer for stress analysis, Hg probe, nanoindenter, four point bending or combination thereof.
[0080] The metal-containing films resulting from the processes discussed above may include metal oxide, nitride, oxynitride, in which metal is selected from Zr, Hf, Ti, Al, etc. One of ordinary skill in the art will recognize that by judicial selection of the appropriate metal-containing composition and co-reactants, the desired metal-containing films may be obtained. The metal oxide films also exhibited excellent gap-fill in a trench having an aspect ratio of 1 :1 to 200:1. Currently, the primary method for shrinkage control is to increase the polymer crosslinking in synthesis by optimizing reaction conditions, including reaction temperature/pressure/time, catalyst activity, precursor concentration, and so on. However, it is difficult to fully optimize all of these inter-dependent conditions. The disclosed spin coatable compositions provide less shrinkage of metal-containing films than prior art metalcontaining compositions for semiconductor applications from the Example that follows.
[0081] The recipe for the curing of the film and conversion to metal oxide is also widely investigated to decrease the shrinkage, as it is believed that the shrinkage is related to the loss (volatilization) of short oligomers before they are oxidized during the curing step. As such, there is a competition between oxidation during curing and evaporation of short chain metal-containing oligomers, and the curing recipe (composition of the vapor phase, temperature ramp speed, etc. have a significant impact on the final film shrinkage. Overall, both parameters combine to yield the final shrinkage.
[0082] The baked metal-containing film formed by the disclosed spin coatable composition or residual hard mask, after oxygen plasma based pattern transfer, may be advantageously removed using a chemical stripping agent, such as acid, base, peroxide, and mixture thereof. For example, SC1 solution, 85% phosphoric acid, diluted sulfuric acid, 1-3% DHF, 10% TMAH, 10% hydrogen peroxide, aqueous alkaline peroxides and mixtures thereof are useful stripping compounds. Stripping time ranges from about 5 seconds to about 120 seconds at about room temperature to about 70°C depending on the film curing conditions. [0083] The etching process of the exposed part of the materia! layer may be performed through a dry etching process using an etching gas and the etching gas may be, for example CHF3, CF4, Ch, O2, C4F8, BCl3, and a mixed gas thereof. The process of etching is well known to those skilled in the art.
[0084] The plasma etching method includes providing a reaction chamber having a substrate disposed therein. The reaction chamber may be any enclosure or chamber within a device in which etching methods take place such as, and without limitation, reactive ion etching (RIE), capacitively coupled plasma (CCP) with single or multiple frequency RF sources, inductively coupled plasma (ICP), or microwave plasma reactors, or thermal etch or atomic layer etch (ALE) or other types of etching systems capable of selectively removing a portion of the silicon-containing film or generating active species. One of ordinary skill in the art will recognize that the different plasma reaction chamber designs provide different electron temperature control. Suitable commercially available plasma reaction chambers include but are not limited to the Applied Materials magnetically enhanced reactive ion etcher sold under the trademark eMAXTM or the Lam Research Dual CCP reactive ion etcher dielectric etch product family sold under the trademark 2300® FlexTM. The RF power and gases in such may be pulsed to control plasma properties and thereby improving the etch performance (selectivity and damage) further.
[0085] Alternatively, the plasma-treated reactant may be produced outside of the reaction chamber. The MKS Instruments’ ASTRONi® reactive gas generator may be used to treat the reactant prior to passage into the reaction chamber. Operated at 2.45 GHz, 7kW plasma power, and a pressure ranging from approximately 0.5 Torr to approximately 10 Torr, the reactant O2 may be decomposed into two O- radicals. Preferably, the remote plasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW. The reaction chamber may contain one or more than one substrate. For example, the reaction chamber may contain from 1 to 200 silicon wafers having from 25.4 mm to 450 mm diameters. The substrates may be any suitable substrates used in semiconductor, photovoltaic, flat panel or LCD-TFT device manufacturing. Examples of suitable substrates include wafers, such as silicon, silica, glass, or GaAs wafers. The wafer will have multiple films or layers on it from previous manufacturing steps, including silicon-containing films or layers. The layers may or may not be patterned. Examples of suitable layers include without limitation silicon (such as amorphous silicon, p- Si, crystalline silicon, any of which may further be p-doped or n-doped with B, C, P, As, and/or Ge), silica, silicon nitride, silicon oxide, silicon oxynitride, SiaObHcCdNe, (wherein a>0; b, c, d, e > 0) , mask layer materials such as amorphous carbon, antireflective coatings, photoresist materials, tungsten, titanium nitride, tantalum nitride or combinations thereof, etch stop layer materials such as silicon nitride, polysilicon, crystalline silicon, silicon carbide, SiCN or combinations thereof, device channel materials such crystalline silicon, epitaxial silicon, doped silicon, SiaObHcCdNe, (wherein a>0; b, c, d, e > 0) or combinations thereof. The silicon oxide layer may form a dielectric material, such as an organic based or silicon oxide based low-k dielectric material (e.g., a porous SiCOH film). An exemplary low-k dielectric material is sold by Applied Materials under the trade name Black Diamond II or III. Additionally, layers comprising tungsten or noble metals (e.g. platinum, palladium, rhodium or gold) may be used. Furthermore, examples of the silicon- containing films may be SiaObHcCdNe, (wherein a>0; b, c, d, e > 0). Throughout the specification and claims, the wafer and any associated layers thereon are referred to as substrates.
Examples
[0086] The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all-inclusive and are not intended to limit the scope of the inventions described herein.
Materials and Instruments
[0087] Polytetrafluoroethylene (PTFE) membrane (size 0.2 pm) discs were used in the filtration of the formulations.
[0088] Prepared thin films from above formulations were characterized by Scanning Electron Microscopy (SEM). The refractive index (n) and the extinction coefficient (k) values of the examples metal oxide coatings below were measured on a J. A. Woollam IM- 2000 Ellipsometer. Thermogravimetric (TG) measurements use to measure Metal wt% were done using A Mettler Toledo Thermogravimetric Analyzer with heating from 30°C to 500°C, at a heating rate of 10°C/min in a pure Nitrogen and compressed air atmosphere.
Formulation Example 1
[0089] 4.7 g of a formulation was made by dissolving 0.74 g of tris(dimethylamido)aluminum(lll) dimer in 3.2 g of mesitylene, and N,N’- dimethylethylenediamine. The dissolved tris(dimethylamido)aluminum(lll) dimer was added dropwise into a reaction vessel at room temperature. This solution was stirred, heated and maintained at constant temperature of 120°C for 2 hours, and then cooled back to room temperature and filtered using a 0.2 pm PTFE filter. A spin coating was done on a Silicon coupon wafer at a 1000-3000 rpm speed.
Formulation Example 2
[0090] 3.4 g of a formulation was prepared by dissolving 0.54 g of
Tetrakis(dimethylamido)zirconium(IV) in 0.86 g of mesitylene, and dissolving 0.24 g urea in 1.8 g of 1-methoxy-2-propanol. The dissolved Tetrakis(dimethylamido)zirconium(IV) was added dropwise into a reaction vessel containing the dissolved urea at room temperature. The solution was stirred and heated to a temperature of 140°C, which was maintained for 3 hours. The solution was then cooled back to room temperature and filtered using a 0.2 pm PTFE filter. A spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
Formulation Example 3
[0091] 5.0 g of a formulation was prepared by dissolving 0.32 g of Tris(dimethylamido)aluminum(lll) dimer in 4.3 g of mesitylene, and 0.35 g of dimethyl glutarate. The dissolved Tris(dimethylamido)aluminum(lll) dimer was added dropwise to a reaction vessel at room temperature. This solution was stirred and maintained at room temperature for 2 hours, then filtered using a 0.2 μm PTFE filter. A spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
Formulation Example 4
[0092] 3.3 g of a formulation was prepared by dissolving 0.54 g of Tetrakis(dimethylamido)zirconium(IV) in 2.6 g of mesitylene, and 0.15 g of N,N’- dimethylethylenediamine. The dissolved Tetrakis(dimethylamido)zirconium(IV) was added dropwise into a reaction vessel at room temperature. This solution was stirred and maintained at a constant temperature of 120°C for 2 hours. The solution was then cooled back to room temperature and filtered using a 0.2 μm PTFE filter. A spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
Formulation Example 5
[0093] 5.0 g of a formulation was prepared by dissolving 0.41 g of aluminum isopropoxide in 2.6 g of mesitylene, and dissolving 0.09 g of oxalic acid in 1 .9 g of propylene glycol methyl ether acetate. The dissolved aluminum isopropoxide was added dropwise into a reaction vessel containing the dissolved oxalic acid at room temperature. The solution was stirred and heated to a temperature of 140°C, which was maintained for 3 hours. The solution was then cooled back to room temperature and filtered using a 0.2 μm PTFE filter. A spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
Formulation Example 6
[0094] 5.3 g of a formulation was prepared by dissolving 0.32 g of Tris(dimethylamido)aluminum(lll) dimer in 2.4 g of propylene glycol methyl ether acetate (PGMEA), and dissolving 0.18 g of oxalic acid in 2.4 g of propylene glycol methyl ether acetate. The dissolved Tris(dimethy!amido)a!uminum(lll) dimer was added dropwise into a reaction vessel containing the dissolved oxalic acid at room temperature. The solution was stirred and heated to a temperature of 140°C, which was maintained for 3 hours. The solution was then cooled back to room temperature and filtered using a 0.2 pm PTFE filter. A spin coating was done on a silicon coupon wafer at a 1000-3000 rpm speed.
Example 1: Deposition of aluminum oxide films
[0095] A 2.7 wt% Aluminum containing formulation In mesitylene (from Formulation Example 1 above) was spin-coated onto a silicon substrate at a spin rate of 1000-3000 rpm. The coated substrates were then prebaked at 180°C for 5 min in nitrogen. Next, the prebaked substrates were hardbaked at 200°C for 1 hour in compressed air. The film shrinkage, defined as the thickness difference before and after hardbake, divided by the thickness before hardbake, was 28%.
Example 2: Deposition of zirconium oxide films
[0096] A 10.3 wt% Zirconium containing formulation in mesitylene (from Formulation Example 4 above) was spin-coated onto a silicon substrate at a spin rate of 1000-3000 rpm. The coated substrate was then prebaked at 180°C for 3 min in nitrogen. Next, the prebaked substrate was hardbaked at 200°C for 1 hour in compressed air. The film shrinkage, defined as the thickness difference before and after hardbake, divided by the thickness before hardbake, was 34%.
Spin Coating Process for Metal Composition, Via or Trench Filling
[0097] The spin coating of the formulation examples was done by depositing a solution of each formulation onto the center of a substrate (e.g. silicon wafer) and then spinning the substrate at high speed (typically between 1000 to 3000 rpm). Specifically, a Brewer Science Cee 200X spin coater was employed to coat bare substrates or to coat substrates with patterned photoresist to accomplish via or trench filling. The formulation of Formulation Example 1 was diluted in mesitylene and was spin-coated on a deep via substrate patterned wafer with trench sizes of 600 nm to 650 nm (depth) 70 nm to 100 nm (width) and line/space (L/S) 1 :1. The coated wafer was subsequently baked at 250°C for 60 s to 600°C for 60 s. For trench filling, solid content of the formulations was adjusted to target a final film thickness of 80 nm which was spin-coated on a patterned wafer with trench size of 70 nm (depth) x 30 nm (width) and L/S 1 :1. The coated wafer was subsequently baked at 220°C for 60 s, 250°C for 60 s.
Formulation Moisture Sensitivity Tests
[0098] The solutions of Formulation Example 1 and 2 were exposed to air (bottle open) for 1 , 5, 8, 10, 14, 16, 20 and 24 hours, respectively. After closing the bottles, the samples are subjected to long-term stability tests by coating the solution periodically and examined for the coating quality test performances. The formulation was spin coated on a silicon wafer and baked at 300°C for 60 min. The films of these formulations demonstrated good coating quality (no particles/voids) even after 8 h to 24 h exposure to air and for at least 1 week.
Via Filling Performance Evaluation Example Formulations 15 2, 3, and 4
[0099] Solutions of Formulation Examples 1 , 2, 3 and 4 with t targeting final film thickness of 100 to 200 nm were spin-coated on a deep via substrate-patterned wafer with trench size of 300 nm (depth) 30 nm to 60 nm (width) and line/space (L/S) 1 :1 at a spin speed of 2500 rpm. The coated wafer was baked at 200°C for 60 min to 400°C for 60 min. The crosssection scanning electron microscope (XSEM) data showed excellent film coating quality and good filling performances.
Trench Filling Performance
[00100] Solutions of Formulation Examples 1 , 2, 3 and 4 with targeting final film thickness of 100 to 200 nm were spin-coated on a patterned wafer with trench size of 80 nm was spin- coated on a patterned wafer with trench size of 70 nm (depth) 35 nm (width) and L/S 1 :1 at a spin speed of 2500 rpm. The coated wafer was baked at 200°C for 60 min to 400°C for 60 min. The cross-section scanning electron microscope (XSEM) data showed excellent film coating quality and good filling performances.
Wet Etch Rate for Coatings Prepared with Formulations of Formulation Examples 1, 2, 3, 4 Using Diluted SC1 (H2O:H2O2:NH4OH) and HF Solutions
[00101] Metal oxide film or residue on a wafer or substrate should be removed by wet etching without damaging stack materials. The spin-on metai oxide films are designed for strippability in chemical solutions after curing. Formulations of metal oxide was tested using the stripping recipes including acidic or alkaline aqueous solutions such as SC1 (H2O:H2O2:NH4OH), Piranha (H2SO4: 30% H2O2), diluted HF, NH4F, phosphoric acid, or simply a solvent or a solvent mixture. The initial thickness of the film was 20 - 200 nm. Spin- on metal material was spin coated and cured on a silicon wafer. The wafer was immersed in one of above stripping solutions. The film thickness was recorded periodically for 3-5 min. The wet etch rate was obtained by measuring film loss at different time intervals during wetetch process if there is a linear relationship between film loss and etching time.
[0102] The cured metai oxide films can be removed or partially removed by these stripping solutions at ambient or elevated temperatures. AIOX and ZrOx films are readily removed by the diluted HF solution that is commonly used in semiconductor manufacturing process. ZrOx films show high wet etch resistance in SC1 solution under similar conditions. Table 3 shows wet etch rates of metal oxides films either in SC1 (H2O:H2O2:NH4OH = 20:4:1), or dHF solution. Here, AlxOy and ZrxOy refer to aluminum oxide and zirconium oxide, respectively, where x = 1-2 and y = 1-3.
Table 3
Figure imgf000033_0001
Etch Rate for Coatings Prepared with Formulations of Formulation Examples 1S 2, 3, 4 Using CF4 and O2/Ar as Etch Gases
[0103] Solutions of formulation examples 1 , 2, 3 and 4 were prepared as described above for etch rate testing.
[0104] Experiments were carried out with commercial LAM tool 4520XLe 200mm (CCP dual frequency plasma) or alternatively with commercial AMEC 300mm Primo SSC HD-RIE etcher. Planar wafers were purchased from Advantive Tech. The planar wafers used in the experiments are different substrates listed below.
2 pm PECVD TEOS (SiO) on Si substrate;
2 pm PECVD SisN4 (SIN) on Si substrate;
300 nm LPCVD polysilicon (poly-Si) on Si substrate; and
350 nm PECVD amorphous carbon (a-C) on Si substrate 90 nm AI2O3 (ALD) formed by precursors TMA and H2O.
[0105] For planar tests, etch rate (ER) were measured using an Ellipsometer and/or SEM by measuring the changes in etch thickness as a function of etching time. The etching experiments were performed on four 1.5 x1.5 cm2 coupons having four different substrate materials including SIO, SiN, p-Si and a-C, listed above. The coupons were placed on 200 or 300 mm diameter carrier wafer and held in contact by using silicon oil or thermal paste. Alternatively, double sided carbon tape obtained from SPI suppliers may have been used to stick coupons on carrier wafer.
[0106] Etching tests were performed at a pressure of 30 mTorr, source power of 750 W (27 MHz), bias power of 1500 W(2 MHz), and temperature 20°C. The feed mixture contains 250 seem of Ar, 15 seem of etch gas, while O2 is varied in the range 0 to 20 seem.
[0107] To prove repeatability, each etching test have been repeated at least three times. Standard deviation of the average of the three measurements is applied.
[0108] Table 4 lists relative bulk etch rates of metal oxide samples vs. SiOx at various baking temperatures in CF4 gas. Here, AlxOy and ZrxOy refer to aluminum oxide and zirconium oxide, respectively, where x = 1-2 and y = 1-3.
Table 4
Figure imgf000034_0001
[0109] Although the subject matter described herein may be described in the context of illustrative implementations to process one or more computing application features/operations for a computing application having user-interactive components the subject matter is not limited to these particular embodiments. Rather, the techniques described herein may be applied to any suitable type of user-interactive component execution management methods, systems, platforms, and/or apparatus.
[0110] It will be understood that many additional changes in the details, materials, steps, and arrangement of parts, which have been herein described and illustrated in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be limited to the specific embodiments in the examples given above and/or the attached drawings.
[0111] While embodiments of this invention have been shown and described, modifications thereof may be made by one skilled in the art without departing from the spirit or teaching of this invention. The embodiments described herein are exemplary only and not limiting. Many variations and modifications of the composition and method are possible and within the scope of the invention. Accordingly, the scope of protection is not limited to the embodiments described herein, but is only limited by the claims which follow, the scope of which shall include all equivalents of the subject matter of the claims.

Claims

What is claimed is:
1. A film-forming composition, the film-forming composition comprising: a metal-containing precursor; at least one cross-linker compound comprising two or more linking groups; and a solvent, wherein the metal-containing precursor has the following general formula:
ML.4,
M'L5,
M”(L1)m( L2)n , AIL33, and AIL3 3.aL4 a, wherein
M = Ti, Zr, Hf, W, Sn:
M' = Ta;
L is R, NR2, PR31 arene, OR, SR, Cp, R'xCp, OSiR3, pyrazolate, amidinate;
M" = V, Nb, Ta, Or, Mo, W; m = 1 to 5; n = 1 to 5; a = 1 to 2;
L1 is N-R;
L2 is NR2, PR3, arene, OR, SR, Cp, R'xCp, OSIR3, pyrazolate, amidinate;
L3 is an alkyl, OR, NR2, Halide; and
L4 = H, NR3, SR2, OR2, wherein x = 1 to 5; R is independently a Ci to C4 hydrocarbon; R' is independently H or a Ci to C4 hydrocarbon.
2. The film-forming composition of claim 1 , wherein the metal-containing precursor is selected from M(NR2)4, M'(NR2)5 or (R’5Cp)M(NR2)3.
3. The film-forming composition of claim 1 , wherein the metal-containing precursor is selected from AI2(NMe2)6 (CAS#: 32093-39-3), AI(O-iPr)3 (CAS#: 555-31-7), AI(Me)2(OiPr), AI(Et)2CI, AI(iBu)2CI, or Zr(CH3)2N]4 (CAS#: 19756-04-8).
4. The film-forming composition of any one of ciaims 1 to 3, wherein an amount of the metai-containing precursor ranges from approximateiy 0.5% w/w to approximately 99.5% w/w in the film-forming composition.
5. The film-forming composition of any one of claims 1 to 3, wherein the two or more linking groups are selected from an organic linking moiety or a heteroatom containing linking moiety.
6. The film-forming composition of claim 1 , further comprising a crosslinking catalyst selected from an amine, a borane, or an organometallic, wherein an amount of the crosslinking catalyst Included In the spin coatable composition is about 0.0001 to 5% by weight of the spin coatable composition, wherein an amount of the crosslinking catalyst included in the spin coatable composition is 0.01 to 10 parts by weight, based on 100 parts by weight of the metalcontaining precursor.
7. The film-forming composition of claim 1 , further comprising a surfactant selected from a non-ionic surfactant, unsaturated fatty amine, fluorinated surfactant, silicon-based surfactant or a combination thereof, wherein the surfactant is added in a concentration of 0.001 % to 5% by weight of the metal-containing precursor in the spin coatable composition.
8. A method for forming a Si-free metal-containing film on a substrate, the method comprising: applying a film-forming composition of claim 1 that contains a metal-containing precursor onto a substrate through a wet coating process; and baking the substrate with the film-forming composition of claim 1 thereon under a temperature ranging from about 50°C to about 1500°C to form the Si-free metal-containing film, wherein the wet coating process is a spin coating, spray coating, dip coating, or slit coating technique.
9. The method of claim 8, further comprising curing the substrate with the film-forming composition of claim 1 thereon bythermal curing, photon curing, microwave curing, annealing, laser treatment, or combinations thereof.
10. The method of claim 8, further comprising thermal curing the substrate with the film-forming composition of claim 1 thereon at a temperature ranging from approximately 101°C to approximately 1000°C, under an inert or a reactive gas.
11 . The method of claim 8, further comprising exposing the substrate with the film-forming composition of claim 1 thereon to a reactive gas, wherein the reactive gas is selected from H2, an oxidizing gas selected from O2, O3, ambient air, compressed dry air, humid air, H2O, H2O2, organic peroxides, NO, N2O, NO2, CO, CO2, SO2, NH3, hydrazines and combinations thereof.
12. The method of any one of claims 8 to 11 , wherein the Si-free metal-containing film is a Si-free metal-containing gapfill without defects generated.
13. The method of any one of claims 8 to 11 , wherein the substrate comprises trenches or holes having an aspect ratio ranging from approximately 1 :1 to approximately 1 :100.
14. The method of any one of claims 8 to 11 , wherein the metal-containing precursor is selected from M(NR2)4, M'(NR2)5 or (R’5Cp)M(NR2)3.
15. The method of any one of claims 8 to 11 , wherein the metal-containing precursor is selected from selected from AI2(NMe2)s (CAS#: 32093-39-3), AI(O-IPr)3 (CAS#: 555-31-7), AI(Me)2(OiPr), AI(Et)2CI, AI(iBu)2CI, or Zr(CH3)2N]4 (CAS#: 19756-04-8).
PCT/US2022/052714 2021-12-17 2022-12-13 Spin coatable metal-containing compositions and methods of using the same WO2023114214A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163291244P 2021-12-17 2021-12-17
US63/291,244 2021-12-17

Publications (2)

Publication Number Publication Date
WO2023114214A1 true WO2023114214A1 (en) 2023-06-22
WO2023114214A8 WO2023114214A8 (en) 2024-01-04

Family

ID=86773372

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2022/052714 WO2023114214A1 (en) 2021-12-17 2022-12-13 Spin coatable metal-containing compositions and methods of using the same

Country Status (2)

Country Link
TW (1) TW202330997A (en)
WO (1) WO2023114214A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013534039A (en) * 2010-03-29 2013-08-29 シレクス オサケユキチュア Alumina based etch resistant coating
KR20160041678A (en) * 2014-10-08 2016-04-18 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 Organaluminum materials
JP2016073943A (en) * 2014-10-08 2016-05-12 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Organic aluminum material
CN105623320A (en) * 2014-11-07 2016-06-01 罗门哈斯电子材料有限公司 Organic aluminum material
JP2017511780A (en) * 2014-01-14 2017-04-27 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ Polyoxometalate and heteropolyoxometalate compositions and methods of use thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013534039A (en) * 2010-03-29 2013-08-29 シレクス オサケユキチュア Alumina based etch resistant coating
JP2017511780A (en) * 2014-01-14 2017-04-27 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ Polyoxometalate and heteropolyoxometalate compositions and methods of use thereof
KR20160041678A (en) * 2014-10-08 2016-04-18 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 Organaluminum materials
JP2016073943A (en) * 2014-10-08 2016-05-12 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Organic aluminum material
CN105623320A (en) * 2014-11-07 2016-06-01 罗门哈斯电子材料有限公司 Organic aluminum material

Also Published As

Publication number Publication date
TW202330997A (en) 2023-08-01
WO2023114214A8 (en) 2024-01-04

Similar Documents

Publication Publication Date Title
JP7153100B2 (en) Compositions and methods using same for carbon-doped silicon-containing films
US20220157601A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
KR102376352B1 (en) Method and composition for providing pore sealing layer on porous low dielectric constant films
TWI575102B (en) Compositions and methods using same for deposition of silicon-containing film
US6451436B1 (en) Coating liquid for forming a silica-containing film with a low-dielectric constant and substrate coated with such a film
JP2009117817A (en) Antireflective film
US20210043446A1 (en) Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features
JP2014150287A (en) Porogen, porogenated precursor and use of the same to obtain porous organosilica glass film with low dielectric constant
TW202012682A (en) Methods for making silicon containing films that have high carbon content
KR20200119852A (en) Perhydropolysilazane composition and method for forming oxide film using same
US20020187652A1 (en) Method of forming a silica-containing coating film with a low dielectric constant and semiconductor substrate coated with such a film
TWI793262B (en) Perhydropolysilazane compositions and methods for forming nitride films using same
EP2073254B1 (en) Method of forming amorphous silica coating of low dielectric constant and amorphous silica coating of low dielectric constant obtained thereby
WO2023114214A1 (en) Spin coatable metal-containing compositions and methods of using the same
CN114174553A (en) Composition and method for depositing silicon-containing films using the same
KR20210055796A (en) Method for making liquid polysilanes and isomer-rich higher grade silanes
KR20210055795A (en) Method for making liquid polysilanes and isomer-rich higher grade silanes
JP2023508367A (en) Curable formulations for forming low-k dielectric silicon-containing films using polycarbosilazanes

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22908326

Country of ref document: EP

Kind code of ref document: A1