TW201220394A - Low-temperature dielectric film formation by chemical vapor deposition - Google Patents

Low-temperature dielectric film formation by chemical vapor deposition Download PDF

Info

Publication number
TW201220394A
TW201220394A TW100134827A TW100134827A TW201220394A TW 201220394 A TW201220394 A TW 201220394A TW 100134827 A TW100134827 A TW 100134827A TW 100134827 A TW100134827 A TW 100134827A TW 201220394 A TW201220394 A TW 201220394A
Authority
TW
Taiwan
Prior art keywords
gas
process chamber
film
forming
substrate
Prior art date
Application number
TW100134827A
Other languages
Chinese (zh)
Other versions
TWI464802B (en
Inventor
Anthony Dip
Kimberly G Reid
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201220394A publication Critical patent/TW201220394A/en
Application granted granted Critical
Publication of TWI464802B publication Critical patent/TWI464802B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Abstract

A method for depositing a dielectric film on a substrate includes positioning a plurality of substrates in a process chamber, heating the process chamber to a deposition temperature between 400 DEG C and less than 650 DEG C, flowing a first process gas comprising water vapor into the process chamber, flowing a second process gas comprising dichlorosilane (DCS) into the process chamber, establishing a gas pressure of less than 2 Torr, and reacting the first and second process gases to thermally deposit a silicon oxide film on the plurality of substrates. One embodiment further includes flowing a third process gas comprising nitric oxide (NO) gas into the process chamber while flowing the first process gas and the second process gas; and reacting the oxide film with the third process gas to form a silicon oxynitride film on the substrate.

Description

201220394 , 六、發明說明: 【發明所屬之技術領域】 本發明係關於半導體基板處理,尤有關於使用氣化之石夕产斑 水蒸氣之低溫介電膜沉積之方法。 【先前技術"】 在半導體基板表面上之積體電路之形成過程中,氧化物戋氮 氧化物膜頻繁地生長或沉積在晶體基板(例如:矽)之表面上兴 例來說’用在半導體快閃記憶體與微特徵側壁應用(micr〇_featwe+ sidewall applications)之高品質氧化矽(si〇x,xg2)膜之化學氣相沉 積(CVD)之工業標準製程係以二氯矽烷(dCS)與一氧化二 之高溫反應為基礎。此製程之主要優點包含在批次製程中同^處 理多個基板之能力;氧化矽膜之良好電氣性能;以及相較於其他 CVD膜,膜之濕蝕刻速率相對低,該^^膜例如:使用矽^四 乙酯(TE0S,tetraethyl orthosilicate)、雙(叔丁胺基)矽烷(βΤΒΑ8, bis (tertiary-butylamino) silane)、與其他前導物所沉積之膜。 然而,有一些關於使用1)(:8與^0來執行氧化石夕膜之CVD 之缺點。當使氧化矽膜與需要低的熱預算之先進材料結合時,此 cvp製程需要可能會限制其使用之相當高之基板溫度(例如:約 800C)。並且’已發現使用n2〇氣體作為氧化氣體會導致不良與 普遍不可控制之氮(N)結合到氧化矽膜中。低的膜沉積率被認為是 由於氧化膜上之速率限制DCS成核步驟所造成,其因DCS與n2〇 間缺乏氣相反應所引起。 、 高K介電質之需求使製造業者必須藉由將氮結合至氧化物膜 中來加強現存之氧化物膜(例如:矽與鍺上之氧化物膜)。在此技藝 工眾所皆知,氮結合至氧化物膜會增加所產生氮氧化物膜之介電 取數,並且允許薄閘極介電質生長在這些半導體基板材料上。氮 氧化矽(si〇xNy)膜可以有良好的電氣特性,包含半導體應用中之裝 • 置運作所期望之尚電子遷移率(electron mobility)與低電子陷啡密 201220394 f (electron trap density)。氮結合至薄氧化石夕膜之 = 雜多晶㈣極、改善之介面平坦度、氮氧化石夕膜之 之增加、以及改善之障壁特性以避免金屬氧化物或金屬 閘極材料擴散至下方基板。 胃 由於半導體裝置之微型倾絲㈣之細(需少之半導 麟理方法之熱預算),有新處理方法之需求,賴處理方法提供 =制之深度具高氮結合之低溫氧化賴氮氧化頻沉積作用, 同時提供氧化物生長之控制速率。 【發明内容】 本發明之一實施例提供藉由使用二氯矽烷(Dcs)與水蒗 批次式處理系統中之數個基板上低溫cv〇氧化矽膜之方法、、。、詨方 :將數健板安置在製雜室巾;職健室加熱至彻 與少於650 C間之沉積溫度;將包含水蒸氣之第一製 ::ϊ;腔;:;將包含二氣鄭)之第二製程氣 月工至中,在製程腔室中建立少於2 T〇rr之氣體廢力;以及使第一 與第二製程氣體反應,以在數個基板上熱沉積氧化矽膜。另一每 施例更包含:在流動第-製程氣體與第二縣氣體時將 化氮_)氣體之第.三製減驗_製健室巾;以及使 膜與第三製程氣體反應,以在基板上形成氮氧化矽膜。 【實施方式】 本發明之實施例提供用來形成半導體裝置之介電膜之 積製程。在一實施例中,提供使用二氯石夕盥水蒸之 ,之非躲CVD方法。在另-實_巾,提供使^cs、水基 軋、與一氧化氮(NO,nitric oxide)氣體之氮氧化矽膜之非電漿cv〇 方法。本發明之實施例達到具有良好材料與電氣特性之二^化 與氮氧化矽膜之高沉積速率,同時使用比工業標準高溫 (HTO)製程(依賴基板上之DCS與-氧化二氮_,nitr()us。 之反應)更低之沉積溫度。 201220394 ί ’以水蒸氣氧化劑與非必要之1^。氣體取代N2〇 .i t Λ 频,允魏積溫度降低大於财c、大於 料夕或备甚300 c(例如:高達35〇。〇,同時提供有良好材 :、、’氧,賴’該良好材料特性包含相較於基線ΗΤ0製程之 Ιί=ϊί =積溫度之降低提供先進積體電路所需之熱預 ίίϊί降ΐ為受限制之熱預算不會允許基板_之增加, 益。更長之處辦間在轉體裝置之高量製造巾會稽合成本效 一水蒸氣氧化劑之使耻在_航積溫度下制 ,,速率’同時提供相當之氧化稍氮氧化賴之電I更 i^mN20之ητ〇製程,本發明之實施例提供用來精择控 ^氧化賴之Ν結合之機構。而且,可以選擇性地在高於沉 ,度=度下執行後沉積(p0st_deposition)熱處理,以進一步改盖氧 化矽與氮氧化矽膜之材料與電氣特性。 q #ifί希望受理論拘束’本發明者相信,不像被認為專門發 與Ν20間之反應,Dcs與水蒸氣間之氣 t面互相作用之前)能使基板表面上發生因dsc 裂解或聚=物之形成所產生之0(:8物種之改善核化作用。 1〇’顯示具有製程腔室12之批次式處理系統 而數個基板20女置在製程腔室12内。熟悉本技蔹 ί理士;= 示,述批次式處理系統1〇 ’本發明亦可^用於-次 ?理-個基板之早-式基板處理。圖2八與迚描述在圖【中之某 板20上個別形成氧化石夕與氮氧化石夕膜之製程流程圖。 " 驟參1與圖2兩者’在方法2〇0之一實施例中,在步 基板20㈣在製程腔室12中。基板20可以安 安置i 丨ίίϊΐ 13上。熟悉本技藝者將觀察到,將基板20 ίΜ""包含在基板20插入後透 過排乳口 15使製程腔室12排氣、以及透過真空口 μ 二將基板20安置於批次式處理系統10内也ΐ以‘ 3使職性乳體(例如:氮)來洗滌製程腔室12,以稀釋或減少製 201220394 程腔室12内之有機污染物之濃度。 在步驟204中,將製程腔室12加熱到4〇(rc與少於65〇〇C2 間之沉積溫度。在製程腔室12之加熱_,加熱速率可以從每分 鐘數度C到每分鐘1〇〇度c以上。 •在加熱之後,在步驟206中,將包含水蒸氣之第一製程氣體 ,過進氣口 16而導引到製程腔室12中。第一製程氣體包含水蒸 ,但不是氮化氣體。在步驟208中,將包含DCS與非必要之稀釋 氣體之第二製程氣體透過進氣口 17而導引到製程腔室12中。在 步驟210中,在製程腔室中建立低於21〇11>之製程氣體壓力。在 步驟212中,來自水蒸氣之氧與DCS於氣相中反應,並在各基板 20上沉積氧化矽膜。 現在參考圖1與圖3兩者,在方法3〇〇之另一實施例中,在 =驟302中,將數個基板2〇安置在製程腔室12中。基板2〇可以 安^在可旋轉基板支撐H 13上。熟悉本技藝者將觀察到,將基板 加安置或裝載到批次式處理系統1〇内可以包含在基板2〇插入之 後透過排氣口 15來使製程腔室12排氣、以及透過真空口 14來排 二製私腔室12。此外,將基板2〇安置於批次式處理系統1〇内也 了以包含使用惰性氣體(例如:氮)來洗條製程腔室12,以稀釋或 減少製程腔室12内之有機污染物之濃度。 〆 。在步驟304中,接著將製程腔室12加熱到4〇〇。〇與少於65〇 P之間之處理溫度。在製程腔室12之加熱期間,加熱/速率可以從 母分鐘數度C到每分鐘1〇〇度c以上。 、、在加熱之後,在步驟306中,將包含水蒸氣之第一製程氣體 透過進氣口 16而導引到製程腔室12中。在步驟3〇8中,將包含 DCS與非必要之稀釋氣體之第二製程氣體透過進氣口 口而導引到 製程腔室12中。在步驟310中,將包含N〇與非必要之稀釋氣體 之第三製程氣體導引到製程腔室中。在步驟312中,在製程腔室 中建立低於2 Torr之製程氣體壓力。在步驟314中,來自水^氣 之氧在氣相中與DCS和NO反應,如此來自NO之氮會結合至氧 化矽臈中,藉此在各基板20上形成氮氧化矽膜。 口 201220394 翕舻:己::以^生處理環境之第-、第二、與非必要之第三f1程 處轉境有-處理壓力。本發明者了解處理玆ΐ 氣特性之0Li石良Γ均句度與料體裝置之所需材料與電 ::與 =:=r sr r-之間、1 τ:與 C之間、4,與45。。。之間、彻。。與5⑻。c之間、解。、與55〇 間、500C與 60(TC之間、55(rc^ 6G(rc之間、55(rc盘少於 im、或_°c與少於65〇t之間之沉積溫度。在一 Ϊ施例 己:ί理溫度來設定處理愿力’以控制氧化石夕或氮氧化石夕膜 =積速率。熟悉本技藝者將觀察到,在航積_,處理屢力、 會fi。因此,「設定」一詞並不限制於設定處理 屋力、乳體流速、或處理溫度之單—動作。更確切地說,設定可 以代表任何數目之設定或調整動作,如此係依據來自内部控制、 來自產業、或者由客戶所決定之任何品質鮮來沉積氧化梦 氮氧化賴。第-、第二、以及非必要之第三製程氣體之流速$ =在從10 seem(每分鐘標準立方米)到2〇 slm(每分鐘標準公升)之 範圍内,對NO氮化氣體而言為1到5〇〇〇 sccm,而對稀釋氣體而 言為 100 seem 到 20 slm。 根據本發明之一實施例,在將包含水蒸氣之第一製程氣體流 動到製程腔室12中之前’如圖1所顯示’藉由氫氣(Η〗)與氧氣(〇2) 之燃燒作用以在製程腔室12外部產生水蒸氣。如圖丨所描述,產 生第一濕製程氣體之一範例為使用Tokyo Electron Ltt MrasaH Tamanashi,Japan 所發展之高稀釋致熱炬 18 (high-dilution ’ pyrogenic torch)。高稀釋致熱炬燃燒小流量之氫氣與氧氣。致熱炬 18因此在製程腔室12外部產生水蒸氣(即蒸氣形式之水蒸氣)。 在本發明之另一實施例中,在處理環境中使用稀釋^體來稀 釋第一與第二製程氣體。稀釋氣體之濃度與第一和第二製程氣體 之濃度之比率會影響氧化石夕或氮氧化;ε夕膜之沉積速率。因此,可 201220394 以使用稀釋氣體來控制氧化矽膜生長速率與氮氧化矽臈生長速 率。在一實施例中,如圖1所顯示,稀釋氣體包含氮氣既)。然而, 可以使用其他非反應性氣體,例如:氬(Ar)。仍舊參考圖1,熟悉 本技藝者將容易地了解,可以使用氮稀釋氣體來稀釋包含水蒸^ 之第一製程氣體,而不需將:^〇氣體流動到製程腔室中。“、、、 在方法之另一實施例中,一旦氧化矽膜或氮氧化矽膜沉積在 各基板20上,便以高於沉積溫度之熱處理溫度來熱處理其上有膜 之基板20。在此技藝中眾所皆知,熱處理基板2〇上之二氧化矽戋 氮氧化矽膜會更改膜之特性,尤其是膜之電氣特性,因此會變更 含膜裝置之電氣特性。根據本發明之實施例,在熱處理期間,可 以更改處理環境與處理壓力。舉例來說,在製程腔室丨^中之膜、、冗 積作用之後’在熱處理前製程腔室12會被真空洗滌一或更多次' 以去除包含第-、第二、與非必要m氣體之處理環境以 及稀釋軋體(若有的話)。處理環境一經洗滌,熱處理氣體會被 並且處理職12时建立熱處理溫度與熱處_力,其會 沉積壓減升籍健力。或者,其上有氧切魏氧化頻之 基板20會傳送到不同處理系統以進行熱處理。熱處理壓力可以相 似於沉積壓力之翻。減-實施例,熱處戦體包含氮氣 if化巧0)^—氧化二氮既0)、氧氣(〇2)、或水田2〇)、或其混 σ物之至少一者。 圆4你顯不根據本發明實施例之為DCS流速的函數之氧 沉積速率。膜沉積條件包含1〇〇 sccm之H2氣體流速度與1〇〇 =〇2氣誠速舰過致酸18、水魏產生器,因此產生水菜 乳。使用200 seem之&稀釋氣體流速來稀釋包含水基氣之 程氣體。在氧化賴之沉積期間建立α2Τ啦之處理壓力,U ,度可以變餘45(TC到60(TC間。氧化石夕膜之厚度小 ⑶ A。DCS氣體流速可以變化於1〇 _到2〇 _ 增加⑽流速會導致增加之氧切沉積速率,在4u不 之沉積严下從約3-4 Α/min增加到約9_1〇 “、以及:6〇上 下從6A/min增加到約nA/min。此外,為了作比較,圖4顯 201220394 面上使用將DCS與N2〇反應之習知HT0製程於810°C之 ίϊΐΐ下之氧化石夕膜之沉積速率僅為約2A/min,而且沉積速率 實質上與DCS麵錢。 仪午 ^ 5係顯示根據本發明實施例之為N2後沉積熱處理溫度的函 口暫不I氧化矽膜之濕蝕刻速率。濕蝕刻速率為氧化矽膜之材料 ===量測,其中高品質氧化矽膜濕蝕刻慢於低品質氧化矽膜。 —1在Nl^體之0·5 Torr之處理壓力與不同溫度之(沉積)製程腔 ,、心儿積之氧化碎膜接著被熱處理1小時。在熱處理之後, 膜接著在稀釋呵細],_ ··明巾經歷2.5分鐘之 if ’而且將蝕刻速率對基線™0氧化矽膜之蝕刻速率正 锕攻二基線HT〇氧化石夕膜係於800°c下使用5〇 seem之DCS氣 lOOseem之凡0氣體流速沉積而成。圖5顯示對於相同 二切膜而言’更高之_溫度或更高之DCS氣體流 =導致更南之濕侧速率。此外,後沉積熱處理溫度越高,使 沈"1之Dcs氣體流速所沉積之氧化梦膜之濕姓刻速率越 °例中’於600°c之基板溫度下使用低DCS氣體流速(10 ΓΓΪΪ賴鮮在&氣體+、之絲溫度下經熱處理之 、之濕,速率小於基線HT〇氧化石夕膜之濕侧速率。 + J,顯示藉由本發明實施綱形成之氧化賴氮氧化石夕膜 齡朗⑽與水魏纽魏化賴,並且 _之n〇氣體添加至,j㈣與水蒸氣製程 ‘合積氮,化賴。表1與圖6之結果說明n〇氣體之添 m ^ 速率、等效氧化物厚度⑽乃、以及介電常數201220394, VI. Description of the Invention: [Technical Field of the Invention] The present invention relates to semiconductor substrate processing, and more particularly to a method for depositing low temperature dielectric film using gasification of smear. [Prior Art "] In the formation of an integrated circuit on the surface of a semiconductor substrate, an oxide 戋 oxynitride film is frequently grown or deposited on the surface of a crystal substrate (for example, ruthenium), for example, The industry standard process for chemical vapor deposition (CVD) of high-quality yttrium oxide (si〇x, xg2) films for semiconductor flash memory and micro-featured sidewall applications (micr〇_featwe+side applications) with dichlorosilane (dCS) ) based on the high temperature reaction of oxidized two. The main advantages of this process include the ability to process multiple substrates in a batch process; the good electrical properties of the yttrium oxide film; and the relatively low wet etch rate of the film compared to other CVD films, such as: A film deposited with TEOS, tetraethyl orthosilicate, bis(tertiary-butylamino) silane, and other precursors was used. However, there are some disadvantages to using 1) (:8 and ^0 to perform CVD of oxidized stone films. When the yttrium oxide film is combined with advanced materials that require a low thermal budget, this cvp process may limit its A relatively high substrate temperature (eg, about 800 C) is used. And 'It has been found that the use of n2 〇 gas as the oxidizing gas causes poor and generally uncontrollable nitrogen (N) to bind to the yttrium oxide film. Low film deposition rate is It is believed to be caused by the rate-limiting DCS nucleation step on the oxide film, which is caused by the lack of gas phase reaction between DCS and n2. The demand for high-k dielectrics is required for manufacturers to bind nitrogen to oxides. The film is used to strengthen existing oxide films (e.g., oxide films on ruthenium and iridium). As is well known in the art, the binding of nitrogen to the oxide film increases the dielectric number of the oxynitride film produced. And allows thin gate dielectrics to grow on these semiconductor substrate materials. The bismuth oxynitride (si〇xNy) film can have good electrical properties, including the desired electron mobility for device operation in semiconductor applications ( Electron mobili Ty) and low electron trap density 201220394 f (electron trap density). Nitrogen bonding to thin oxidized oxide film = heteropolycrystalline (tetra) pole, improved interface flatness, increase in nitrous oxide film, and improvement Barrier properties to avoid diffusion of metal oxide or metal gate material to the underlying substrate. Due to the thinness of the micro-dip wire (4) of the semiconductor device (the thermal budget of the semi-guided method), there is a need for new processing methods. The treatment method provides a low-temperature oxidation lysine oxidation frequency deposition with a high nitrogen combination and a control rate of oxide growth. [Invention] An embodiment of the present invention provides the use of dichlorodecane (Dcs). And the method of low-temperature cv〇 yttrium oxide film on several substrates in the mash batch processing system, and the 詨 : : 安置 : : : : : : : : : : : : : : : : : : : : : : : : : : : : : : The deposition temperature between C; the first system containing water vapor: ϊ; cavity;:; will contain the second process gas of the second gas to the middle, to establish less than 2 T rr in the process chamber Gas waste; and make first and second systems The gas is reacted to thermally deposit a ruthenium oxide film on several substrates. Each of the other examples further includes: in flowing the first process gas and the second county gas, the nitrogen gas is reduced to the third process; and the membrane is reacted with the third process gas to A ruthenium oxynitride film is formed on the substrate. [Embodiment] Embodiments of the present invention provide a process for forming a dielectric film of a semiconductor device. In one embodiment, a non-avoiding CVD method is provided using steamed with chlorite. In the other embodiment, a non-plasma cv〇 method for ruthenium oxide film of ^cs, water-based rolling, and nitric oxide (NO) oxide gas is provided. Embodiments of the present invention achieve high deposition rates of bismuth and oxynitride films with good material and electrical properties, while using higher than industry standard high temperature (HTO) processes (depending on DCS and nitrous oxide on the substrate, nitr ()us. The reaction) lower deposition temperature. 201220394 ί 'With water vapor oxidant and non-essential 1 ^. The gas replaces the N2〇.it Λ frequency, allowing the Wei product temperature to decrease more than the c, greater than the material or 300 c (for example: up to 35 〇. 〇, while providing good materials:,, 'oxygen, Lai' is good Material properties include 相 ϊ ϊ = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = In the office, the high-volume manufacturing towel in the swivel device is synthesized with the effect of a steam oxidant. The shame is made at the _air temperature, and the rate 'at the same time provides a similar oxidation of slightly nitrogen oxides. The ητ〇 process, the embodiment of the present invention provides a mechanism for finely selecting and controlling the combination of the oxide and the ruthenium. Further, the post-deposition (p0st_deposition) heat treatment can be selectively performed at a temperature higher than the degree of degree to further Change the material and electrical properties of yttrium oxide and yttrium oxynitride film. q #ifί hope to be bound by theory'. The inventor believes that unlike the reaction between 专门20 and Ν20, the gas between Dcs and water vapor is mutually Before the action) can make the surface of the substrate 0 (: 8 improved nucleation of the species due to dsc cleavage or formation of poly-forms. 1 〇 ' shows a batch processing system with process chamber 12 and several substrates 20 are placed in the process chamber 12. Familiar with the technology 蔹 理 ;; = shows that the batch processing system 1 〇 'the invention can also be used for - secondary - substrate early-type substrate processing. Figure 2 and 迚 described in Figure [Processing flow chart of the formation of oxidized stone and oxidized oxidized stone on a plate 20 in the middle of the film. " both the ginseng 1 and the Fig. 2 'in one embodiment of the method 2 〇 0, in the step substrate 20 (four) In the process chamber 12, the substrate 20 can be placed on the 丨 ϊΐ ίί ϊΐ 13. It will be observed by those skilled in the art that the substrate 20 is included in the substrate 20 after the substrate 20 is inserted and the processing chamber 12 is discharged through the faucet 15 The substrate 20 is placed in the batch processing system 10 through the vacuum port 2, and the process chamber 12 is also washed by a '3' to wash the process chamber 12 to dilute or reduce the process of 201220394. The concentration of organic contaminants in chamber 12. In step 204, process chamber 12 is heated to 4 Torr (rc and less than 65). The deposition temperature between 〇〇C2. The heating rate in the process chamber 12, the heating rate can be from several degrees C per minute to more than 1 degree c per minute. • After heating, in step 206, the water vapor will be included. The first process gas is directed into the process chamber 12 through the gas inlet 16. The first process gas comprises water vapor, but not nitriding gas. In step 208, the DCS and the optional diluent gas are included. The second process gas is directed into the process chamber 12 through the inlet port 17. In step 210, a process gas pressure of less than 21〇> is established in the process chamber. In step 212, oxygen from the water vapor reacts with the DCS in the gas phase, and a ruthenium oxide film is deposited on each of the substrates 20. Referring now to both FIG. 1 and FIG. 3, in another embodiment of method 3, in step 302, a plurality of substrates 2 are placed in process chamber 12. The substrate 2 can be mounted on the rotatable substrate support H 13 . Those skilled in the art will appreciate that the placement or loading of the substrate into the batch processing system 1 can include evacuating the process chamber 12 through the exhaust port 15 after insertion of the substrate 2, and through the vacuum port 14. Come to the second private room 12. In addition, the substrate 2 is disposed in the batch processing system 1 to include the use of an inert gas (eg, nitrogen) to wash the processing chamber 12 to dilute or reduce organic contaminants in the processing chamber 12. concentration. Oh. In step 304, process chamber 12 is then heated to 4 Torr. The processing temperature between 〇 and less than 65 〇 P. During heating of the process chamber 12, the heating/rate can range from a few minutes C of the mother to more than 1 degree C per minute. After heating, in step 306, the first process gas containing water vapor is introduced into the process chamber 12 through the inlet port 16. In step 3-8, a second process gas comprising DCS and an optional diluent gas is introduced into the process chamber 12 through the inlet port. In step 310, a third process gas comprising N 〇 and an optional diluent gas is directed into the process chamber. In step 312, a process gas pressure of less than 2 Torr is established in the process chamber. In step 314, oxygen from the water vapor reacts with DCS and NO in the gas phase, so that nitrogen from the NO is bound to the cerium oxide, thereby forming a ruthenium oxynitride film on each of the substrates 20.口 201220394 翕舻: 己:: The first, second, and non-essential third f1 process of the environment to deal with the pressure. The present inventors have learned that the uniformity of the δ 石 Γ 与 与 与 与 与 与 与 与 与 与 与 : : : : : : : : : : : : : : 料 料 料 料 , , , , , , , , , , , , With 45. . . Between and thoroughly. . With 5 (8). Between c, solution. , with 55 、, between 500C and 60 (TC, 55 (rc^ 6G (rc, 55 (rc disk less than im, or _ ° c and less than 65 〇 t deposition temperature. Ϊ 己 己 ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί 控制 控制 控制 控制The term "set" is not limited to setting a single-action that deals with house strength, milk flow rate, or processing temperature. More specifically, the setting can represent any number of settings or adjustments, such as from internal controls, Any quality from the industry, or determined by the customer, to deposit oxidized nitrogen oxides. The flow rate of the third, second, and non-essential third process gases is from 10 seem (standard cubic meters per minute) to 2 〇 slm (standard liters per minute) is 1 to 5 〇〇〇 sccm for NO nitriding gas and 100 seem to 20 slm for dilution gas. According to an embodiment of the present invention, Before flowing the first process gas containing water vapor into the process chamber 12, as shown in FIG. The combustion of hydrogen (Η) and oxygen (〇2) is shown to generate water vapor outside the process chamber 12. As illustrated in Figure ,, one example of producing a first wet process gas is to use Tokyo Electron Ltt MrasaH High-dilution 'pyrogenic torch 18 developed by Tamanashi, Japan. The high-dilution torch heats a small flow of hydrogen and oxygen. The heat torch 18 thus produces water vapor outside the process chamber 12 (ie In another embodiment of the invention, the first and second process gases are diluted using a diluent in the processing environment. The concentration of the diluent gas and the concentration of the first and second process gases are The ratio affects the oxidation rate of oxidized rock or nitrogen; the deposition rate of ε film. Therefore, 201220394 can be used to control the growth rate of yttrium oxide film and the growth rate of yttrium oxynitride using a diluent gas. In an embodiment, as shown in FIG. It is shown that the diluent gas contains nitrogen both.) However, other non-reactive gases such as argon (Ar) may be used. Still referring to Figure 1, it will be readily appreciated by those skilled in the art that nitrogen may be used. The gas is released to dilute the first process gas containing the water vapor, without flowing the gas into the process chamber. ",,, in another embodiment of the method, once the ruthenium oxide film or the ruthenium oxynitride The film is deposited on each of the substrates 20, and the substrate 20 having the film thereon is heat-treated at a heat treatment temperature higher than the deposition temperature. It is known in the art to heat-treat the ruthenium oxide ruthenium oxide film on the substrate 2 The properties of the film, particularly the electrical properties of the film, will be altered, thus altering the electrical characteristics of the film-containing device. According to embodiments of the present invention, the processing environment and process pressure can be varied during the heat treatment. For example, after the membrane in the process chamber, after the redundancy, the process chamber 12 will be vacuum washed one or more times before the heat treatment to remove the first, second, and non-essential m Gas treatment environment and diluted rolling stock (if any). Once the treatment environment is washed, the heat treatment gas will be treated and the heat treatment temperature and heat will be established at 12 o'clock, which will deposit the pressure to reduce the strength. Alternatively, the substrate 20 having the aerobic oxidative frequency is transferred to a different processing system for heat treatment. The heat treatment pressure can be similar to the deposition pressure. In a reduced embodiment, the hot body contains at least one of nitrogen oxides, 0), nitrous oxide (0), oxygen (〇2), or paddy field, or a mixed sigma thereof. Circle 4 shows the oxygen deposition rate as a function of DCS flow rate in accordance with an embodiment of the present invention. The film deposition conditions include a H2 gas flow rate of 1 〇〇 sccm and a 〇〇 = 〇 2 gas squirrel peracid 18, water Wei generator, thus producing water vegetable milk. The 200 seeming & dilution gas flow rate was used to dilute the gas containing the water based gas. During the deposition of the oxide, the treatment pressure of α2Τ is established, and the U degree can be changed to 45 (TC to 60 (between TC. The thickness of the oxidized stone film is small (3) A. The flow rate of the DCS gas can vary from 1〇 to 2〇. _ Increasing the (10) flow rate will result in an increased rate of oxygen cut deposition, increasing from about 3-4 Α/min to about 9 〇 在 under 4u, and: 6 〇 up and down from 6 A/min to about nA/min. In addition, for comparison, the deposition rate of the oxidized stone film of the conventional HT0 process using DCS and N2〇 on the 201220394 surface at 810 ° C is only about 2 A/min, and the deposition rate is In essence, it is equivalent to DCS. The illuminating system 5 shows the wet etching rate of the yttrium oxide film which is not deposited by the heat treatment temperature after N2 according to the embodiment of the present invention. The wet etching rate is the material of the yttrium oxide film == = Measurement, in which the high-quality yttrium oxide film is etched slowly than the low-quality yttrium oxide film. -1 at the treatment pressure of 0·5 Torr of Nl^ body and the deposition process of different temperatures (deposition), the oxidation of the heart product The crushed film is then heat treated for 1 hour. After the heat treatment, the film is then diluted and then smeared. The towel experienced 2.5 minutes of if 'and the etch rate was etched against the baseline TM0 yttrium oxide film. The baseline HT 〇 〇 〇 夕 system at 800 ° C using 5 〇 seem of DCS gas lOOseem of the 0 gas The flow rate is deposited. Figure 5 shows that for the same two-cut film, a higher temperature or higher DCS gas flow = a more southerly wet side rate. In addition, the higher the post-deposition heat treatment temperature, the sinking " The ds gas flow rate deposited by the Dcs gas flow rate is the lower the wetness rate of the oxidized dream film. In the example, the flow rate of the low DCS gas is used at a substrate temperature of 600 ° C (10 ΓΓΪΪ 鲜 in the & gas +, the temperature of the wire The heat treatment is wet, and the rate is lower than the wet side rate of the baseline HT 〇 〇 〇 。 。 。 。 + + + + + + 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化_ n 〇 gas is added to, j (four) and water vapor process 'combined nitrogen, the lag. The results of Table 1 and Figure 6 show the n ^ gas addition m ^ rate, equivalent oxide thickness (10), and dielectric constant

雖然未顯示於表1中,對於在◦到5〇 _間之NO 而吕’介面陷醉密度P顏期會減少至基線氧化石夕之介面 f, U1〇g〇(^ E12eV-cm-2E10eV-lcm-2) 〇 ^的會提T有撕地無電荷_之介面之氮氧化矽 Ϊ盘1=! 利地使用作為具有大幅改善之電子/電動遷 ”與最南通道驅動電流之金氧半導體場效電晶师⑽ET, metal 0X1de semiconductOT fleld effect 咖也㈣裝置之問介 而 9 201220394 且’當NO氣體流速增加到大於5〇 sccm,而氮氧化石夕膜中之N濃 度進一步增加時,不管Dit值之增加,預期將改善包含氮氧化矽膜 (例如:非揮發性記憶體(NVM,n〇n-V〇latilememory)應用,如快閃 記憶體隧道閘極)之半導體裝置之可靠度。雖然增加之Dit值表示介 面電荷捕獲與MOSFET起始電壓(Vth)之可能偏移之可能性提高, NVM應用之可靠度之改善被認為比因Dit增加所帶來之任何缺點 重,。增加之N濃度被認為有利於將膜内之鬆(懸浮)原子鍵結結 合得更緊密。此外,增加之N濃度會提高膜之密度,而且導致對 於在上升電壓之電子衝擊(electron b〇mbardmem)(常使用於半導體 處理期間)之阻力增加。總體而言,結果顯示,不像使用〇(::8與 N20之先前技術反應,在使用DCS與h2〇之膜沉積期間添加 有效於將N,合至氧化石夕膜,以形成半導體裝置之氮氧化石夕膜。 、雖然已藉由其一或多個實施例之描述來說明本發明,以及雖 然^相當詳細地說明本實施例’其跡意圖將隨附申請專利範圍 限定或以任何方式限制於這些詳述。額外優點與修改將容 露給熟悉本技藝者。本個因此不限制於顯示與描述之特定^ 節、代表設備與方法、以及綱_。因此,在不般明 概念之範圍内,可以變更這些細節。 奴货月 【圖式簡單說明】 部分,闡明 面之詳細說 隨附之圖示,包含於說明書内且構成說明書的— 本發明之實施例,並且連同上述發明之一般說明盥 明,得以解釋本發明。 圖1係橫剖面圖,概略地顯示根據本發明一實施 理數個基板之批次式處理系統; j之用來處 圖圖2係在基板上沉積氧化物膜之方法之一實施例之製程流程 •圖3係在基板上沉積氮氧化物膜之方法之—實施例之製程流 之氡化矽 圖4係顯示根據本發明實施例之為DCS流速的函數 201220394 沉積速率; 齡:^ 3 ίΐΐίΤΪ據本發明實施例之為N2後沉積熱處理溫度的函 數之不同氧化矽膜之濕蝕刻速率; 圖6係藉由本發明實施例所形成之氧化矽與氮氧化矽膜之電 容-電壓曲線。 【主要元件符號說明】 10批次式處理系統 12製程腔室 13基板支撐器 14真空口 15排氣口 16、17進氣口 18致熱炬 20基板 200、300 方法 202、204、206、208、210、212 步驟 302、304、306、308、310、312、314 步驟Although not shown in Table 1, for the NO between the ◦ and 5〇_, the interface density of the interface is reduced to the baseline oxidized stone interface f, U1〇g〇(^ E12eV-cm-2E10eV -lcm-2) 〇^ will mention T has no tears and no charge _ interface of nitrous oxide 矽Ϊ 1 =! Lee used as a greatly improved electronic / electric drive" and the most south channel drive current of gold oxygen Semiconductor field effect electrocardiographer (10) ET, metal 0X1de semiconductOT fleld effect 咖 (4) device and 9 201220394 and 'when the NO gas flow rate increases to more than 5 〇 sccm, and the N concentration in the nitrous oxide film further increases Regardless of the increase in Dit values, it is expected that the reliability of semiconductor devices including yttrium oxynitride films (eg, non-volatile memory (NVM) applications, such as flash memory tunnel gates) will be improved. The increased Dit value indicates an increased likelihood of possible offset of interface charge trapping and MOSFET start voltage (Vth), and the improvement in reliability of NVM applications is considered to be heavier than any disadvantage due to the increase in Dit. Concentration is considered to be beneficial for loosening the membrane The atomic bonding is more tightly bonded. Furthermore, the increased N concentration increases the density of the film and leads to an increase in the resistance to electrons at the rising voltage (usually used during semiconductor processing). In other words, the results show that unlike the prior art reaction using 〇 (:8 and N20, the addition of N, combined with the oxidized stone film during the deposition of the film using DCS and h2 以 is used to form the nitrogen oxide of the semiconductor device. The present invention has been described by way of a description of one or more embodiments thereof, and the description of the present invention is intended to be limited in its intent. These details, additional advantages and modifications will be disclosed to those skilled in the art. Therefore, the present invention is not limited to the specific descriptions, representative devices and methods, and the scope of the description. Therefore, within the scope of the concept These details can be changed. The slave month [simplified description of the drawings], the detailed description of the surface, the accompanying drawings, which are included in the specification and constitute the specification - the present invention The invention will be explained in conjunction with the general description of the invention described above. Fig. 1 is a cross-sectional view schematically showing a batch processing system for a plurality of substrates according to an embodiment of the present invention; 2 is a process flow of an embodiment of a method of depositing an oxide film on a substrate. FIG. 3 is a method of depositing an oxynitride film on a substrate. - The process flow of the embodiment is shown in FIG. Inventive embodiment is a function of DCS flow rate 201220394 deposition rate; age: ^3 ίΐΐίΤΪ 湿 蚀刻 湿 ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ΤΪ ; ; ; ; ; ; ; ; ; ; ; ; ; ; ; The capacitance-voltage curve of the formed ruthenium oxide and ruthenium oxynitride film. [Main component symbol description] 10 batch processing system 12 process chamber 13 substrate support 14 vacuum port 15 exhaust port 16, 17 air inlet 18 heat torch 20 substrate 200, 300 methods 202, 204, 206, 208 , 210, 212 Steps 302, 304, 306, 308, 310, 312, 314 Steps

Claims (1)

201220394 七、申請專利範圍: 1. 一種在基板上形成介電膜之方法,該方法包含: 將數個基板安置在製程腔室中; . 將該製程腔室加熱至4〇〇°C與少於65〇°C間之沉n . 將包含水統U織财細該餘腔室^^又’ 氯魏之第二製程氣體流動_製程腔室中; 在該I程腔室中建立少於2 Torr之氣顏力;以及 •熱沉積 氧化ίί第一與該第二製程氣體反應,以在該數個基板上: ϋ申!利範圍第1項之在基板上形成介電膜之方法,更包含 曰燒氫氣(1¾)與氧氣(〇2)以在該製程腔室外部產生該水蒸氣。 .如申^專利範圍第1項之在基板上形成介電膜之方法,更包含, 在形成該氧化矽膜後,在熱處理氣體中熱處理其上該 Τ膜,該基板’該減理氣體包含氮邮2)、-氧化邮。)、一氧 化二氮(N2Q)、氧氣(〇2)、或水(邮)、或其混合物之至少一者。 ^申請專利範圍第3項之在基板上形成介電膜之方法,其中該 “、、处理係執行於高於該沉積溫度之溫度下。 5. 如申請專利範圍第1項之在基板上形成介電膜之方法,更包含 ^在流動該第一製程氣體與該第二製程氣體時將第一稀釋氣體 〜動到該製程腔室中,以控制該氧化矽膜之生長速率。 6. 如申請專利範圍第1項之在基板上形成介電膜之方法,更包含 在流動該第一製程氣體與該第二製程氣體時將包含一氧化氮 讲〇)氣體之第三製程氣體流動到該製程腔室中;以及 _使該氧化矽膜與該第三製程氣體反應,以在該基板上形成氮 氧化矽膜。 12 201220394 8.如利範圍第6項之在基板上形成介電膜之方法,更包含 第—製程氣體與該第二製程氣體時將第—稀釋氣體 机動到該製程腔室中,以控繼氧化石夕膜之生長速率;以及 中,時將第二稀釋氣體流動到該製程腔室 ^以控制氮結合到該氧化梦膜。 .如申請專利範圍第6項之在基板上形成介電膜之方法,更包含 在形成該氮氧化矽膜後,在熱處理氣體中熱處理盆上有該氣 氧^石夕膜之絲板’雜處理氣體包含氮氣㈣、—氧/化 一氧化二氮(Ν2〇)、氧氣(〇2)、或水(π》)、或其混合物之至少一者。 =·如申請專利範圍第9項之在基板上形成介電膜之方法 熱處理係執行於高於該沉積溫度之溫度下β 11·如申請專利範圍第6項之在基板上形成介電膜之方法,其 氮氧化矽膜具有比該氧化矽膜更低之介面陷阱密度(Dit)。’、 12· —種在基板上形成介電膜之方法,該方法包含: 將數個基板安置在製程腔室中; 將該製程腔室加熱至400°C與少於65(TC間之沉積溫度; 將包含水蒸氣之第一製程氣體流動到該製程腔室中,^中 由燃燒氫氣與氧氣以在該製程腔室外面產生該水蒸氣;9 將包含二氯石夕烧(DCS)之第二製程氣體流動到該製程腔室中. 在該製程腔室中建立少於2Torr之氣體壓力,· ’201220394 VII. Patent Application Range: 1. A method for forming a dielectric film on a substrate, the method comprising: placing a plurality of substrates in a process chamber; heating the process chamber to 4 〇〇 ° C and less The sinking between 65 ° ° C will contain the water system U weaving the fine chamber ^ ^ and ' chlorine Wei second process gas flow _ process chamber; less than less in the I process chamber 2 Torr gas enthalpy; and • thermal deposition oxidation ίί first reacts with the second process gas to form a dielectric film on the substrate on the plurality of substrates: It also contains helium gas (13⁄4) and oxygen (〇2) to generate the water vapor outside the process chamber. The method for forming a dielectric film on a substrate according to the first aspect of the invention, further comprising: after forming the yttrium oxide film, heat-treating the ruthenium film thereon in a heat treatment gas, the substrate Nitrogen mail 2), - oxidation post. And at least one of nitrous oxide (N2Q), oxygen (〇2), or water (post), or a mixture thereof. The method of forming a dielectric film on a substrate according to item 3 of the patent application, wherein the ", processing is performed at a temperature higher than the deposition temperature. 5. Forming on the substrate as in the first application of the patent scope The method of dielectric film further includes moving a first diluent gas into the process chamber while flowing the first process gas and the second process gas to control a growth rate of the ruthenium oxide film. The method for forming a dielectric film on a substrate according to the first aspect of the patent application, further comprising flowing a third process gas containing a nitric oxide gas to the first process gas and the second process gas; And a process for reacting the yttrium oxide film with the third process gas to form a ruthenium oxynitride film on the substrate. 12 201220394 8. Forming a dielectric film on the substrate according to item 6 of the benefit range The method further includes: when the first process gas and the second process gas are used, maneuvering the first dilution gas into the process chamber to control the growth rate of the oxidized stone; and, in the middle, flowing the second dilution gas to Process chamber The method of controlling the bonding of nitrogen to the oxidized dream film. The method for forming a dielectric film on a substrate according to claim 6 of the patent application, further comprising: after forming the yttria film, heat treating the ceramic in the heat treatment gas The gas chromatograph of the gas-oxygen method comprises at least one of nitrogen (four), oxygen/nitrogen monoxide (Ν2〇), oxygen (〇2), or water (π), or a mixture thereof. The method of forming a dielectric film on a substrate according to claim 9 of the patent application is performed at a temperature higher than the deposition temperature, and the dielectric is formed on the substrate as in the sixth item of the patent application. The method of film, the yttrium oxynitride film has a lower interface trap density (Dit) than the yttrium oxide film. '12. A method for forming a dielectric film on a substrate, the method comprising: placing a plurality of substrates In the process chamber; heating the process chamber to 400 ° C and less than 65 (the deposition temperature between TC; flowing the first process gas containing water vapor into the process chamber, in the combustion of hydrogen and Oxygen to produce the water vapor outside the process chamber; 9 will contain two Xi burning stone (DCS) of a second process gas to flow into the process chamber. The gas pressure is less than 2Torr establishment of the process chamber, - ' 使該第一與該第二製程氣體反應,以在該數個基板上埶 氧化矽膜;以及 ”、、" 13 201220394 氧切膜後,在熱處理纽中熱處理其上有該氧化 = 該熱處理氣體包含氮邮2)、—氧化_〇)氧 一氮f )、氧氣(〇2)、或水既⑺、或其混合物之至少 並 中該熱處理係執行於高於該沉積溫度之溫度下。 八 如申請專利範圍第12項之在基板上形成介電膜之方法,更包 、⑼,ii該第—製程氣體與該第二製程氣體時將第-稀釋氣體 流動到該製程腔室中,以控制該氧化矽膜之生長速率。轧體 14. 一種在基板上形成介電膜之方法,該方法包含: 將數個基板安置在製程腔室中; 將該製程腔室加熱至400°C與少於650。(:間之沉積溫度; 將包含水蒸氣之第一製程氣體流動到該製程腔室中又, 將包含二氯矽烷(DCS)之第二製程氣體流動到該製程腔室 將包含一氧化氮(NO)之第三製程氣體流動到該製程腔室中; 在該製程腔室中建立少於2 Torr之氣體壓力; 使該第一、該第二、與該第三製程氣體反應,以在該數個 基板上熱沉積氮氧化矽膜;以及 在形成該氮氧化矽膜後’在熱處理氣體中熱處理其上 氧化矽膜之該基板’該熱處理氣體包含氮氣⑼2)'、一氧化氮^ 一氧化二氮恥0)、氧氣(〇2)、或水既〇)、或其混合物之至少一^ ' 15. 如申請專利範圍第14項之在基板上形成介電膜之方法,1中 該熱處理係執行於高於該沉積溫度之溫度下。' • i 16. 如申請專利範圍第14項之在基板上形成介電膜之方法, ^藉由燃燒氫氣(HO與氧氣(〇2)只在該製程腔室外面產生該水蒸I 201220394 :如申請專纖圍第14項之縣板上形成介親之方法,更包 流動ίί=;ΐ程氣體 中,峨嶋猶 將包^申二專項之在基板上形成介賴之方法,其中 1程氣體。、〜第三製程氣體在該製程腔室相添加到^第 圖式: 15The first and the second process gases are reacted to bismuth the ruthenium film on the plurality of substrates; and after the oxygen film is cut in the heat treatment, the oxidation is performed on the heat treatment The gas comprises at least a nitrogen atom 2), - oxidized _ 〇 oxy-nitrogen f), oxygen (〇 2), or water (7), or a mixture thereof, and the heat treatment is performed at a temperature higher than the deposition temperature. 8. The method for forming a dielectric film on a substrate according to claim 12, further comprising: (9), ii flowing the first dilution gas into the processing chamber when the first process gas and the second process gas are To control the growth rate of the ruthenium oxide film. The roll body 14. A method of forming a dielectric film on a substrate, the method comprising: placing a plurality of substrates in a process chamber; heating the process chamber to 400 ° C And less than 650. (: deposition temperature; flowing the first process gas containing water vapor into the process chamber, and flowing a second process gas containing dichlorosilane (DCS) to the process chamber Third process gas containing nitric oxide (NO) Flowing into the process chamber; establishing a gas pressure of less than 2 Torr in the process chamber; reacting the first, second, and third process gases to thermally deposit on the plurality of substrates a ruthenium oxynitride film; and a substrate for heat-treating the ruthenium oxide film in the heat treatment gas after forming the ruthenium oxynitride film, the heat treatment gas comprising nitrogen (9) 2)', nitric oxide, nitrous oxide (0), At least one of oxygen (〇2), or water 〇), or a mixture thereof. 15. The method of forming a dielectric film on a substrate according to claim 14 of the patent application, wherein the heat treatment is performed above the At the temperature of the deposition temperature.' • i 16. For the method of forming a dielectric film on a substrate according to Clause 14 of the patent application, ^ by burning hydrogen (HO and oxygen (〇2) are produced only outside the process chamber) The water steaming I 201220394: If the method of applying the special fiber around the 14th county plate forming a parent, the flow of the package is ίί=; Lai's method, in which one pass gas, ~ third process gas in the process chamber The first phase was added to ^ FIG formula: 15
TW100134827A 2010-09-30 2011-09-27 Low-temperature dielectric film formation by chemical vapor deposition TWI464802B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/894,513 US7994070B1 (en) 2010-09-30 2010-09-30 Low-temperature dielectric film formation by chemical vapor deposition

Publications (2)

Publication Number Publication Date
TW201220394A true TW201220394A (en) 2012-05-16
TWI464802B TWI464802B (en) 2014-12-11

Family

ID=44350747

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100134827A TWI464802B (en) 2010-09-30 2011-09-27 Low-temperature dielectric film formation by chemical vapor deposition

Country Status (5)

Country Link
US (1) US7994070B1 (en)
JP (1) JP2013545275A (en)
KR (1) KR20130140696A (en)
TW (1) TWI464802B (en)
WO (1) WO2012044622A2 (en)

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140216498A1 (en) * 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) * 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085428A (en) * 1999-09-14 2001-03-30 Hitachi Kokusai Electric Inc Method for treating substrate
JP2001338923A (en) * 2000-05-29 2001-12-07 Tokyo Electron Ltd Method for forming oxynitride film and apparatus for forming oxynitride film
TW578214B (en) * 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP2002289846A (en) * 2001-03-28 2002-10-04 Sony Corp Semiconductor device and manufacturing method therefor
US20020182342A1 (en) * 2001-04-13 2002-12-05 Luc Ouellet Optical quality silica films
JP4021653B2 (en) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Manufacturing method of silicon nitride film or silicon oxynitride film by CVD method
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100471575B1 (en) 2002-12-26 2005-03-10 주식회사 하이닉스반도체 Method of manufacturing flash memory device
KR100482751B1 (en) 2002-12-27 2005-04-14 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
JP2005203730A (en) * 2003-12-18 2005-07-28 Seiko Epson Corp Insulating film, semiconductor element, electron device, and electronic apparatus
JP4595702B2 (en) 2004-07-15 2010-12-08 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
KR20070099913A (en) 2006-04-06 2007-10-10 주성엔지니어링(주) Method of forming oxide and oxide depositing apparatus
JP2008159639A (en) * 2006-12-20 2008-07-10 Seiko Epson Corp Gate insulating film, method of evaluating gate insulating film, semiconductor element, electronic device, and electronic apparatus
JP5384852B2 (en) 2008-05-09 2014-01-08 株式会社日立国際電気 Semiconductor device manufacturing method and semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
JP2013545275A (en) 2013-12-19
KR20130140696A (en) 2013-12-24
WO2012044622A3 (en) 2013-10-31
US7994070B1 (en) 2011-08-09
TWI464802B (en) 2014-12-11
WO2012044622A2 (en) 2012-04-05

Similar Documents

Publication Publication Date Title
TW201220394A (en) Low-temperature dielectric film formation by chemical vapor deposition
TWI259217B (en) High dielectric constant metal silicates formed by controlled metal-surface reactions
KR101427142B1 (en) ALD of metal silicate films
TWI564424B (en) Method for forming silicon germanium oxide thin film
TWI426547B (en) Treatment processes for a batch ald reactor
CN101471254B (en) Method for forming dielectric films
US20180230591A1 (en) Method for manufacturing silicon nitride thin film using plasma atomic layer deposition method
TW201111540A (en) Boron film interface engineering
TW201207939A (en) Method of improving oxide growth rate of selective oxidation processes
TW201231711A (en) Amine curing silicon-nitride-hydride films
TW201124553A (en) Oxygen-doping for non-carbon radical-component CVD films
JP2002343793A (en) Method for manufacturing silicon-containing solid thin film by atomic layer deposition by using hexachlorodisilane and ammonia
JPWO2006057400A1 (en) Semiconductor device manufacturing method and substrate processing apparatus
TW200941551A (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2008277844A (en) Method of forming insulating film
TW200927981A (en) Preparation of metal-containing film via ALD or CVD processes
TW201020339A (en) Silicon oxide film, method for forming silicon oxide film, and plasma cvd apparatus
TW201610204A (en) Low temperature molecular layer deposition of SiCON
TW201017767A (en) Post oxidation annealing of low temperature thermal or plasma based oxidation
TWI666682B (en) Method for semiconductor processing and method of manufacturing semiconductor device on semiconductor substrate
WO2006132262A1 (en) Plasma nitriding method, method for manufacturing semiconductor device and plasma processing apparatus
TW201201278A (en) Chemical vapor deposition of ruthenium films containing oxygen or carbon
TWI324364B (en)
Kim et al. Significant enhancement of the dielectric constant through the doping of CeO 2 into HfO 2 by atomic layer deposition
JP2004153256A (en) Method for forming oxide film on composite face of silicon substrate

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees