JP2022507368A - 次世代リソグラフィにおいて有用なハードマスクを作製する方法 - Google Patents
次世代リソグラフィにおいて有用なハードマスクを作製する方法 Download PDFInfo
- Publication number
- JP2022507368A JP2022507368A JP2021526240A JP2021526240A JP2022507368A JP 2022507368 A JP2022507368 A JP 2022507368A JP 2021526240 A JP2021526240 A JP 2021526240A JP 2021526240 A JP2021526240 A JP 2021526240A JP 2022507368 A JP2022507368 A JP 2022507368A
- Authority
- JP
- Japan
- Prior art keywords
- sno
- tin
- substrate
- terminated
- imaging layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000001459 lithography Methods 0.000 title claims abstract description 49
- 238000000034 method Methods 0.000 claims abstract description 431
- 239000000758 substrate Substances 0.000 claims abstract description 261
- 238000003384 imaging method Methods 0.000 claims abstract description 183
- 238000004519 manufacturing process Methods 0.000 claims abstract description 23
- 230000008569 process Effects 0.000 claims description 164
- 239000000463 material Substances 0.000 claims description 112
- 238000000151 deposition Methods 0.000 claims description 87
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 66
- 239000001301 oxygen Substances 0.000 claims description 66
- 229910052760 oxygen Inorganic materials 0.000 claims description 66
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical class [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 63
- 229910052718 tin Inorganic materials 0.000 claims description 63
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 58
- 238000000231 atomic layer deposition Methods 0.000 claims description 55
- 239000003795 chemical substances by application Substances 0.000 claims description 54
- 238000012545 processing Methods 0.000 claims description 38
- 230000008021 deposition Effects 0.000 claims description 37
- 238000005530 etching Methods 0.000 claims description 34
- 230000005855 radiation Effects 0.000 claims description 32
- -1 t-hexyl Chemical group 0.000 claims description 30
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 30
- 238000005229 chemical vapour deposition Methods 0.000 claims description 29
- 230000001678 irradiating effect Effects 0.000 claims description 28
- 229910052751 metal Inorganic materials 0.000 claims description 24
- 239000002184 metal Substances 0.000 claims description 24
- 229910044991 metal oxide Inorganic materials 0.000 claims description 24
- 150000004706 metal oxides Chemical class 0.000 claims description 24
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims description 24
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 18
- 239000001257 hydrogen Substances 0.000 claims description 18
- 229910052739 hydrogen Inorganic materials 0.000 claims description 18
- 239000000126 substance Substances 0.000 claims description 18
- 238000006243 chemical reaction Methods 0.000 claims description 17
- 238000010894 electron beam technology Methods 0.000 claims description 16
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 claims description 14
- 125000000217 alkyl group Chemical group 0.000 claims description 13
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 12
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 12
- 229910052794 bromium Inorganic materials 0.000 claims description 12
- 229910052799 carbon Inorganic materials 0.000 claims description 12
- 239000003153 chemical reaction reagent Substances 0.000 claims description 12
- 229910052801 chlorine Inorganic materials 0.000 claims description 12
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 claims description 12
- 239000000243 solution Substances 0.000 claims description 12
- 229910052731 fluorine Inorganic materials 0.000 claims description 11
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 10
- 238000010521 absorption reaction Methods 0.000 claims description 10
- 239000007864 aqueous solution Substances 0.000 claims description 10
- 229910052736 halogen Inorganic materials 0.000 claims description 10
- 150000002367 halogens Chemical class 0.000 claims description 10
- IQKRFOJQFHWWJI-UHFFFAOYSA-N n-[butyl-bis(dimethylamino)stannyl]-n-methylmethanamine Chemical compound CCCC[Sn](N(C)C)(N(C)C)N(C)C IQKRFOJQFHWWJI-UHFFFAOYSA-N 0.000 claims description 10
- 230000001590 oxidative effect Effects 0.000 claims description 10
- 229910018072 Al 2 O 3 Inorganic materials 0.000 claims description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 8
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims description 8
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 8
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 8
- 238000010504 bond cleavage reaction Methods 0.000 claims description 8
- UZBQIPPOMKBLAS-UHFFFAOYSA-N diethylazanide Chemical compound CC[N-]CC UZBQIPPOMKBLAS-UHFFFAOYSA-N 0.000 claims description 8
- QKIUAMUSENSFQQ-UHFFFAOYSA-N dimethylazanide Chemical compound C[N-]C QKIUAMUSENSFQQ-UHFFFAOYSA-N 0.000 claims description 8
- LIWAQLJGPBVORC-UHFFFAOYSA-N ethylmethylamine Chemical compound CCNC LIWAQLJGPBVORC-UHFFFAOYSA-N 0.000 claims description 8
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 8
- 229910052710 silicon Inorganic materials 0.000 claims description 8
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 claims description 8
- RATFAPAEQUBKNF-UHFFFAOYSA-N tert-butyl-tris[(2-methylpropan-2-yl)oxy]stannane Chemical compound CC(C)(C)O[Sn](OC(C)(C)C)(OC(C)(C)C)C(C)(C)C RATFAPAEQUBKNF-UHFFFAOYSA-N 0.000 claims description 8
- 229910010413 TiO 2 Inorganic materials 0.000 claims description 7
- HWIXPKXTHFASMB-UHFFFAOYSA-N CC(C)(C)O[Sn](OC(C)(C)C)OC(C)(C)C Chemical compound CC(C)(C)O[Sn](OC(C)(C)C)OC(C)(C)C HWIXPKXTHFASMB-UHFFFAOYSA-N 0.000 claims description 6
- UHOOJVLVYSLQLQ-UHFFFAOYSA-N N-[bis(dimethylamino)-propan-2-ylstannyl]-N-methylmethanamine Chemical compound C(C)(C)[Sn](N(C)C)(N(C)C)N(C)C UHOOJVLVYSLQLQ-UHFFFAOYSA-N 0.000 claims description 6
- HISWIBBQBKEYQD-UHFFFAOYSA-N N-[tert-butyl-bis(dimethylamino)stannyl]-N-methylmethanamine Chemical group CN(C)[Sn](N(C)C)(N(C)C)C(C)(C)C HISWIBBQBKEYQD-UHFFFAOYSA-N 0.000 claims description 6
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 claims description 6
- 238000003795 desorption Methods 0.000 claims description 6
- 150000002431 hydrogen Chemical class 0.000 claims description 6
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 claims description 6
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 claims description 6
- JZOYMKQPPHOUPB-UHFFFAOYSA-N n-[tert-butyl-bis(diethylamino)stannyl]-n-ethylethanamine Chemical compound CCN(CC)[Sn](N(CC)CC)(N(CC)CC)C(C)(C)C JZOYMKQPPHOUPB-UHFFFAOYSA-N 0.000 claims description 6
- 125000001280 n-hexyl group Chemical group C(CCCCC)* 0.000 claims description 6
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 claims description 6
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 claims description 6
- 125000000547 substituted alkyl group Chemical group 0.000 claims description 6
- 125000001973 tert-pentyl group Chemical group [H]C([H])([H])C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 claims description 6
- 229910052721 tungsten Inorganic materials 0.000 claims description 6
- 125000001183 hydrocarbyl group Chemical group 0.000 claims description 5
- 238000006467 substitution reaction Methods 0.000 claims description 5
- 238000001947 vapour-phase growth Methods 0.000 claims description 5
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims description 4
- BOFCKEAYTYCAGR-UHFFFAOYSA-N N-[bis(dimethylamino)-(2-methylpropyl)stannyl]-N-methylmethanamine Chemical compound C(C(C)C)[Sn](N(C)C)(N(C)C)N(C)C BOFCKEAYTYCAGR-UHFFFAOYSA-N 0.000 claims description 4
- POYXZKRAMUWFIP-UHFFFAOYSA-N N-[ditert-butyl(dimethylamino)stannyl]-N-methylmethanamine Chemical compound C(C)(C)(C)[Sn](N(C)C)(N(C)C)C(C)(C)C POYXZKRAMUWFIP-UHFFFAOYSA-N 0.000 claims description 4
- 239000002981 blocking agent Substances 0.000 claims description 4
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 claims description 4
- 235000019253 formic acid Nutrition 0.000 claims description 4
- 229910052740 iodine Inorganic materials 0.000 claims description 4
- 239000012702 metal oxide precursor Substances 0.000 claims description 4
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 4
- 230000000737 periodic effect Effects 0.000 claims description 4
- 229910015902 Bi 2 O 3 Inorganic materials 0.000 claims description 3
- KQGGJNBLTLQKTN-UHFFFAOYSA-N N-[bis(dimethylamino)-pentylstannyl]-N-methylmethanamine Chemical compound C(CCCC)[Sn](N(C)C)(N(C)C)N(C)C KQGGJNBLTLQKTN-UHFFFAOYSA-N 0.000 claims description 3
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 claims 2
- 125000004106 butoxy group Chemical group [*]OC([H])([H])C([H])([H])C(C([H])([H])[H])([H])[H] 0.000 claims 1
- 239000004065 semiconductor Substances 0.000 abstract description 35
- 238000010586 diagram Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 201
- 239000010408 film Substances 0.000 description 34
- 229920002120 photoresistant polymer Polymers 0.000 description 34
- 229920001400 block copolymer Polymers 0.000 description 22
- 238000000059 patterning Methods 0.000 description 21
- 230000007261 regionalization Effects 0.000 description 13
- 239000011248 coating agent Substances 0.000 description 12
- 238000000576 coating method Methods 0.000 description 12
- KDLHZDBZIXYQEI-UHFFFAOYSA-N palladium Substances [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 12
- 239000000460 chlorine Substances 0.000 description 10
- 238000013461 design Methods 0.000 description 10
- 239000000499 gel Substances 0.000 description 10
- 238000000206 photolithography Methods 0.000 description 10
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 8
- 229910017052 cobalt Inorganic materials 0.000 description 8
- 239000010941 cobalt Substances 0.000 description 8
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical group [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 8
- 238000005516 engineering process Methods 0.000 description 8
- 230000007246 mechanism Effects 0.000 description 8
- 239000012528 membrane Substances 0.000 description 8
- 239000000376 reactant Substances 0.000 description 8
- 238000011282 treatment Methods 0.000 description 8
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 6
- 229910006854 SnOx Inorganic materials 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- 230000001939 inductive effect Effects 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 230000004048 modification Effects 0.000 description 6
- 238000012986 modification Methods 0.000 description 6
- 238000001338 self-assembly Methods 0.000 description 6
- 125000001424 substituent group Chemical group 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910006404 SnO 2 Inorganic materials 0.000 description 4
- 229910009027 Sn—OH Inorganic materials 0.000 description 4
- 229910009053 Sn—O—Sn Inorganic materials 0.000 description 4
- YRKCREAYFQTBPV-UHFFFAOYSA-N acetylacetone Chemical compound CC(=O)CC(C)=O YRKCREAYFQTBPV-UHFFFAOYSA-N 0.000 description 4
- 238000003776 cleavage reaction Methods 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 230000009977 dual effect Effects 0.000 description 4
- 125000000524 functional group Chemical group 0.000 description 4
- 125000005842 heteroatom Chemical group 0.000 description 4
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 4
- 230000002209 hydrophobic effect Effects 0.000 description 4
- 239000003446 ligand Substances 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 230000007017 scission Effects 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical group O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 4
- 238000012876 topography Methods 0.000 description 4
- 238000007740 vapor deposition Methods 0.000 description 4
- 239000012808 vapor phase Substances 0.000 description 4
- YOAGDOPQYQNTON-UHFFFAOYSA-N C(C)(C)[Sn](OC(C)(C)C)(OC(C)(C)C)OC(C)(C)C Chemical compound C(C)(C)[Sn](OC(C)(C)C)(OC(C)(C)C)OC(C)(C)C YOAGDOPQYQNTON-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 206010073306 Exposure to radiation Diseases 0.000 description 2
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 2
- 229910005793 GeO 2 Inorganic materials 0.000 description 2
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 239000007983 Tris buffer Substances 0.000 description 2
- 238000002835 absorbance Methods 0.000 description 2
- 230000002378 acidificating effect Effects 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 230000000996 additive effect Effects 0.000 description 2
- 239000000853 adhesive Substances 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- 239000012790 adhesive layer Substances 0.000 description 2
- 150000001336 alkenes Chemical group 0.000 description 2
- 230000003321 amplification Effects 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 125000001246 bromo group Chemical group Br* 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000010924 continuous production Methods 0.000 description 2
- 238000004132 cross linking Methods 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000003618 dip coating Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 238000009472 formulation Methods 0.000 description 2
- 150000004678 hydrides Chemical class 0.000 description 2
- 230000033444 hydroxylation Effects 0.000 description 2
- 238000005805 hydroxylation reaction Methods 0.000 description 2
- 238000010348 incorporation Methods 0.000 description 2
- 239000013067 intermediate product Substances 0.000 description 2
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical group II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 description 2
- 230000001788 irregular Effects 0.000 description 2
- 125000003253 isopropoxy group Chemical group [H]C([H])([H])C([H])(O*)C([H])([H])[H] 0.000 description 2
- 238000012804 iterative process Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 239000002120 nanofilm Substances 0.000 description 2
- 239000002086 nanomaterial Substances 0.000 description 2
- 229910017604 nitric acid Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 230000006911 nucleation Effects 0.000 description 2
- 238000010899 nucleation Methods 0.000 description 2
- 238000003199 nucleic acid amplification method Methods 0.000 description 2
- 150000002894 organic compounds Chemical class 0.000 description 2
- 235000006408 oxalic acid Nutrition 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000012552 review Methods 0.000 description 2
- 150000003839 salts Chemical class 0.000 description 2
- 238000004062 sedimentation Methods 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000003980 solgel method Methods 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 239000007858 starting material Substances 0.000 description 2
- 239000002344 surface layer Substances 0.000 description 2
- 238000004381 surface treatment Methods 0.000 description 2
- 125000004213 tert-butoxy group Chemical group [H]C([H])([H])C(O*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 150000003624 transition metals Chemical class 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 238000009827 uniform distribution Methods 0.000 description 2
- 238000005019 vapor deposition process Methods 0.000 description 2
- 150000001356 alkyl thiols Chemical class 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
- G03F7/0043—Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/094—Multilayer resist systems, e.g. planarising layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/11—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/167—Coating processes; Apparatus therefor from the gas phase, by plasma deposition
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/30—Imagewise removal using liquid means
- G03F7/32—Liquid compositions therefor, e.g. developers
- G03F7/325—Non-aqueous compositions
- G03F7/327—Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Metallurgy (AREA)
- Plasma & Fusion (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
基板表面上のイメージング層が、次世代のリソグラフィ技術を使用してパターン形成されてよく、得られたパターン形成された膜は、例えば、半導体デバイスの生産のためのリソグラフィマスクとして使用されてよい。【選択図】図1
Description
参照による組み込み
本出願の一部として、PCT願書が本明細書と同時に提出される。同時に提出されたPCT願書において確認したように、本出願が利益または優先権を主張する出願の各々は、その全体があらゆる目的のために参照により本明細書に組み込まれる。
本出願の一部として、PCT願書が本明細書と同時に提出される。同時に提出されたPCT願書において確認したように、本出願が利益または優先権を主張する出願の各々は、その全体があらゆる目的のために参照により本明細書に組み込まれる。
本開示は、基板表面上にイメージング層を作製するためのシステムおよび方法に関する。そのようなイメージング層が、次世代のリソグラフィ技術を使用してパターン形成されてよく、得られたパターン形成された膜は、例えば、半導体デバイスの生産のためのリソグラフィマスクとして使用されてよい。
本明細書で提供される「背景技術」の記載は、本技術の文脈を概略的に提示する。本明細書の「背景技術」に記載されている範囲における、本明細書にて名前を挙げた発明者の業績、ならびに、出願時点で先行技術と見なされないかも知れない本明細書の態様は、本技術に対する先行技術として認められてはない。
集積回路などの半導体デバイスの製造は、フォトリソグラフィを伴う多段階プロセスである。一般に、このプロセスは、ウェハー上に材料を堆積し、そしてリソグラフィ技術により材料にパターン形成して半導体デバイスの構造的フィーチャ(例えば、トランジスタ、導体、および他の回路フィーチャ)を形成することを含む。当該技術分野で既知の典型的なフォトリソグラフィプロセスの工程は、基板を準備する工程と;スピンコーティングなどによりフォトレジストを塗布する工程と;フォトレジストを所望のパターンで露光して、フォトレジストの露光された領域を、ある程度、現像液に可溶性にする工程と;現像液を適用することによって現像して、フォトレジストの露光された領域または露光されていない領域のどちらかを除去する工程と;その後の、フォトレジストが除去されている基板の領域にフィーチャを形成するために、例えばエッチングまたは材料堆積によって処理する工程と、を含む。
半導体設計の進化が、半導体基板材料上に、これまでにない微細なフィーチャを作製する必要性を生み出してきており、それを作製する能力によって推進されてきた。技術のこのような進歩は、高密度集積回路におけるトランジスタ密度が2年ごとに2倍になるという「ムーアの法則」で特徴付けられている。実際、チップの設計と製造は進歩しており、その結果、最新のマイクロプロセッサは、単一のチップ上に数十億個のトランジスタおよび他の回路機能を含む場合がある。そのようなチップ上の個々のフィーチャは、22ナノメートル(nm)以下、場合によっては10nm未満のオーダーである場合がある。
そのように小さなフィーチャを有するデバイスの製造における課題の1つは、十分な解像度を有するフォトリソグラフィマスクを確実かつ再現可能に作製する能力である。現在のフォトリソグラフィプロセスは、典型的には193nmの紫外線(UV)光を使用してフォトレジストを露光する。半導体基板上に形成されるフィーチャの所望のサイズよりも大幅に大きい波長を、光が有するという事実は、固有の問題を生む。光の波長よりも小さいフィーチャサイズを実現するには、マルチパターニングなどの複雑な解像度向上技術の使用を必要とする。したがって、いわゆる「次世代リソグラフィ」技術の開発に大きな関心と研究努力が向けられ、この技術は、電子ビーム放射、または20nm未満、例えば13.5nmの波長を有する極端紫外線(EUV)放射などのより短い波長の光を使用する。
しかしながら、次世代リソグラフィ技術は課題を呈し得る。例えば、EUVフォトリソグラフィプロセスの有効性は、光源の低出力、およびパターン形成中の光の損失によって限定され得る。193nmUVリソグラフィで使用されるものに類似した従来の有機化学増幅レジスト(CAR)は、EUVリソグラフィで使用される場合、潜在的な欠点を有する。特に、それらはEUV領域での吸収係数が低く、光活性化化学種の拡散が、ぼやけまたはラインエッジラフネスを引き起こす可能性がある。更には、下にあるデバイス層にパターン形成するために必要なエッチング耐性を提供するために、従来のCAR材料の必要な厚さが、パターン崩壊のリスクを伴う高いアスペクト比をもたらす可能性がある。したがって、厚さの低減、より大きな吸光度、およびより大きなエッチング耐性などの特性を有する、改良されたEUVフォトレジスト材料の必要性が残っている。
本開示は、基板表面上にイメージング層を作製するための方法を提供する。そのようなイメージング層は、一般に、DUV、EUV、X線、および電子ビームなどの次世代リソグラフィ技術を使用して、化学的に異なる領域にパターン形成されてよい(すなわち、表面イメージング)。得られたパターン形成された膜を、例えば、半導体デバイスを生産するためのリソグラフィマスクとして使用してよい。
いくつかの実現形態では、本開示による方法は、EUV光での照射によって、ベータ水素脱離などのスズ-炭素結合開裂を受けるように選択されたアルキル基で終端されたSnOx薄膜のイメージング層を作製することを含んでよい。EUVパターン形成工程では、アルキル基を開裂して、Sn-H結合の領域を残す一方で、未露光の表面をアルキル終端されたままに残すことができる。
一実施形態では、基板上にイメージング層を作製する方法は、露出したヒドロキシル基を含む表面を有する基板を提供する工程と、基板表面上に、イメージング層としてヒドロカルビル終端SnOx膜を形成する工程と、を含み、ヒドロカルビル終端SnOx膜は、イメージング層に照射することにより開裂可能なスズ-炭素結合を有する。
いくつかの実施形態では、基板表面は、ヒドロカルビル終端SnOx膜イメージング層に対するSnOx下層を含んでよい。基板材料の表面上のヒドロキシ終端SnOx下層は、イメージング層への照射を受けて、放射線の吸収を向上させ、基板から2次電子を発生させ、追加のEUV光子を更に収集して、EUVパターン形成プロセスをより高感度にし、イメージング層の露光に必要なEUVドーズを低減させることができる。
様々な実施形態では、イメージング層は、自己制限特性を呈する原子層堆積プロセスによって堆積されてよい。他の実施形態では、イメージング層は、(非自己制限的)化学蒸着プロセスによって堆積された薄膜である。
例えば、アルキ置換スズキャッピング剤は、一般式が、
RnSnX4-n
であってよく、RはC2-C10アルキルまたは置換されたアルキル置換基である。Xは、水によって容易に置換されてヒドロキシル中間生成物を形成し、その結果、他のSn-X官能基と反応してSn-O-Sn架橋を形成する任意の好適な脱離基であってよい。様々な実施形態では、Rは分岐状であり、複数のベータ水素原子を有する(最大のものはtert-ブチル置換基に対応する)。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、またはそれらの誘導体、ならびにフッ素、塩素、臭素、ヨウ素、窒素、酸素などの1つ以上のヘテロ原子を含む類似の材料、であってよい。
RnSnX4-n
であってよく、RはC2-C10アルキルまたは置換されたアルキル置換基である。Xは、水によって容易に置換されてヒドロキシル中間生成物を形成し、その結果、他のSn-X官能基と反応してSn-O-Sn架橋を形成する任意の好適な脱離基であってよい。様々な実施形態では、Rは分岐状であり、複数のベータ水素原子を有する(最大のものはtert-ブチル置換基に対応する)。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、またはそれらの誘導体、ならびにフッ素、塩素、臭素、ヨウ素、窒素、酸素などの1つ以上のヘテロ原子を含む類似の材料、であってよい。
本技術はまた、EUVまたは他の放射線を使用して、本技術の方法によって作製されたコーティングされた基板の表面上にパターンを形成するための方法を提供する。コーティングされた基板の更なる処理は、露出された領域および露出されていない領域における化学的差異、特にイメージング層の露出された領域において水素終端SnOxに変換されたヒドロカルビル終端SnOx、を利用してよい。露出された領域と露出されていない領域との間の特性の違いを、例えば、照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を追加する、またはイメージング層から材料を除去することにより、以降の処理において活用してよい。
様々な実施形態では、本技術は、基板表面上にエッチング耐性を有する薄いハードマスク層をパターン形成する方法を提供し、方法は、
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を表面上に堆積する工程と;
選択的にイメージング層に照射する工程であって、ヒドロカルビル終端されたイメージング層のSnOx部分においてヒドロカルビル置換が除去されている、および/または、水素終端SnOxに変換されている、照射された領域と、イメージング層がヒドロカルビル終端SnOxを含む照射されていない領域と、をイメージング層が含む、工程と;
照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を堆積させる、またはイメージング層から材料を除去することによって、イメージング層を処理する工程と、を含む。
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を表面上に堆積する工程と;
選択的にイメージング層に照射する工程であって、ヒドロカルビル終端されたイメージング層のSnOx部分においてヒドロカルビル置換が除去されている、および/または、水素終端SnOxに変換されている、照射された領域と、イメージング層がヒドロカルビル終端SnOxを含む照射されていない領域と、をイメージング層が含む、工程と;
照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を堆積させる、またはイメージング層から材料を除去することによって、イメージング層を処理する工程と、を含む。
照射は、DUV、EUV、X線、または電子ビーム放射の使用を含んでよい。いくつかの実施形態では、処理する工程は、照射された領域における水素終端(Sn-H)官能基を酸化して、Sn-OHヒドロキシ終端SnOxを形成する工程を更に含む。
本技術の適用可能な更なる領域が、「発明を実施するための形態」、「特許請求の範囲」、および図面から明らかとなるであろう。「発明を実施するための形態」および具体例は、例示のみを目的としており、技術の範囲を限定することを意図していない。本技術は、詳細な説明および添付の図面からより完全に理解されるであろう。
本明細書では、本開示の具体的な実施形態を詳細に参照する。具体的な実施形態の例が、添付の図面に示されている。本開示はこれらの具体的な実施形態に関連して説明されるが、本開示をそのような具体的な実施形態に限定することを意図するものではないことが理解されるであろう。むしろ、本開示の趣旨および範囲に含まれてよい代替形態、修正形態、および等価物を網羅することを意図している。以下の記載には、本開示の完全な理解を提供するために数多くの具体的な詳細が記述されている。本開示は、これらの具体的な詳細の一部または全てを伴うことなく実施されてよい。その他の場合には、本開示を不必要に不明瞭にしないように、良く知られたプロセス作業は詳細には説明していない。
上で論じたように、本開示は、半導体基板上にイメージング層を作製するための方法を提供し、イメージング層は、EUVまたは他の次世代リソグラフィ技術を使用してパターン形成されてよい。現在使用され開発されている標準の13.5nmのEUV波長を含むEUVに加えて、そのようなリソグラフィに最も関連する放射線源は、一般に248nmまたは193nmのエキシマレーザー光源の使用を指すDUV(deep-UV)、形式上はX線範囲の低エネルギー範囲内にEUVを含むX線、ならびに広いエネルギー範囲をカバーできる電子ビーム、である。そのような方法には、露出したヒドロキシル基を有する基板をヒドロカルビル置換スズキャッピング剤と接触させて、基板表面上にイメージング層としてヒドロカルビル終端SnOx膜を形成する方法が含まれる。様々な実施形態では、イメージング層は薄い層であり、基板上で接着層として機能して、例えばリソグラフィプロセス用のハードマスクを形成する際に、基板上への追加材料の選択的堆積を容易にしてよい。具体的な方法は、半導体基板および最終的な半導体デバイスで使用される具体的な材料および用途に依存してよい。したがって、本出願に記載される方法は、本技術で使用されてよい方法および材料の単なる例示である。
基板
本技術の方法において有用な基板は、リソグラフィ処理、特に集積回路および他の半導体デバイスの生産に好適な任意の材料構造を含んでよい。いくつかの実施形態では、基板はシリコンウェハーである。基板は、不規則な表面トポグラフィを有するフィーチャ(「下にあるトポグラフィカルフィーチャ」)がその上に作製されたシリコンウェハーであってよい。(本明細書にて参照される場合、「表面」は、その上に本技術の膜が堆積されることになる表面、または処理中にEUVに曝露されることになる表面である。)そのような下にあるトポグラフィカルフィーチャは、本技術の方法を実施する前の処理中に、材料が除去された領域(例えば、エッチングによって)、または材料が追加された領域(例えば、堆積によって)を含んでよい。そのような事前処理は、本技術の方法、または2層以上のフィーチャが基板上に形成される反復プロセスでの他の処理方法を含んでよい。
本技術の方法において有用な基板は、リソグラフィ処理、特に集積回路および他の半導体デバイスの生産に好適な任意の材料構造を含んでよい。いくつかの実施形態では、基板はシリコンウェハーである。基板は、不規則な表面トポグラフィを有するフィーチャ(「下にあるトポグラフィカルフィーチャ」)がその上に作製されたシリコンウェハーであってよい。(本明細書にて参照される場合、「表面」は、その上に本技術の膜が堆積されることになる表面、または処理中にEUVに曝露されることになる表面である。)そのような下にあるトポグラフィカルフィーチャは、本技術の方法を実施する前の処理中に、材料が除去された領域(例えば、エッチングによって)、または材料が追加された領域(例えば、堆積によって)を含んでよい。そのような事前処理は、本技術の方法、または2層以上のフィーチャが基板上に形成される反復プロセスでの他の処理方法を含んでよい。
いくつかの実施形態では、基板は、下にある半導体材料のリソグラフィエッチングで使用されるハードマスクである。ハードマスクは、非晶質炭素(a-C)、SnOx、SiO2、SiOxNy、SiOxC、Si3N4、TiO2、TiN、W、Wドープ炭素、WOx、HfO2、ZrO2、およびAl2O3を含む様々な材料のいずれかを含んでよい。例えば、基板は、好ましくは、SnO2などのSnOxを含んでよい。様々な実施形態では、層は、1nm~100nmの厚さ、または2nm~10nmの厚さであってよい。
様々な実施形態において、基板は、その表面上に露出したヒドロキシル基を含む。一般に、表面は、露出したヒドロキシル表面を含むか、またはそれを生成するように処理された任意の表面であってよい。(本明細書において参照される場合、「表面」は、基板と別の材料との間の境界を定める基板の一部、またはその境界の欠如(例えば、ガス、コーティング、または真空)を意味し、様々な実施形態では、放射線への曝露、または他の材料中の成分との反応のために利用可能であってよい。)したがって、方法は、そのような基板を「提供する工程」を含んでよく、この工程では、本技術の方法とは別に、露出したヒドロキシル基を有する基板が出発材料として得られる、または、上述し以下に更に記載するように、ヒドロキシル基を基板上に形成し、その後、表面をヒドロキシル置換スズキャッピング剤と接触させることを含む単一プロセスの一部として作製される。例えば、そのようなヒドロキシル基は、酸素プラズマ、水プラズマ、またはオゾンを使用する基板の表面処理によって基板表面上に形成されてよい。
いくつかの実施形態では、露出したヒドロキシル基を含む基板は、ヒドロキシル終端SnOxを含む表面層または膜を含む。例えば、基板は、ヒドロキシル終端SnOxの表面を有する非晶質炭素を含んでよい。本技術のメカニズム、機能、または有用性を限定することなく、ヒドロキシル終端SnOx層は、基板表面上に堆積された材料の接着性の改善、およびパターン形成中におけるEUV(または他の放射線)の吸収の向上などの利点を提供する場合があると考えられる。EUVまたは他の照射に対する感度、および分解能は、厚さ、密度、および短距離電荷移動特性などのSnOx層の特性に依存する場合がある。様々な実施形態では、SnOx層は、0.1nm~20nm、または0.2nm~10nm、または0.5nm~5nmの厚さを有する。
いくつかの実施形態では、ヒドロキシル終端SnOx層は、蒸着によって基板表面上に堆積される。そのような方法では、堆積は、Sn-Xnを酸素含有カウンター反応物と反応させることを含み、Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、およびジエチルアミド)、アルコール(例えば、t-ブトキシ、およびイソプロポキシ)、ハロゲン(例えば、F、Cl、Br、およびI)、または他の有機置換基(例えば、アセチルアセトン、N2,N3-ジ-tertブチル-ブタン-2,3-ジアミド)などの配位子である。例えば、Sn-Xnは、SnCl4、SnI4、またはSn(NR2)4であってよく、Rは、メチルまたはエチル、またはSn(t-BuO)4である。いくつかの実施形態では、複数のタイプの配位子が存在する。酸素含有カウンター反応物は、水、過酸化水素、ギ酸、アルコール、酸素、オゾン、およびそれらの組み合わせからなる群から選択してよい。
好適な蒸着プロセスには、化学蒸着(CVD)、原子層堆積(ALD)、プラズマ強化化学蒸着(PECVD)、またはプラズマ強化原子層堆積(PEALD)が含まれる。いくつかの実施形態では、堆積は、Sn-Xnを堆積し、酸素含有カウンター反応物を堆積する周期的プロセスでのALDである。いくつかの実施形態では、堆積は、Sn-Xn、および酸素含有カウンター反応物を同時に流すことによるCVDである。SnOx層を堆積するために本明細書で有用な材料およびプロセスは、Nazarovらによる、Atomic Layer Deposition of Tin Dioxide Nanofilms:A Review,40 Rev.Adv.Mater.Sci 262(2015)に記載されている。
例示的な連続CVDプロセスでは、Sn-Xnおよび酸素含有カウンター反応物のソースの2つ以上のガスストリームが、別々の入口経路でCVD装置の堆積チャンバに導入され、それらは気相で混合および反応して基板上にSnOxコーティングが形成される。ストリームは、例えば、デュアルプレナムシャワーヘッドを使用して導入されてよい。装置は、Sn-Xnおよび酸素含有カウンター反応物のソースのストリームがチャンバ内で混合され、Sn-Xnおよび酸素含有カウンター反応物のソースが反応して、SnOx層を形成することを可能にするように構成されている。CVDプロセスは、一般に、0.1トル~10トルなどの減圧で実施される。いくつかの実施形態では、プロセスは1~2トルにおいて実施される。基板の温度は、好ましくは、反応物ストリームの温度よりも低い。例えば、基板温度は、0℃~250℃、または周囲温度(例えば、23℃)~150℃であってよい。
SnOx基板は、ALDプロセスによって堆積することもできる。例えば、Sn-Xnおよび酸素含有カウンター反応物は別々の時間に導入される。前駆体は表面上で反応し、パルスごとに1度に最大で材料の単分子層を形成する。これにより、表面全体にわたり、膜厚の均一性に対して優れた制御を可能にする場合がある。ALDプロセスは、一般に、0.1トル~10トルなどの減圧で実施される。いくつかの実施形態では、プロセスは1~2トルにおいて実施される。基板温度は、0℃~250℃、または周囲温度(例えば、23℃)~150℃であってよい。このプロセスは、熱プロセス、または好ましくはプラズマ支援堆積であってよい。
スズキャッピング剤
本明細書で有用なヒドロカルビル置換スズキャッピング剤は、イメージング層への照射によって、スズ-炭素結合開裂を受ける置換基を含む。そのような開裂はホモリティックであってよい。いくつかの実施形態では、開裂は、アルケンフラグメントを放出させて、元々はアルキル置換基を有していたスズ原子に水素原子を結合させたまま残すベータ水素脱離によって生じてよい。
本明細書で有用なヒドロカルビル置換スズキャッピング剤は、イメージング層への照射によって、スズ-炭素結合開裂を受ける置換基を含む。そのような開裂はホモリティックであってよい。いくつかの実施形態では、開裂は、アルケンフラグメントを放出させて、元々はアルキル置換基を有していたスズ原子に水素原子を結合させたまま残すベータ水素脱離によって生じてよい。
具体的なスズキャッピング剤は、意図したリソグラフィプロセス、すなわち使用される具体的な照射に応じて選択されてよい。更に、ヒドロカルビル置換スズキャッピング剤は、その後の基板上への材料の堆積に対するバリアとして機能するように選択されてよい。したがって、いくつかの実施形態では、ヒドロカルビル置換スズキャッピング剤は、表面と接触する溶液からの可溶性金属酸化物前駆体の付着または成長を防止するための原子層堆積ブロック剤である。
様々な実施形態では、ヒドロカルビル置換スズキャッピング剤はアルキル置換されており、例えば、以下の一般式、
RnSnXm
を有する薬剤であり、Rはベータ水素を有するC2-C10アルキルまたは置換アルキルであり、Xは、露出したヒドロキシル基のヒドロキシル基との反応による好適な脱離基であり、様々な実施形態では、n=1~3、およびm=4~nである。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、またはベータ位置にヘテロ原子置換基を有するそれらの誘導体、であってよい。好適なヘテロ原子には、ハロゲン(F、Cl、Br、またはI)、または酸素(-OHまたは-OR)が含まれる。Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、またはジエチルアミド)、アルコール(例えば、t-ブトキシ、イソプロポキシ)、ハロゲン(例えば、F、Cl、Br、またはI)、または別の有機配位子であってよい。ヒドロカルビル置換スズキャッピング剤の例としては、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、ジ(t-ブチル)ジ(ジメチルアミノ)スズ、secブチルトリス(ジメチルアミノ)スズ、n-ペンチルトリス(ジメチルアミノ)スズ、イソブチルトリス(ジメチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ、またはイソプロピルトリス(t-ブトキシ)スズ、が挙げられる。
RnSnXm
を有する薬剤であり、Rはベータ水素を有するC2-C10アルキルまたは置換アルキルであり、Xは、露出したヒドロキシル基のヒドロキシル基との反応による好適な脱離基であり、様々な実施形態では、n=1~3、およびm=4~nである。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、またはベータ位置にヘテロ原子置換基を有するそれらの誘導体、であってよい。好適なヘテロ原子には、ハロゲン(F、Cl、Br、またはI)、または酸素(-OHまたは-OR)が含まれる。Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、またはジエチルアミド)、アルコール(例えば、t-ブトキシ、イソプロポキシ)、ハロゲン(例えば、F、Cl、Br、またはI)、または別の有機配位子であってよい。ヒドロカルビル置換スズキャッピング剤の例としては、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、ジ(t-ブチル)ジ(ジメチルアミノ)スズ、secブチルトリス(ジメチルアミノ)スズ、n-ペンチルトリス(ジメチルアミノ)スズ、イソブチルトリス(ジメチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ、またはイソプロピルトリス(t-ブトキシ)スズ、が挙げられる。
イメージング層の作製方法
様々な態様では、本技術の方法は、
露出したヒドロキシル基を含む表面を有する基板を提供する工程と;
表面をヒドロカルビル置換スズキャッピング剤と接触させて、イメージング層としてヒドロカルビル終端SnOx膜を基板表面上に形成する工程と、を含む。
様々な態様では、本技術の方法は、
露出したヒドロキシル基を含む表面を有する基板を提供する工程と;
表面をヒドロカルビル置換スズキャッピング剤と接触させて、イメージング層としてヒドロカルビル終端SnOx膜を基板表面上に形成する工程と、を含む。
一般に、表面をヒドロカルビル置換スズキャッピング剤と接触させることは、好ましくは表面上にキャッピング剤の均一な分布を作り出すために、任意の好適な技術を使用して実施されてよい。このような方法は、ALDやCVDなどの蒸着技術を含む。また、キャッピング剤と基板の露出したヒドロキシル基との反応を手助けするために水を添加してよい。そのような方法では、キャッピング剤と水を繰り返し適用して、ヒドロカルビル置換スズキャッピング機能を十分に有する表面を形成してよい。アルキチオールなどの追加のアルキベースの試薬への曝露によって、基板表面上に、増加したレベルのヒドロカルビル置換基を有する表面を形成してよい。
例示的な連続CVDプロセスでは、RnSn-Xmおよび酸素含有カウンター反応物のソースの2つ以上のガスストリームが、別々の入口経路でCVD装置の堆積チャンバに導入され、それらは気相で混合および反応し、凝縮して基板上にSnOxコーティングが形成される。ストリームは、例えば、デュアルプレナムシャワーヘッドを使用して導入されてよい。装置は、RnSn-Xmおよび酸素含有カウンター反応物のソースのストリームがチャンバ内で混合され、RnSn-Xmおよび酸素含有カウンター反応物のソースが反応して、SnOx層を形成することを可能にするように構成されている。CVDプロセスは、一般に、0.1トル~10トルなどの減圧で実施される。いくつかの実施形態では、プロセスは1~2トルにおいて実施される。基板の温度は、好ましくは、反応物ストリームの温度よりも低い。例えば、基板温度は、0℃~250℃、または周囲温度(例えば、23℃)~150℃であってよい。
SnOxイメージング層は、ALDプロセスによって堆積することもできる。この場合、RnSn-Xm酸素含有カウンター反応物は、ALDサイクルを表す別々の時間に導入される。前駆体は表面上で反応し、ALDサイクルごとに1度に最大で材料の単分子層を形成する。これにより、ウェハー全体にわたり、膜厚の均一性に対して優れた制御が可能になる。ALDプロセスは、一般に、0.1トル~10トルなどの減圧で実施される。いくつかの実施形態では、プロセスは1~2トルにおいて実施される。基板温度は、0℃~250℃、または周囲温度(例えば、23℃)~150℃であってよい。このプロセスは、熱駆動プロセスになる。膜は最初のサイクル後に大幅に成長していることは予想されておらず、その後のサイクルが、R終端Snで表面を更に飽和させるように設計されている。
パターン形成
本技術はまた、イメージング層のある領域をEUV、DUV、または電子ビームなどの照射に曝露させることにより、イメージング層がパターン形成される方法を提供する。そのようなパターン形成では、放射線はイメージング層の1つ以上の領域に集束される。露光は、典型的には、イメージング層の膜が放射線で露光されない1つ以上の領域を含むように実施される。得られるイメージング層は、複数の露出された領域および露出されていない領域を含んで、その後の基板の処理において基板からの材料の追加または除去によって形成されるトランジスタまたは半導体デバイスの他のフィーチャの作製と整合するパターンが作製されてよい。本明細書で有用なものの中で、EUV、DUV、および電子ビームの放射方法および装置には、当該技術分野において既知の方法および装置が含まれる。
本技術はまた、イメージング層のある領域をEUV、DUV、または電子ビームなどの照射に曝露させることにより、イメージング層がパターン形成される方法を提供する。そのようなパターン形成では、放射線はイメージング層の1つ以上の領域に集束される。露光は、典型的には、イメージング層の膜が放射線で露光されない1つ以上の領域を含むように実施される。得られるイメージング層は、複数の露出された領域および露出されていない領域を含んで、その後の基板の処理において基板からの材料の追加または除去によって形成されるトランジスタまたは半導体デバイスの他のフィーチャの作製と整合するパターンが作製されてよい。本明細書で有用なものの中で、EUV、DUV、および電子ビームの放射方法および装置には、当該技術分野において既知の方法および装置が含まれる。
特に、イメージング層の領域は、露光されていない領域と比較して物理的または化学的特性が変化しているパターン形成によって作製される。特に、様々な実施形態では、表面上に存在するヒドロカルビル終端SnOxは、特に露光がEUVを使用して真空中で行われる場合、イメージング層の露光された領域において水素終端SnOxに変換される。しかしながら、露出したイメージング層を真空から取り出して空気中に入れること、または酸素、オゾン、H2O2、または水を制御して導入することにより、表面Sn-Hが酸化されてSn-OHになる可能性がある。露出された領域と露出されていない領域との間の特性の違いを、例えば、照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を追加する、またはイメージング層から材料を除去することにより、以降の処理において活用してよい。
したがって、様々な実施形態では、本技術は、基板表面上にリソグラフィハードマスクを作製する方法を提供し、この方法は、
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を表面上に堆積する工程と;
選択的にイメージング層に照射する工程であって、イメージング層のヒドロカルビル終端SnOxが水素終端SnOxに変換されている、照射された領域と、イメージング層がヒドロカルビル終端SnOxを含む照射されていない領域と、をイメージング層が含む、工程と;
照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を追加する、またはイメージング層から材料を除去することによって、イメージング層を処理する工程と、を含む。
様々な実施形態では、基板材料は非晶質炭素またはSnOxを含む。
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を表面上に堆積する工程と;
選択的にイメージング層に照射する工程であって、イメージング層のヒドロカルビル終端SnOxが水素終端SnOxに変換されている、照射された領域と、イメージング層がヒドロカルビル終端SnOxを含む照射されていない領域と、をイメージング層が含む、工程と;
照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を追加する、またはイメージング層から材料を除去することによって、イメージング層を処理する工程と、を含む。
様々な実施形態では、基板材料は非晶質炭素またはSnOxを含む。
任意選択で、過剰な水分を除去し、Sn-O-Sn架橋を促進するように、イメージング層が加熱または「ベーク」される。例えば、サンプルは、R-Sn結合が大幅に開裂されることがない条件で、例えば、50℃~200℃にて5分で、または70℃~150℃にて2分でベークできる。
リソグラフィ処理
上述したように、照射に続くイメージング層のその後の処理は、基板材料と、基板を使用して作製される半導体デバイスの所望のフィーチャとに依存することになる。例えば、フィーチャは、例えば、パターン形成を伴う露光ツールによって画定された露光された(ポジ型)または露光されていない(ネガ型)領域のいずれかにおいて液体現像液に対して選択的に可溶性になる膜のスピンコート塗布を使用して、様々なリソグラフィ技術によって基板上に作製されてよい。
上述したように、照射に続くイメージング層のその後の処理は、基板材料と、基板を使用して作製される半導体デバイスの所望のフィーチャとに依存することになる。例えば、フィーチャは、例えば、パターン形成を伴う露光ツールによって画定された露光された(ポジ型)または露光されていない(ネガ型)領域のいずれかにおいて液体現像液に対して選択的に可溶性になる膜のスピンコート塗布を使用して、様々なリソグラフィ技術によって基板上に作製されてよい。
本技術のリソグラフィ方法が、図1のプロセスフローに全般的に示されている。図示するように、基板は、基板材料(110)上にヒドロキシ終端SnOx「下層」を堆積することによって形成される。基板表面上のヒドロキシ終端SnOx下層は、イメージング層への照射を受けて、放射線の吸収を向上させ、基板から2次電子を発生させ、追加のEUV光子を更に収集して、EUVパターン形成プロセスをより高感度にし、イメージング層の露光に必要なEUVドーズを低減させることができる。
次いで、ヒドロカルビル置換スズキャッピング剤を表面に堆積して、ヒドロカルビル終端SnOx膜を基板表面上に形成することにより、イメージング層が形成される(120)。次いで、基板は放射線に曝露され(例えば、EUVを使用して)(130)、任意選択で、ベークされる(140)。次いで、イメージング層の表面が処理される(150)。図2は、そのようなプロセスで形成された基板の一般的なアーキテクチャを例示的な化学構造と共に概略的に示す。図3は、そのようなプロセスの具体例を示しており、イメージング層は、ヒドロカルビル置換スズキャッピング剤t-ブチルSn(N(CH3)2)3から形成されている。図4は、スズキャッピング剤であるn-BuSn(OtBu)3から形成された活性化(EUVリリース)PR接着イメージング層の代替案を示す。
いくつかの方法では、処理する工程は、照射された領域において水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程を含む。酸化する工程は、照射された領域を酸素または水に曝露させる工程を含んでよい。いくつかの方法では、その後の処理する工程は、照射された領域内のヒドロキシ終端SnOxを除去して、下にある基板材料を露出させエッチングする工程を含む。エッチングは、パターン形成された膜を希フッ化水素酸水溶液、または代替として希水酸化テトラメチルアンモニウム水溶液(TMAH)で処理することによって実施してよい。処理する工程は、酸素プラズマを使用して、下にある基板層をエッチングする工程を更に含んでよい。
いくつかの実施形態では、処理する工程は、照射された領域のヒドロキシ終端SnOx上に、ハードマスクとして作用可能であってよい金属酸化物を堆積する工程を更に含む。このようなハードマスク材料は、SnO2、SiO2、TiO2、WOx、HfO2、ZrO2、Ta2O5、Nb2O5、B2O3、GeO2、ZnO、V2O5、およびAl2O3からなる群から選択される金属酸化物を含んでよい。堆積は、例えば、ALDによって行われてよい。
本技術のいくつかの方法では、照射されていない領域のヒドロカルビル終端SnOxが水素またはメタンプラズマによって除去されて、下にある非晶質炭素層が露出する。処理する工程は、酸素プラズマを使用して、下にある基板材料をエッチングする工程を更に含んでよい。
本技術のメカニズム、機能、または有用性を限定するものではないが、いくつかの実施形態では、本技術のリソグラフィ法は、当該技術分野において既知の方法に優る利点を提供すると考えられる。その利点は、例えば、ウェットレジスト配合物を塗布および除去する必要性を回避すること(例えば、スカムおよびパターン歪みの回避)、EUVまたは他の照射の後の連続プロセスにおいて真空下で露光された基板を現像するなどのプロセスを簡略化すること、非常に薄い金属酸化物構造体の使用によりパターン崩壊を低減させること、ラインエッジラフネスを改善すること、およびハードマスクの化学的性質を特定の基板および半導体デバイス設計に合わせて調整する能力を提供すること、である。
追加の実施形態
上述したように、本技術の具体的なポストイメージング方法および適用は、基板および所望のデバイス設計に応じて、様々な材料およびプロセスのいずれを伴ってよい。イメージング層の処理は、基板材料と、基板を使用して作製される半導体デバイスの所望のフィーチャとに依存する場合がある。例えば、フィーチャは、典型的には、パターン形成を伴う露光ツールによって画定された露光された(ポジ型)または露光されていない(ネガ型)領域のいずれかにおいて現像液に対して選択的に可溶性になる膜の塗布を伴う様々な標準的なリソグラフィ技術によって基板上に作製されてよい。処理は、誘導自己組織化(DSA)ブロックコポリマー(BCP)、ゾルゲルの誘導自己組織化、および原子層堆積または化学蒸着による材料(金属または金属酸化物など)の選択的堆積、を含むリソグラフィマスクの作製を含んでよい。
上述したように、本技術の具体的なポストイメージング方法および適用は、基板および所望のデバイス設計に応じて、様々な材料およびプロセスのいずれを伴ってよい。イメージング層の処理は、基板材料と、基板を使用して作製される半導体デバイスの所望のフィーチャとに依存する場合がある。例えば、フィーチャは、典型的には、パターン形成を伴う露光ツールによって画定された露光された(ポジ型)または露光されていない(ネガ型)領域のいずれかにおいて現像液に対して選択的に可溶性になる膜の塗布を伴う様々な標準的なリソグラフィ技術によって基板上に作製されてよい。処理は、誘導自己組織化(DSA)ブロックコポリマー(BCP)、ゾルゲルの誘導自己組織化、および原子層堆積または化学蒸着による材料(金属または金属酸化物など)の選択的堆積、を含むリソグラフィマスクの作製を含んでよい。
例えば、いくつかの実施形態では、イメージング層および基板の処理によりポジ型マスクが作製される。そのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程と;
照射された領域を希フッ化水素酸水溶液(HF)または希水酸化テトラメチルアンモニウム水溶液(TMAH)と接触させて、露出されたヒドロキシル終端表面をエッチングし、下にある基板材料(非晶質炭素など)を露出させる工程と;
露出された下層を酸素プラズマでエッチングする工程と、を含んでよい。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程と;
照射された領域を希フッ化水素酸水溶液(HF)または希水酸化テトラメチルアンモニウム水溶液(TMAH)と接触させて、露出されたヒドロキシル終端表面をエッチングし、下にある基板材料(非晶質炭素など)を露出させる工程と;
露出された下層を酸素プラズマでエッチングする工程と、を含んでよい。
いくつかの実施形態では、イメージング層および基板の処理は、ネガ型レジストを作製する。例えば、そのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程と;
金属酸化物ハードマスクをヒドロキシル終端領域上に、例えばALDによって選択的に堆積する工程と;
例えば、H2、CH4、またはBCl3ベースのプラズマを使用して、基板の露出されていない領域(すなわち、ヒドロカルビル終端SnOxを有する領域)を除去して、下にある基板(例えば、非晶質炭素)を露出させる工程と;
露出された下にある基板を酸素プラズマでエッチングする工程と、を含んでよい。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程と;
金属酸化物ハードマスクをヒドロキシル終端領域上に、例えばALDによって選択的に堆積する工程と;
例えば、H2、CH4、またはBCl3ベースのプラズマを使用して、基板の露出されていない領域(すなわち、ヒドロカルビル終端SnOxを有する領域)を除去して、下にある基板(例えば、非晶質炭素)を露出させる工程と;
露出された下にある基板を酸素プラズマでエッチングする工程と、を含んでよい。
1つのそのようなプロセスの要素が、図5のプロセスフローに示される。図6および図7A、図7Bは、それぞれ、そのようなネガ型レジストプロセスの1つで(露出された表面のヒドロキシル化から始めて)形成されるマスクの一般的な概略的なアーキテクチャと、例示的な化学構造を示す。
代替的なネガ型レジストプロセスの要素を図8に示す。このプロセスでは、照射された領域における水素終端SnOxは酸化されない。むしろ、金属または金属酸化物のハードマスクが、照射された領域のSn-H表面上に、例えばALDによって堆積される。水素化物表面への金属のALD堆積の一般的な方法と条件は、Kwonらによる、Substrate Selectivity of (tBu-Allyl)Co(CO)3 during Thermal Atomic Layer Deposition of Cobalt,24 Chem.Mater.1025(2012)、および、Lemaireらによる、Understanding inherent substrate selectivity during atomic layer deposition:Effect of surface preparation,hydroxyl density,and metal oxide composition on nucleation mechanisms during tungsten ALD,146 J.Chem.Phys.052811(2017)、に記載されるものを含む。そのようなプロセスでは、基板は、照射からALDの堆積まで真空下に維持される場合があり、プロセス中の材料ハンドリング手順が単純化され、製造において効率化がもたらされる可能性がある。図9は、代替的なネガ型レジストプロセスで形成され際のマスクの一般的なアーキテクチャを示す。
いくつかの実施形態では、イメージング層および基板の処理は、ネガ型パターン形成を使用する。例えば、そのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程と;
金属ゾルゲル酸化物(例えば、硝酸を含むpH2のスピンコーティングされたテトラエチルオルトシリケート)の溶液をヒドロキシル終端領域上に選択的に堆積させて、照射された領域上に金属酸化物エッチングマスクを形成する工程と;
未反応のゾルゲル溶液を(例えば、リンスにより)除去する工程と;
基板の露出されていない領域(すなわち、ヒドロカルビル終端SnOxを有する領域)を、例えば水素またはメタンプラズマを使用して除去して、下にある基板(例えば、非晶質炭素)を露出させる工程と;
露出された下にある基板を酸素プラズマでエッチングする工程と、を含んでよい。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程と;
金属ゾルゲル酸化物(例えば、硝酸を含むpH2のスピンコーティングされたテトラエチルオルトシリケート)の溶液をヒドロキシル終端領域上に選択的に堆積させて、照射された領域上に金属酸化物エッチングマスクを形成する工程と;
未反応のゾルゲル溶液を(例えば、リンスにより)除去する工程と;
基板の露出されていない領域(すなわち、ヒドロカルビル終端SnOxを有する領域)を、例えば水素またはメタンプラズマを使用して除去して、下にある基板(例えば、非晶質炭素)を露出させる工程と;
露出された下にある基板を酸素プラズマでエッチングする工程と、を含んでよい。
ゾルゲルを作製するための材料および方法は、Henchらによる、The Sol-Gel Process,90 Chem. Rev.33(1990)、およびLuらによる、Continuous formation of supported cubic and hexagonal mesoporous films by sol-gel dip-coating,389 Nature 364(1997)、に記載されている。そのようなプロセスの要素が、図10のプロセスフローに示される。
いくつかの実施形態では、イメージング層および基板を処理する工程は、ブロックコポリマーを堆積および自己組織化して、イメージング表面の親水性および疎水性領域をパターン形成して、ハードマスクを作製する工程を含む。例えば、ハードマスクを作製するためのそのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程と;
表面をブロックコポリマー反応物でコーティングする工程と;
表面をアニーリングして、組織化されたブロックコポリマーを作製する工程と;
ブロックコポリマーの置換基を選択的に除去してマスクを形成する工程と;
露出された下層を酸素プラズマでエッチングする工程と、を含んでよい。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程と;
表面をブロックコポリマー反応物でコーティングする工程と;
表面をアニーリングして、組織化されたブロックコポリマーを作製する工程と;
ブロックコポリマーの置換基を選択的に除去してマスクを形成する工程と;
露出された下層を酸素プラズマでエッチングする工程と、を含んでよい。
いくつかの実施形態では、ブロックコポリマー反応物でコーティングする前に、イメージング表面の親水性領域(すなわち、照射された領域)に親水性金属酸化物が堆積されて、ブロックコポリマーの自己組織化を誘導するトポグラフィが作製されてよい。自己組織化ブロックコポリマーを作製するための材料および方法は、Hamley,Nanostructure fabrication using block copolymers,14 Nanotechnology R39(2003)に記載されている。そのようなプロセスの要素が、図11のプロセスフローに示される。この手法により、フィーチャのサイズを縮小し、BCPの自己組織化に関連する欠陥を削減することができる。
本技術はまた、基板上へのハードマスクなどの無電解金属デバイス構造の選択的成長のための方法を提供する。無電解堆積(ELD)による導電性コバルト、ニッケル、または銅のフィーチャの、その後の選択的成長のために、例えば表面を酸化金属イオン(Pd+2塩類など)の水溶液に曝露させて、触媒Pd「シード」原子層を選択的に堆積させてよい。他の実施形態では、もはや疎水性ではない薄いスズベースのイメージング層の露出され「脱保護された」領域が、濃縮HFまたはシュウ酸溶液などの酸性水溶液エッチング剤への短時間の曝露によって選択的にエッチング除去されてよい。
例えば、そのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
基板の露出された領域(すなわち、Sn-H表面部分を有する領域)上にパラジウム(Pd)活性化層を選択的に堆積させる工程と;
無電解堆積により、Pd活性化層上に金属、例えばコバルトを堆積させる工程と、を含む。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
基板の露出された領域(すなわち、Sn-H表面部分を有する領域)上にパラジウム(Pd)活性化層を選択的に堆積させる工程と;
無電解堆積により、Pd活性化層上に金属、例えばコバルトを堆積させる工程と、を含む。
様々な実施形態では、堆積された金属は、コバルト、ニッケル、銅、またはそれらの混合物などの後期遷移金属である。本技術のメカニズム、機能、または有用性を限定するものではないが、いくつかの実施形態では、そのような方法は、金属のブランケット堆積とそれに続くパターン形成を伴う除去を含む、当該技術分野において既知のサブトラクティブ技術とは対照的に、そのようなフィーチャが必要な場合にのみ基板上に金属フィーチャを堆積するための単純なアディティブパターン形成手法を提供してよいと考えられる。そのようなプロセスの要素が、図12のプロセスフローに示され、図13に図示されている。
結論
次世代のリソグラフィ技術を使用して化学的に異なる領域にパターン形成されてよいイメージング層を基板表面上に作製するための方法(すなわち、表面イメージング)。得られたパターン形成された膜を、例えば、半導体デバイスを生産するためのリソグラフィマスクとして使用してよい。
次世代のリソグラフィ技術を使用して化学的に異なる領域にパターン形成されてよいイメージング層を基板表面上に作製するための方法(すなわち、表面イメージング)。得られたパターン形成された膜を、例えば、半導体デバイスを生産するためのリソグラフィマスクとして使用してよい。
本明細書に記載される実施例および実施形態は例示のみを目的としており、それに照らして、様々な修正または変更が当業者に提案されるであろうことが理解されている。明確化のために様々な詳細が省略されているが、様々な設計の代替形態が実現されてもよい。したがって、本実施例は、例示的であって限定的ではないと見なされるべきであり、本開示は、本明細書に記載される詳細に限定されず、本開示および添付の特許請求の範囲の範囲内において修正されてよい。
参照による組み込み
本出願の一部として、PCT願書が本明細書と同時に提出される。同時に提出されたPCT願書において確認したように、本出願が利益または優先権を主張する出願の各々は、その全体があらゆる目的のために参照により本明細書に組み込まれる。
本出願の一部として、PCT願書が本明細書と同時に提出される。同時に提出されたPCT願書において確認したように、本出願が利益または優先権を主張する出願の各々は、その全体があらゆる目的のために参照により本明細書に組み込まれる。
本開示は、基板表面上にイメージング層を作製するためのシステムおよび方法に関する。そのようなイメージング層が、次世代のリソグラフィ技術を使用してパターン形成されてよく、得られたパターン形成された膜は、例えば、半導体デバイスの生産のためのリソグラフィマスクとして使用されてよい。
本明細書で提供される「背景技術」の記載は、本技術の文脈を概略的に提示する。本明細書の「背景技術」に記載されている範囲における、本明細書にて名前を挙げた発明者の業績、ならびに、出願時点で先行技術と見なされないかも知れない本明細書の態様は、本技術に対する先行技術として認められてはない。
集積回路などの半導体デバイスの製造は、フォトリソグラフィを伴う多段階プロセスである。一般に、このプロセスは、ウェハー上に材料を堆積し、そしてリソグラフィ技術により材料にパターン形成して半導体デバイスの構造的フィーチャ(例えば、トランジスタ、導体、および他の回路フィーチャ)を形成することを含む。当該技術分野で既知の典型的なフォトリソグラフィプロセスの工程は、基板を準備する工程と;スピンコーティングなどによりフォトレジストを塗布する工程と;フォトレジストを所望のパターンで露光して、フォトレジストの露光された領域を、ある程度、現像液に可溶性にする工程と;現像液を適用することによって現像して、フォトレジストの露光された領域または露光されていない領域のどちらかを除去する工程と;その後の、フォトレジストが除去されている基板の領域にフィーチャを形成するために、例えばエッチングまたは材料堆積によって処理する工程と、を含む。
半導体設計の進化が、半導体基板材料上に、これまでにない微細なフィーチャを作製する必要性を生み出してきており、それを作製する能力によって推進されてきた。技術のこのような進歩は、高密度集積回路におけるトランジスタ密度が2年ごとに2倍になるという「ムーアの法則」で特徴付けられている。実際、チップの設計と製造は進歩しており、その結果、最新のマイクロプロセッサは、単一のチップ上に数十億個のトランジスタおよび他の回路機能を含む場合がある。そのようなチップ上の個々のフィーチャは、22ナノメートル(nm)以下、場合によっては10nm未満のオーダーである場合がある。
そのように小さなフィーチャを有するデバイスの製造における課題の1つは、十分な解像度を有するフォトリソグラフィマスクを確実かつ再現可能に作製する能力である。現在のフォトリソグラフィプロセスは、典型的には193nmの紫外線(UV)光を使用してフォトレジストを露光する。半導体基板上に形成されるフィーチャの所望のサイズよりも大幅に大きい波長を、光が有するという事実は、固有の問題を生む。光の波長よりも小さいフィーチャサイズを実現するには、マルチパターニングなどの複雑な解像度向上技術の使用を必要とする。したがって、いわゆる「次世代リソグラフィ」技術の開発に大きな関心と研究努力が向けられ、この技術は、電子ビーム放射、または20nm未満、例えば13.5nmの波長を有する極端紫外線(EUV)放射などのより短い波長の光を使用する。
しかしながら、次世代リソグラフィ技術は課題を呈し得る。例えば、EUVフォトリソグラフィプロセスの有効性は、光源の低出力、およびパターン形成中の光の損失によって限定され得る。193nmUVリソグラフィで使用されるものに類似した従来の有機化学増幅レジスト(CAR)は、EUVリソグラフィで使用される場合、潜在的な欠点を有する。特に、それらはEUV領域での吸収係数が低く、光活性化化学種の拡散が、ぼやけまたはラインエッジラフネスを引き起こす可能性がある。更には、下にあるデバイス層にパターン形成するために必要なエッチング耐性を提供するために、従来のCAR材料の必要な厚さが、パターン崩壊のリスクを伴う高いアスペクト比をもたらす可能性がある。したがって、厚さの低減、より大きな吸光度、およびより大きなエッチング耐性などの特性を有する、改良されたEUVフォトレジスト材料の必要性が残っている。
本開示は、基板表面上にイメージング層を作製するための方法を提供する。そのようなイメージング層は、一般に、DUV、EUV、X線、および電子ビームなどの次世代リソグラフィ技術を使用して、化学的に異なる領域にパターン形成されてよい(すなわち、表面イメージング)。得られたパターン形成された膜を、例えば、半導体デバイスを生産するためのリソグラフィマスクとして使用してよい。
いくつかの実現形態では、本開示による方法は、EUV光での照射によって、ベータ水素脱離などのスズ-炭素結合開裂を受けるように選択されたアルキル基で終端されたSnOx薄膜のイメージング層を作製することを含んでよい。EUVパターン形成工程では、アルキル基を開裂して、Sn-H結合の領域を残す一方で、未露光の表面をアルキル終端されたままに残すことができる。
一実施形態では、基板上にイメージング層を作製する方法は、露出したヒドロキシル基を含む表面を有する基板を提供する工程と、基板表面上に、イメージング層としてヒドロカルビル終端SnOx膜を形成する工程と、を含み、ヒドロカルビル終端SnOx膜は、イメージング層に照射することにより開裂可能なスズ-炭素結合を有する。
いくつかの実施形態では、基板表面は、ヒドロカルビル終端SnOx膜イメージング層に対するSnOx下層を含んでよい。基板材料の表面上のヒドロキシル終端SnOx下層は、イメージング層への照射を受けて、放射線の吸収を向上させ、基板から2次電子を発生させ、追加のEUV光子を更に収集して、EUVパターン形成プロセスをより高感度にし、イメージング層の露光に必要なEUVドーズを低減させることができる。
様々な実施形態では、イメージング層は、自己制限特性を呈する原子層堆積プロセスによって堆積されてよい。他の実施形態では、イメージング層は、(非自己制限的)化学蒸着プロセスによって堆積された薄膜である。
例えば、アルキ置換スズキャッピング剤は、一般式が、
RnSnX4-n
であってよく、RはC2-C10アルキルまたは置換されたアルキル置換基である。Xは、水によって容易に置換されてヒドロキシル中間生成物を形成し、その結果、他のSn-X官能基と反応してSn-O-Sn架橋を形成する任意の好適な脱離基であってよい。様々な実施形態では、Rは分岐状であり、複数のベータ水素原子を有する(最大のものはtert-ブチル置換基に対応する)。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、またはそれらの誘導体、ならびにフッ素、塩素、臭素、ヨウ素、窒素、酸素などの1つ以上のヘテロ原子を含む類似の材料、であってよい。
RnSnX4-n
であってよく、RはC2-C10アルキルまたは置換されたアルキル置換基である。Xは、水によって容易に置換されてヒドロキシル中間生成物を形成し、その結果、他のSn-X官能基と反応してSn-O-Sn架橋を形成する任意の好適な脱離基であってよい。様々な実施形態では、Rは分岐状であり、複数のベータ水素原子を有する(最大のものはtert-ブチル置換基に対応する)。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、またはそれらの誘導体、ならびにフッ素、塩素、臭素、ヨウ素、窒素、酸素などの1つ以上のヘテロ原子を含む類似の材料、であってよい。
本技術はまた、EUVまたは他の放射線を使用して、本技術の方法によって作製されたコーティングされた基板の表面上にパターンを形成するための方法を提供する。コーティングされた基板の更なる処理は、露出された領域および露出されていない領域における化学的差異、特にイメージング層の露出された領域において水素終端SnOxに変換されたヒドロカルビル終端SnOx、を利用してよい。露出された領域と露出されていない領域との間の特性の違いを、例えば、照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を追加する、またはイメージング層から材料を除去することにより、以降の処理において活用してよい。
様々な実施形態では、本技術は、基板表面上にエッチング耐性を有する薄いハードマスク層をパターン形成する方法を提供し、方法は、
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を表面上に堆積する工程と;
選択的にイメージング層に照射する工程であって、ヒドロカルビル終端されたイメージング層のSnOx部分においてヒドロカルビル置換基が除去されている、および/または、水素終端SnOxに変換されている、照射された領域と、イメージング層がヒドロカルビル終端SnOxを含む照射されていない領域と、をイメージング層が含む、工程と;
照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を堆積させる、またはイメージング層から材料を除去することによって、イメージング層を処理する工程と、を含む。
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を表面上に堆積する工程と;
選択的にイメージング層に照射する工程であって、ヒドロカルビル終端されたイメージング層のSnOx部分においてヒドロカルビル置換基が除去されている、および/または、水素終端SnOxに変換されている、照射された領域と、イメージング層がヒドロカルビル終端SnOxを含む照射されていない領域と、をイメージング層が含む、工程と;
照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を堆積させる、またはイメージング層から材料を除去することによって、イメージング層を処理する工程と、を含む。
照射は、DUV、EUV、X線、または電子ビーム放射の使用を含んでよい。いくつかの実施形態では、処理する工程は、照射された領域における水素終端(Sn-H)官能基を酸化して、Sn-OHヒドロキシル終端SnOxを形成する工程を更に含む。
本技術の適用可能な更なる領域が、「発明を実施するための形態」、「特許請求の範囲」、および図面から明らかとなるであろう。「発明を実施するための形態」および具体例は、例示のみを目的としており、技術の範囲を限定することを意図していない。本技術は、詳細な説明および添付の図面からより完全に理解されるであろう。
本明細書では、本開示の具体的な実施形態を詳細に参照する。具体的な実施形態の例が、添付の図面に示されている。本開示はこれらの具体的な実施形態に関連して説明されるが、本開示をそのような具体的な実施形態に限定することを意図するものではないことが理解されるであろう。むしろ、本開示の趣旨および範囲に含まれてよい代替形態、修正形態、および等価物を網羅することを意図している。以下の記載には、本開示の完全な理解を提供するために数多くの具体的な詳細が記述されている。本開示は、これらの具体的な詳細の一部または全てを伴うことなく実施されてよい。その他の場合には、本開示を不必要に不明瞭にしないように、良く知られたプロセス作業は詳細には説明していない。
上で論じたように、本開示は、半導体基板上にイメージング層を作製するための方法を提供し、イメージング層は、EUVまたは他の次世代リソグラフィ技術を使用してパターン形成されてよい。現在使用され開発されている標準の13.5nmのEUV波長を含むEUVに加えて、そのようなリソグラフィに最も関連する放射線源は、一般に248nmまたは193nmのエキシマレーザー光源の使用を指すDUV(deep-UV)、形式上はX線範囲の低エネルギー範囲内にEUVを含むX線、ならびに広いエネルギー範囲をカバーできる電子ビーム、である。そのような方法には、露出したヒドロキシル基を有する基板をヒドロカルビル置換スズキャッピング剤と接触させて、基板表面上にイメージング層としてヒドロカルビル終端SnOx膜を形成する方法が含まれる。様々な実施形態では、イメージング層は薄い層であり、基板上で接着層として機能して、例えばリソグラフィプロセス用のハードマスクを形成する際に、基板上への追加材料の選択的堆積を容易にしてよい。具体的な方法は、半導体基板および最終的な半導体デバイスで使用される具体的な材料および用途に依存してよい。したがって、本出願に記載される方法は、本技術で使用されてよい方法および材料の単なる例示である。
基板
本技術の方法において有用な基板は、リソグラフィ処理、特に集積回路および他の半導体デバイスの生産に好適な任意の材料構造を含んでよい。いくつかの実施形態では、基板はシリコンウェハーである。基板は、不規則な表面トポグラフィを有するフィーチャ(「下にあるトポグラフィカルフィーチャ」)がその上に作製されたシリコンウェハーであってよい。(本明細書にて参照される場合、「表面」は、その上に本技術の膜が堆積されることになる表面、または処理中にEUVに曝露されることになる表面である。)そのような下にあるトポグラフィカルフィーチャは、本技術の方法を実施する前の処理中に、材料が除去された領域(例えば、エッチングによって)、または材料が追加された領域(例えば、堆積によって)を含んでよい。そのような事前処理は、本技術の方法、または2層以上のフィーチャが基板上に形成される反復プロセスでの他の処理方法を含んでよい。
本技術の方法において有用な基板は、リソグラフィ処理、特に集積回路および他の半導体デバイスの生産に好適な任意の材料構造を含んでよい。いくつかの実施形態では、基板はシリコンウェハーである。基板は、不規則な表面トポグラフィを有するフィーチャ(「下にあるトポグラフィカルフィーチャ」)がその上に作製されたシリコンウェハーであってよい。(本明細書にて参照される場合、「表面」は、その上に本技術の膜が堆積されることになる表面、または処理中にEUVに曝露されることになる表面である。)そのような下にあるトポグラフィカルフィーチャは、本技術の方法を実施する前の処理中に、材料が除去された領域(例えば、エッチングによって)、または材料が追加された領域(例えば、堆積によって)を含んでよい。そのような事前処理は、本技術の方法、または2層以上のフィーチャが基板上に形成される反復プロセスでの他の処理方法を含んでよい。
いくつかの実施形態では、基板は、下にある半導体材料のリソグラフィエッチングで使用されるハードマスクである。ハードマスクは、非晶質炭素(a-C)、SnOx、SiO2、SiOxNy、SiOxC、Si3N4、TiO2、TiN、W、Wドープ炭素、WOx、HfO2、ZrO2、およびAl2O3を含む様々な材料のいずれかを含んでよい。例えば、基板は、好ましくは、SnO2などのSnOxを含んでよい。様々な実施形態では、層は、1nm~100nmの厚さ、または2nm~10nmの厚さであってよい。
様々な実施形態において、基板は、その表面上に露出したヒドロキシル基を含む。一般に、表面は、露出したヒドロキシル表面を含むか、またはそれを生成するように処理された任意の表面であってよい。(本明細書において参照される場合、「表面」は、基板と別の材料との間の境界を定める基板の一部、またはその境界の欠如(例えば、ガス、コーティング、または真空)を意味し、様々な実施形態では、放射線への曝露、または他の材料中の成分との反応のために利用可能であってよい。)したがって、方法は、そのような基板を「提供する工程」を含んでよく、この工程では、本技術の方法とは別に、露出したヒドロキシル基を有する基板が出発材料として得られる、または、上述し以下に更に記載するように、ヒドロキシル基を基板上に形成し、その後、表面をヒドロキシル置換スズキャッピング剤と接触させることを含む単一プロセスの一部として作製される。例えば、そのようなヒドロキシル基は、酸素プラズマ、水プラズマ、またはオゾンを使用する基板の表面処理によって基板表面上に形成されてよい。
いくつかの実施形態では、露出したヒドロキシル基を含む基板は、ヒドロキシル終端SnOxを含む表面層または膜を含む。例えば、基板は、ヒドロキシル終端SnOxの表面を有する非晶質炭素を含んでよい。本技術のメカニズム、機能、または有用性を限定することなく、ヒドロキシル終端SnOx層は、基板表面上に堆積された材料の接着性の改善、およびパターン形成中におけるEUV(または他の放射線)の吸収の向上などの利点を提供する場合があると考えられる。EUVまたは他の照射に対する感度、および分解能は、厚さ、密度、および短距離電荷移動特性などのSnOx層の特性に依存する場合がある。様々な実施形態では、SnOx層は、0.1nm~20nm、または0.2nm~10nm、または0.5nm~5nmの厚さを有する。
いくつかの実施形態では、ヒドロキシル終端SnOx層は、蒸着によって基板表面上に堆積される。そのような方法では、堆積は、Sn-Xnを酸素含有カウンター反応物と反応させることを含み、Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、およびジエチルアミド)、アルコール(例えば、t-ブトキシ、およびイソプロポキシ)、ハロゲン(例えば、F、Cl、Br、およびI)、または他の有機置換基(例えば、アセチルアセトン、N2,N3-ジ-tertブチル-ブタン-2,3-ジアミド)などの配位子である。例えば、Sn-Xnは、SnCl4、SnI4、またはSn(NR2)4であってよく、Rは、メチルまたはエチル、またはSn(t-BuO)4である。いくつかの実施形態では、複数のタイプの配位子が存在する。酸素含有カウンター反応物は、水、過酸化水素、ギ酸、アルコール、酸素、オゾン、およびそれらの組み合わせからなる群から選択してよい。
好適な蒸着プロセスには、化学蒸着(CVD)、原子層堆積(ALD)、プラズマ強化化学蒸着(PECVD)、またはプラズマ強化原子層堆積(PEALD)が含まれる。いくつかの実施形態では、堆積は、Sn-Xnを堆積し、酸素含有カウンター反応物を堆積する周期的プロセスでのALDである。いくつかの実施形態では、堆積は、Sn-Xn、および酸素含有カウンター反応物を同時に流すことによるCVDである。SnOx層を堆積するために本明細書で有用な材料およびプロセスは、Nazarovらによる、Atomic Layer Deposition of Tin Dioxide Nanofilms:A Review,40 Rev.Adv.Mater.Sci 262(2015)に記載されている。
例示的な連続CVDプロセスでは、Sn-Xnおよび酸素含有カウンター反応物のソースの2つ以上のガスストリームが、別々の入口経路でCVD装置の堆積チャンバに導入され、それらは気相で混合および反応して基板上にSnOxコーティングが形成される。ストリームは、例えば、デュアルプレナムシャワーヘッドを使用して導入されてよい。装置は、Sn-Xnおよび酸素含有カウンター反応物のソースのストリームがチャンバ内で混合され、Sn-Xnおよび酸素含有カウンター反応物のソースが反応して、SnOx層を形成することを可能にするように構成されている。CVDプロセスは、一般に、0.1トル~10トルなどの減圧で実施される。いくつかの実施形態では、プロセスは1~2トルにおいて実施される。基板の温度は、好ましくは、反応物ストリームの温度よりも低い。例えば、基板温度は、0℃~250℃、または周囲温度(例えば、23℃)~150℃であってよい。
SnOx基板は、ALDプロセスによって堆積することもできる。例えば、Sn-Xnおよび酸素含有カウンター反応物は別々の時間に導入される。前駆体は表面上で反応し、パルスごとに1度に最大で材料の単分子層を形成する。これにより、表面全体にわたり、膜厚の均一性に対して優れた制御を可能にする場合がある。ALDプロセスは、一般に、0.1トル~10トルなどの減圧で実施される。いくつかの実施形態では、プロセスは1~2トルにおいて実施される。基板温度は、0℃~250℃、または周囲温度(例えば、23℃)~150℃であってよい。このプロセスは、熱プロセス、または好ましくはプラズマ支援堆積であってよい。
スズキャッピング剤
本明細書で有用なヒドロカルビル置換スズキャッピング剤は、イメージング層への照射によって、スズ-炭素結合開裂を受ける置換基を含む。そのような開裂はホモリティックであってよい。いくつかの実施形態では、開裂は、アルケンフラグメントを放出させて、元々はアルキル置換基を有していたスズ原子に水素原子を結合させたまま残すベータ水素脱離によって生じてよい。
本明細書で有用なヒドロカルビル置換スズキャッピング剤は、イメージング層への照射によって、スズ-炭素結合開裂を受ける置換基を含む。そのような開裂はホモリティックであってよい。いくつかの実施形態では、開裂は、アルケンフラグメントを放出させて、元々はアルキル置換基を有していたスズ原子に水素原子を結合させたまま残すベータ水素脱離によって生じてよい。
具体的なスズキャッピング剤は、意図したリソグラフィプロセス、すなわち使用される具体的な照射に応じて選択されてよい。更に、ヒドロカルビル置換スズキャッピング剤は、その後の基板上への材料の堆積に対するバリアとして機能するように選択されてよい。したがって、いくつかの実施形態では、ヒドロカルビル置換スズキャッピング剤は、表面と接触する溶液からの可溶性金属酸化物前駆体の付着または成長を防止するための原子層堆積ブロック剤である。
様々な実施形態では、ヒドロカルビル置換スズキャッピング剤はアルキル置換されており、例えば、以下の一般式、
RnSnXm
を有する薬剤であり、Rはベータ水素を有するC2-C10アルキルまたは置換アルキルであり、Xは、露出したヒドロキシル基のヒドロキシル基との反応による好適な脱離基であり、様々な実施形態では、n=1~3、およびm=4~nである。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、またはベータ位置にヘテロ原子置換基を有するそれらの誘導体、であってよい。好適なヘテロ原子には、ハロゲン(F、Cl、Br、またはI)、または酸素(-OHまたは-OR)が含まれる。Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、またはジエチルアミド)、アルコール(例えば、t-ブトキシ、イソプロポキシ)、ハロゲン(例えば、F、Cl、Br、またはI)、または別の有機配位子であってよい。ヒドロカルビル置換スズキャッピング剤の例としては、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、ジ(t-ブチル)ジ(ジメチルアミノ)スズ、secブチルトリス(ジメチルアミノ)スズ、n-ペンチルトリス(ジメチルアミノ)スズ、イソブチルトリス(ジメチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ)、またはイソプロピルトリス(t-ブトキシ)スズ、が挙げられる。
RnSnXm
を有する薬剤であり、Rはベータ水素を有するC2-C10アルキルまたは置換アルキルであり、Xは、露出したヒドロキシル基のヒドロキシル基との反応による好適な脱離基であり、様々な実施形態では、n=1~3、およびm=4~nである。例えば、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、またはベータ位置にヘテロ原子置換基を有するそれらの誘導体、であってよい。好適なヘテロ原子には、ハロゲン(F、Cl、Br、またはI)、または酸素(-OHまたは-OR)が含まれる。Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、またはジエチルアミド)、アルコール(例えば、t-ブトキシ、イソプロポキシ)、ハロゲン(例えば、F、Cl、Br、またはI)、または別の有機配位子であってよい。ヒドロカルビル置換スズキャッピング剤の例としては、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、ジ(t-ブチル)ジ(ジメチルアミノ)スズ、secブチルトリス(ジメチルアミノ)スズ、n-ペンチルトリス(ジメチルアミノ)スズ、イソブチルトリス(ジメチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ)、またはイソプロピルトリス(t-ブトキシ)スズ、が挙げられる。
イメージング層の作製方法
様々な態様では、本技術の方法は、
露出したヒドロキシル基を含む表面を有する基板を提供する工程と;
表面をヒドロカルビル置換スズキャッピング剤と接触させて、イメージング層としてヒドロカルビル終端SnOx膜を基板表面上に形成する工程と、を含む。
様々な態様では、本技術の方法は、
露出したヒドロキシル基を含む表面を有する基板を提供する工程と;
表面をヒドロカルビル置換スズキャッピング剤と接触させて、イメージング層としてヒドロカルビル終端SnOx膜を基板表面上に形成する工程と、を含む。
一般に、表面をヒドロカルビル置換スズキャッピング剤と接触させることは、好ましくは表面上にキャッピング剤の均一な分布を作り出すために、任意の好適な技術を使用して実施されてよい。このような方法は、ALDやCVDなどの蒸着技術を含む。また、キャッピング剤と基板の露出したヒドロキシル基との反応を手助けするために水を添加してよい。そのような方法では、キャッピング剤と水を繰り返し適用して、ヒドロカルビル置換スズキャッピング機能が十分に飽和している表面を形成してよい。アルキチオールなどの追加のアルキルベースの試薬への曝露によって、基板表面上に、増加したレベルのヒドロカルビル置換基を有する表面を形成してよい。
例示的な連続CVDプロセスでは、RnSn-Xmおよび酸素含有カウンター反応物のソースの2つ以上のガスストリームが、別々の入口経路でCVD装置の堆積チャンバに導入され、それらは気相で混合および反応し、凝縮して基板上にSnOxコーティングが形成される。ストリームは、例えば、デュアルプレナムシャワーヘッドを使用して導入されてよい。装置は、RnSn-Xmおよび酸素含有カウンター反応物のソースのストリームがチャンバ内で混合され、RnSn-Xmおよび酸素含有カウンター反応物のソースが反応して、SnOx層を形成することを可能にするように構成されている。CVDプロセスは、一般に、0.1トル~10トルなどの減圧で実施される。いくつかの実施形態では、プロセスは1~2トルにおいて実施される。基板の温度は、好ましくは、反応物ストリームの温度よりも低い。例えば、基板温度は、0℃~250℃、または周囲温度(例えば、23℃)~150℃であってよい。
SnOxイメージング層は、ALDプロセスによって堆積することもできる。この場合、RnSn-Xm酸素含有カウンター反応物は、ALDサイクルを表す別々の時間に導入される。前駆体は表面上で反応し、ALDサイクルごとに1度に最大で材料の単分子層を形成する。これにより、ウェハー全体にわたり、膜厚の均一性に対して優れた制御が可能になる。ALDプロセスは、一般に、0.1トル~10トルなどの減圧で実施される。いくつかの実施形態では、プロセスは1~2トルにおいて実施される。基板温度は、0℃~250℃、または周囲温度(例えば、23℃)~150℃であってよい。このプロセスは、熱駆動プロセスになる。膜は最初のサイクル後に大幅に成長していることは予想されておらず、その後のサイクルが、R終端Snで表面を更に飽和させるように設計されている。
パターン形成
本技術はまた、イメージング層のある領域をEUV、DUV、または電子ビームなどの照射に曝露させることにより、イメージング層がパターン形成される方法を提供する。そのようなパターン形成では、放射線はイメージング層の1つ以上の領域に集束される。露光は、典型的には、イメージング層の膜が放射線で露光されない1つ以上の領域を含むように実施される。得られるイメージング層は、複数の露出された領域および露出されていない領域を含んで、その後の基板の処理において基板からの材料の追加または除去によって形成されるトランジスタまたは半導体デバイスの他のフィーチャの作製と整合するパターンが作製されてよい。本明細書で有用なものの中で、EUV、DUV、および電子ビームの放射方法および装置には、当該技術分野において既知の方法および装置が含まれる。
本技術はまた、イメージング層のある領域をEUV、DUV、または電子ビームなどの照射に曝露させることにより、イメージング層がパターン形成される方法を提供する。そのようなパターン形成では、放射線はイメージング層の1つ以上の領域に集束される。露光は、典型的には、イメージング層の膜が放射線で露光されない1つ以上の領域を含むように実施される。得られるイメージング層は、複数の露出された領域および露出されていない領域を含んで、その後の基板の処理において基板からの材料の追加または除去によって形成されるトランジスタまたは半導体デバイスの他のフィーチャの作製と整合するパターンが作製されてよい。本明細書で有用なものの中で、EUV、DUV、および電子ビームの放射方法および装置には、当該技術分野において既知の方法および装置が含まれる。
特に、イメージング層の領域は、露光されていない領域と比較して物理的または化学的特性が変化しているパターン形成によって作製される。特に、様々な実施形態では、表面上に存在するヒドロカルビル終端SnOxは、特に露光がEUVを使用して真空中で行われる場合、イメージング層の露光された領域において水素終端SnOxに変換される。しかしながら、露出したイメージング層を真空から取り出して空気中に入れること、または酸素、オゾン、H2O2、または水を制御して導入することにより、表面Sn-Hが酸化されてSn-OHになる可能性がある。露出された領域と露出されていない領域との間の特性の違いを、例えば、照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を追加する、またはイメージング層から材料を除去することにより、以降の処理において活用してよい。
したがって、様々な実施形態では、本技術は、基板表面上にリソグラフィハードマスクを作製する方法を提供し、この方法は、
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を表面上に堆積する工程と;
選択的にイメージング層に照射する工程であって、イメージング層のヒドロカルビル終端SnOxが水素終端SnOxに変換されている、照射された領域と、イメージング層がヒドロカルビル終端SnOxを含む照射されていない領域と、をイメージング層が含む、工程と;
照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を追加する、またはイメージング層から材料を除去することによって、イメージング層を処理する工程と、を含む。
様々な実施形態では、基板材料は非晶質炭素またはSnOxを含む。
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を表面上に堆積する工程と;
選択的にイメージング層に照射する工程であって、イメージング層のヒドロカルビル終端SnOxが水素終端SnOxに変換されている、照射された領域と、イメージング層がヒドロカルビル終端SnOxを含む照射されていない領域と、をイメージング層が含む、工程と;
照射された領域、照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、イメージング層に材料を追加する、またはイメージング層から材料を除去することによって、イメージング層を処理する工程と、を含む。
様々な実施形態では、基板材料は非晶質炭素またはSnOxを含む。
任意選択で、過剰な水分を除去し、Sn-O-Sn架橋を促進するように、イメージング層が加熱または「ベーク」される。例えば、サンプルは、R-Sn結合が大幅に開裂されることがない条件で、例えば、50℃~200℃にて5分で、または70℃~150℃にて2分でベークできる。
リソグラフィ処理
上述したように、照射に続くイメージング層のその後の処理は、基板材料と、基板を使用して作製される半導体デバイスの所望のフィーチャとに依存することになる。例えば、フィーチャは、例えば、パターン形成を伴う露光ツールによって画定された露光された(ポジ型)または露光されていない(ネガ型)領域のいずれかにおいて液体現像液に対して選択的に可溶性になる膜のスピンコート塗布を使用して、様々なリソグラフィ技術によって基板上に作製されてよい。
上述したように、照射に続くイメージング層のその後の処理は、基板材料と、基板を使用して作製される半導体デバイスの所望のフィーチャとに依存することになる。例えば、フィーチャは、例えば、パターン形成を伴う露光ツールによって画定された露光された(ポジ型)または露光されていない(ネガ型)領域のいずれかにおいて液体現像液に対して選択的に可溶性になる膜のスピンコート塗布を使用して、様々なリソグラフィ技術によって基板上に作製されてよい。
本技術のリソグラフィ方法が、図1のプロセスフローに全般的に示されている。図示するように、基板は、基板材料(110)上にヒドロキシル終端SnOx「下層」を堆積することによって形成される。基板表面上のヒドロキシル終端SnOx下層は、イメージング層への照射を受けて、放射線の吸収を向上させ、基板から2次電子を発生させ、追加のEUV光子を更に収集して、EUVパターン形成プロセスをより高感度にし、イメージング層の露光に必要なEUVドーズを低減させることができる。
次いで、ヒドロカルビル置換スズキャッピング剤を表面に堆積して、ヒドロカルビル終端SnOx膜を基板表面上に形成することにより、イメージング層が形成される(120)。次いで、基板は放射線に曝露され(例えば、EUVを使用して)(130)、任意選択で、ベークされる(140)。次いで、イメージング層の表面が処理される(150)。図2は、そのようなプロセスで形成された基板の一般的なアーキテクチャを例示的な化学構造と共に概略的に示す。図3は、そのようなプロセスの具体例を示しており、イメージング層は、ヒドロカルビル置換スズキャッピング剤t-ブチルSn(N(CH3)2)3から形成されている。図4は、スズキャッピング剤であるn-BuSn(OtBu)3から形成された活性化(EUVリリース)PR接着イメージング層の代替案を示す。
いくつかの方法では、処理する工程は、照射された領域において水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程を含む。酸化する工程は、照射された領域を酸素または水に曝露させる工程を含んでよい。いくつかの方法では、その後の処理する工程は、照射された領域内のヒドロキシル終端SnOxを除去して、下にある基板材料を露出させエッチングする工程を含む。エッチングは、パターン形成された膜を希フッ化水素酸水溶液、または代替として希水酸化テトラメチルアンモニウム水溶液(TMAH)で処理することによって実施してよい。処理する工程は、酸素プラズマを使用して、下にある基板層をエッチングする工程を更に含んでよい。
いくつかの実施形態では、処理する工程は、照射された領域のヒドロキシル終端SnOx上に、ハードマスクとして作用可能であってよい金属酸化物を堆積する工程を更に含む。このようなハードマスク材料は、SnO2、SiO2、TiO2、WOx、HfO2、ZrO2、Ta2O5、Nb2O5、B2O3、GeO2、ZnO、V2O5、およびAl2O3からなる群から選択される金属酸化物を含んでよい。堆積は、例えば、ALDによって行われてよい。
本技術のいくつかの方法では、照射されていない領域のヒドロカルビル終端SnOxが水素またはメタンプラズマによって除去されて、下にある非晶質炭素層が露出する。処理する工程は、酸素プラズマを使用して、下にある基板材料をエッチングする工程を更に含んでよい。
本技術のメカニズム、機能、または有用性を限定するものではないが、いくつかの実施形態では、本技術のリソグラフィ法は、当該技術分野において既知の方法に優る利点を提供すると考えられる。その利点は、例えば、ウェットレジスト配合物を塗布および除去する必要性を回避すること(例えば、スカムおよびパターン歪みの回避)、EUVまたは他の照射の後の連続プロセスにおいて真空下で露光された基板を現像するなどのプロセスを簡略化すること、非常に薄い金属酸化物構造体の使用によりパターン崩壊を低減させること、ラインエッジラフネスを改善すること、およびハードマスクの化学的性質を特定の基板および半導体デバイス設計に合わせて調整する能力を提供すること、である。
追加の実施形態
上述したように、本技術の具体的なポストイメージング方法および適用は、基板および所望のデバイス設計に応じて、様々な材料およびプロセスのいずれを伴ってよい。イメージング層の処理は、基板材料と、基板を使用して作製される半導体デバイスの所望のフィーチャとに依存する場合がある。例えば、フィーチャは、典型的には、パターン形成を伴う露光ツールによって画定された露光された(ポジ型)または露光されていない(ネガ型)領域のいずれかにおいて現像液に対して選択的に可溶性になる膜の塗布を伴う様々な標準的なリソグラフィ技術によって基板上に作製されてよい。処理は、誘導自己組織化(DSA)ブロックコポリマー(BCP)、ゾルゲルの誘導自己組織化、および原子層堆積または化学蒸着による材料(金属または金属酸化物など)の選択的堆積、を含むリソグラフィマスクの作製を含んでよい。
上述したように、本技術の具体的なポストイメージング方法および適用は、基板および所望のデバイス設計に応じて、様々な材料およびプロセスのいずれを伴ってよい。イメージング層の処理は、基板材料と、基板を使用して作製される半導体デバイスの所望のフィーチャとに依存する場合がある。例えば、フィーチャは、典型的には、パターン形成を伴う露光ツールによって画定された露光された(ポジ型)または露光されていない(ネガ型)領域のいずれかにおいて現像液に対して選択的に可溶性になる膜の塗布を伴う様々な標準的なリソグラフィ技術によって基板上に作製されてよい。処理は、誘導自己組織化(DSA)ブロックコポリマー(BCP)、ゾルゲルの誘導自己組織化、および原子層堆積または化学蒸着による材料(金属または金属酸化物など)の選択的堆積、を含むリソグラフィマスクの作製を含んでよい。
例えば、いくつかの実施形態では、イメージング層および基板の処理によりポジ型マスクが作製される。そのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程と;
照射された領域を希フッ化水素酸水溶液(HF)または希水酸化テトラメチルアンモニウム水溶液(TMAH)と接触させて、露出されたヒドロキシル終端表面をエッチングし、下にある基板材料(非晶質炭素など)を露出させる工程と;
露出された下層を酸素プラズマでエッチングする工程と、を含んでよい。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程と;
照射された領域を希フッ化水素酸水溶液(HF)または希水酸化テトラメチルアンモニウム水溶液(TMAH)と接触させて、露出されたヒドロキシル終端表面をエッチングし、下にある基板材料(非晶質炭素など)を露出させる工程と;
露出された下層を酸素プラズマでエッチングする工程と、を含んでよい。
いくつかの実施形態では、イメージング層および基板の処理は、ネガ型レジストを作製する。例えば、そのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程と;
金属酸化物ハードマスクをヒドロキシル終端領域上に、例えばALDによって選択的に堆積する工程と;
例えば、H2、CH4、またはBCl3ベースのプラズマを使用して、基板の露出されていない領域(すなわち、ヒドロカルビル終端SnOxを有する領域)を除去して、下にある基板(例えば、非晶質炭素)を露出させる工程と;
露出された下にある基板を酸素プラズマでエッチングする工程と、を含んでよい。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程と;
金属酸化物ハードマスクをヒドロキシル終端領域上に、例えばALDによって選択的に堆積する工程と;
例えば、H2、CH4、またはBCl3ベースのプラズマを使用して、基板の露出されていない領域(すなわち、ヒドロカルビル終端SnOxを有する領域)を除去して、下にある基板(例えば、非晶質炭素)を露出させる工程と;
露出された下にある基板を酸素プラズマでエッチングする工程と、を含んでよい。
1つのそのようなプロセスの要素が、図5のプロセスフローに示される。図6および図7A、図7Bは、それぞれ、そのようなネガ型レジストプロセスの1つで(露出された表面のヒドロキシル化から始めて)形成されるマスクの一般的な概略的なアーキテクチャと、例示的な化学構造を示す。
代替的なネガ型レジストプロセスの要素を図8に示す。このプロセスでは、照射された領域における水素終端SnOxは酸化されない。むしろ、金属または金属酸化物のハードマスクが、照射された領域のSn-H表面上に、例えばALDによって堆積される。水素化物表面への金属のALD堆積の一般的な方法と条件は、Kwonらによる、Substrate Selectivity of (tBu-Allyl)Co(CO)3 during Thermal Atomic Layer Deposition of Cobalt,24 Chem.Mater.1025(2012)、および、Lemaireらによる、Understanding inherent substrate selectivity during atomic layer deposition:Effect of surface preparation,hydroxyl density,and metal oxide composition on nucleation mechanisms during tungsten ALD,146 J.Chem.Phys.052811(2017)、に記載されるものを含む。そのようなプロセスでは、基板は、照射からALDの堆積まで真空下に維持される場合があり、プロセス中の材料ハンドリング手順が単純化され、製造において効率化がもたらされる可能性がある。図9は、代替的なネガ型レジストプロセスで形成され際のマスクの一般的なアーキテクチャを示す。
いくつかの実施形態では、イメージング層および基板の処理は、ネガ型パターン形成を使用する。例えば、そのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程と;
金属ゾルゲル酸化物(例えば、硝酸を含むpH2のスピンコーティングされたテトラエチルオルトシリケート)の溶液をヒドロキシル終端領域上に選択的に堆積させて、照射された領域上に金属酸化物エッチングマスクを形成する工程と;
未反応のゾルゲル溶液を(例えば、リンスにより)除去する工程と;
基板の露出されていない領域(すなわち、ヒドロカルビル終端SnOxを有する領域)を、例えば水素またはメタンプラズマを使用して除去して、下にある基板(例えば、非晶質炭素)を露出させる工程と;
露出された下にある基板を酸素プラズマでエッチングする工程と、を含んでよい。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程と;
金属ゾルゲル酸化物(例えば、硝酸を含むpH2のスピンコーティングされたテトラエチルオルトシリケート)の溶液をヒドロキシル終端領域上に選択的に堆積させて、照射された領域上に金属酸化物エッチングマスクを形成する工程と;
未反応のゾルゲル溶液を(例えば、リンスにより)除去する工程と;
基板の露出されていない領域(すなわち、ヒドロカルビル終端SnOxを有する領域)を、例えば水素またはメタンプラズマを使用して除去して、下にある基板(例えば、非晶質炭素)を露出させる工程と;
露出された下にある基板を酸素プラズマでエッチングする工程と、を含んでよい。
ゾルゲルを作製するための材料および方法は、Henchらによる、The Sol-Gel Process,90 Chem. Rev.33(1990)、およびLuらによる、Continuous formation of supported cubic and hexagonal mesoporous films by sol-gel dip-coating,389 Nature 364(1997)、に記載されている。そのようなプロセスの要素が、図10のプロセスフローに示される。
いくつかの実施形態では、イメージング層および基板を処理する工程は、ブロックコポリマーを堆積および自己組織化して、イメージング表面の親水性および疎水性領域をパターン形成して、ハードマスクを作製する工程を含む。例えば、ハードマスクを作製するためのそのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程と;
表面をブロックコポリマー反応物でコーティングする工程と;
表面をアニーリングして、組織化されたブロックコポリマーを作製する工程と;
ブロックコポリマーの置換基を選択的に除去してマスクを形成する工程と;
露出された下層を酸素プラズマでエッチングする工程と、を含んでよい。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
例えば、照射された領域を空気または水と反応させて、照射された領域における水素終端SnOxを酸化してヒドロキシル終端SnOxを形成する工程と;
表面をブロックコポリマー反応物でコーティングする工程と;
表面をアニーリングして、組織化されたブロックコポリマーを作製する工程と;
ブロックコポリマーの置換基を選択的に除去してマスクを形成する工程と;
露出された下層を酸素プラズマでエッチングする工程と、を含んでよい。
いくつかの実施形態では、ブロックコポリマー反応物でコーティングする前に、イメージング表面の親水性領域(すなわち、照射された領域)に親水性金属酸化物が堆積されて、ブロックコポリマーの自己組織化を誘導するトポグラフィが作製されてよい。自己組織化ブロックコポリマーを作製するための材料および方法は、Hamley,Nanostructure fabrication using block copolymers,14 Nanotechnology R39(2003)に記載されている。そのようなプロセスの要素が、図11のプロセスフローに示される。この手法により、フィーチャのサイズを縮小し、BCPの自己組織化に関連する欠陥を削減することができる。
本技術はまた、基板上へのハードマスクなどの無電解金属デバイス構造の選択的成長のための方法を提供する。無電解堆積(ELD)による導電性コバルト、ニッケル、または銅のフィーチャの、その後の選択的成長のために、例えば表面を酸化金属イオン(Pd+2塩類など)の水溶液に曝露させて、触媒Pd「シード」原子層を選択的に堆積させてよい。他の実施形態では、もはや疎水性ではない薄いスズベースのイメージング層の露出され「脱保護された」領域が、濃縮HFまたはシュウ酸溶液などの酸性水溶液エッチング剤への短時間の曝露によって選択的にエッチング除去されてよい。
例えば、そのような方法は、
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
基板の露出された領域(すなわち、Sn-H表面部分を有する領域)上にパラジウム(Pd)活性化層を選択的に堆積させる工程と;
無電解堆積により、Pd活性化層上に金属、例えばコバルトを堆積させる工程と、を含む。
本技術の方法によって作製された基板のイメージング層の領域を選択的に照射する工程と;
基板の露出された領域(すなわち、Sn-H表面部分を有する領域)上にパラジウム(Pd)活性化層を選択的に堆積させる工程と;
無電解堆積により、Pd活性化層上に金属、例えばコバルトを堆積させる工程と、を含む。
様々な実施形態では、堆積された金属は、コバルト、ニッケル、銅、またはそれらの混合物などの後期遷移金属である。本技術のメカニズム、機能、または有用性を限定するものではないが、いくつかの実施形態では、そのような方法は、金属のブランケット堆積とそれに続くパターン形成を伴う除去を含む、当該技術分野において既知のサブトラクティブ技術とは対照的に、そのようなフィーチャが必要な場合にのみ基板上に金属フィーチャを堆積するための単純なアディティブパターン形成手法を提供してよいと考えられる。そのようなプロセスの要素が、図12のプロセスフローに示され、図13に図示されている。
結論
次世代のリソグラフィ技術を使用して化学的に異なる領域にパターン形成されてよいイメージング層を基板表面上に作製するための方法(すなわち、表面イメージング)が提供される。得られたパターン形成された膜を、例えば、半導体デバイスを生産するためのリソグラフィマスクとして使用してよい。
次世代のリソグラフィ技術を使用して化学的に異なる領域にパターン形成されてよいイメージング層を基板表面上に作製するための方法(すなわち、表面イメージング)が提供される。得られたパターン形成された膜を、例えば、半導体デバイスを生産するためのリソグラフィマスクとして使用してよい。
本明細書に記載される実施例および実施形態は例示のみを目的としており、それに照らして、様々な修正または変更が当業者に提案されるであろうことが理解されている。明確化のために様々な詳細が省略されているが、様々な設計の代替形態が実現されてもよい。したがって、本実施例は、例示的であって限定的ではないと見なされるべきであり、本開示は、本明細書に記載される詳細に限定されず、本開示および添付の特許請求の範囲の範囲内において修正されてよい。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
基板上にイメージング層を作製する方法であって、前記方法は、
露出したヒドロキシル基を含む表面を有する基板を提供する工程と;
前記基板の前記表面上にイメージング層としてヒドロカルビル終端SnO x 膜を形成する工程と、を有し、前記ヒドロカルビル終端SnO x 膜は、前記イメージング層に照射することによって開裂可能なスズ-炭素結合を有する、方法。
適用例2:
適用例1の方法であって、前記ヒドロカルビル終端SnO x 膜の前記イメージング層を形成する前記工程は、前記基板の前記表面を、ヒドロカルビル置換スズキャッピング剤と接触させる工程を含み、前記ヒドロカルビル置換スズキャッピング剤は、前記イメージング層への照射によって、スズ-炭素結合開裂を受ける、方法。
適用例3:
適用例1の方法であって、前記ヒドロカルビル置換スズキャッピング剤は、前記表面と接触する溶液からの可溶性金属酸化物前駆体の付着または成長を防止するためのブロック剤として機能する、方法。
適用例4:
適用例1~3のいずれか一項の方法であって、前記ヒドロカルビル置換スズキャッピング剤の化学式が、
R n SnX 4-n
であり、Rはベータ水素を含むC 2 -C 10 アルキルまたは置換アルキルであり、Xは、前記露出したヒドロキシル基のヒドロキシル基との反応による脱離基であり、n=1~3である、方法。
適用例5:
適用例4の方法であって、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、およびベータ位置にヘテロ原子置換基を有するそれらの誘導体、からなる群から選択される、方法。
適用例6:
適用例4または5の方法であって、Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、またはジエチルアミド)、アルコール(例えば、t-ブトキシ、イソプロポキシ)、およびハロゲン(例えば、F、Cl、Br、またはI)、からなる群から選択される、方法。
適用例7:
適用例2の方法であって、前記ヒドロカルビル置換スズキャッピング剤は、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ、ジ(t-ブチル)ジ(ジメチルアミノ)スズ、secブチルトリス(ジメチルアミノ)スズ、n-ペンチルトリス(ジメチルアミノ)スズ、イソブチルトリス(ジメチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ、およびイソプロピルトリス(t-ブトキシ)スズ、からなる群から選択される、方法。
適用例8:
適用例1~7のいずれか一項の方法であって、前記基板は、非晶質炭素(a-C)、SnO x 、SiO 2 、SiO x N y 、SiO x C、Si 3 N 4 、TiO 2 、TiN、W、Wドープ炭素、WO x 、HfO 2 、ZrO 2 、Al 2 O 3 、またはBi 2 O 3 を含む、方法。
適用例9:
適用例1~8のいずれか一項の方法であって、前記提供する工程は、基板材料の前記表面上にヒドロキシル終端SnO x 層を形成する工程を含む、方法。
適用例10:
適用例9の方法であって、前記形成する工程は、気相堆積によって前記表面上にヒドロキシル終端SnO x 層を堆積する工程を含む、方法。
適用例11:
適用例10の方法であって、前記堆積する工程は、Sn-X n と酸素含有カウンター反応物との反応を含み、Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、ジエチルアミド)、アルコール(t-ブトキシ、イソプロポキシ)、またはハロゲン(例えば、F、Cl、Br、およびI)である、方法。
適用例12:
適用例11の方法であって、Sn-X n は、SnCl 4 、SnI 4 、またはSn(NR 2 ) 4 であり、Rは、メチルもしくはエチル、またはSn(t-BuO) 4 である、方法。
適用例13:
適用例11または12の方法であって、前記酸素含有カウンター反応物は、水、過酸化水素、ギ酸、アルコール、酸素、オゾン、酸素プラズマ、水プラズマ、およびそれらの組み合わせからなる群から選択される、方法。
適用例14:
適用例10~13のいずれか一項の方法であって、前記気相堆積は、化学蒸着(CVD)、原子層堆積(ALD)、プラズマ強化化学蒸着(PECVD)、またはプラズマ強化原子層堆積(PEALD)である、方法。
適用例15:
適用例10~14のいずれか一項の方法であって、前記堆積する工程は、前記Sn-X n を堆積する工程と、前記酸素含有カウンター反応物を堆積する工程とのALD周期的プロセスである、方法。
適用例16:
適用例10~14のいずれか一項の方法であって、前記堆積する工程は、前記Sn-X n および前記酸素含有カウンター反応物を同時に堆積する工程を含むCVDプロセスである、方法。
適用例17:
適用例1~16のいずれか一項の方法であって、前記イメージング層は、0.5nm~5nmの厚さを有する、方法。
適用例18:
適用例1~17のいずれか一項の方法であって、前記基板は、下にあるトポグラフィカルフィーチャを備える、方法。
適用例19:
適用例1~18のいずれか一項の方法であって、前記イメージング層に照射して、少なくとも1つの露出された領域を形成する工程を更に含み、前記ヒドロカルビル終端SnO x は、露出された領域において水素終端SnO x に変換される、方法。
適用例20:
適用例19の方法であって、前記照射する工程は、DUV、EUV、X線、または電子ビーム放射の使用を含む、方法。
適用例21:
適用例19の方法であって、前記イメージング層への照射によって、前記基板材料の前記表面上のヒドロキシ終端SnO x 層が、放射線の吸収を向上させる、方法。
適用例22:
適用例1~21のいずれか一項の方法であって、前記照射する工程はEUV放射の使用を含む、方法。
適用例23:
基板の表面にリソグラフィハードマスクを作製する方法であって、
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnO x を含むイメージング層を前記表面上に堆積する工程と;
選択的に前記イメージング層に照射する工程であって、ヒドロカルビル終端された前記イメージング層のSnO x 部分においてヒドロカルビル置換が除去されている、および/または、水素終端SnO x に変換されている、照射された領域と、前記イメージング層が前記ヒドロカルビル終端SnO x を含む照射されていない領域と、を前記イメージング層が含む、工程と;
前記照射された領域、前記照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、前記イメージング層に材料を追加する、または前記イメージング層から材料を除去することによって、前記イメージング層を処理する工程と、を含む方法。
適用例24:
適用例23の方法であって、前記提供する工程は、気相堆積によって前記基板材料の前記表面上にヒドロキシル終端SnO x 層を堆積する工程を含む、方法。
適用例25:
適用例23の方法であって、前記ヒドロカルビル終端SnO x 膜の前記イメージング層を堆積する前記工程は、前記基板の前記表面を、ヒドロカルビル置換スズキャッピング剤と接触させる工程を含み、前記ヒドロカルビル置換スズキャッピング剤は、前記イメージング層への照射によって、スズ-炭素結合開裂を受ける、方法。
適用例26:
適用例25の方法であって、前記ヒドロカルビル置換スズキャッピング剤の化学式が、
R n SnX 4-n
であり、Rはベータ水素を有するC 2 -C 10 アルキルまたは置換アルキルであり、Xは前記露出したヒドロキシル基のヒドロキシル基との反応による好適な脱離基であり、n=1~3である、方法。
適用例27:
適用例26の方法であって、前記ヒドロカルビル置換スズキャッピング剤が、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、およびt-ブチルトリス(t-ブトキシ)スズ、またはn-ブチル(トリス(t-ブトキシ)スズである、方法。
適用例28:
適用例23~27のいずれか一項の方法であって、前記照射は、DUV、EUV、X線、または電子ビーム放射の使用を含む、方法。
適用例29:
適用例28の方法であって、前記照射は、EUV放射の使用を含む、方法。
適用例30:
適用例23の方法であって、ヒドロカルビル終端された前記イメージング層の前記SnO x 部分における前記ヒドロカルビル置換は、ベータ水素脱離により水素終端SnO x に変換される、方法。
適用例31:
適用例23~30のいずれか一項の方法であって、前記処理する工程は、前記照射された領域における前記水素終端SnO x を酸化してヒドロキシ終端SnO x を形成する工程を含む、方法。
適用例32:
適用例31の方法であって、前記酸化する工程は、前記照射された領域を酸素または水に曝露させる工程を含む、方法。
適用例33:
適用例31の方法であって、前記処理する工程は、前記照射された領域の前記ヒドロキシ終端SnO x を除去して、下にある前記基板材料を露出させる工程を含み、下にある基板材料が非晶質炭素を含む、方法。
適用例34:
適用例33の方法であって、前記除去する工程は、前記照射された領域を、希フッ化水素酸、または希水酸化テトラメチルアンモニウム水溶液(TMAH)で処理する工程を含む、方法。
適用例35:
適用例33の方法であって、前記処理する工程は、酸素プラズマを使用して、下にある非晶質炭素の前記基板材料をエッチングする工程を更に含む、方法。
適用例36:
適用例31の方法であって、前記処理する工程は、前記照射された領域の前記ヒドロキシ終端SnO x 上に金属酸化物ハードマスクを堆積する工程を更に含む、方法。
適用例37:
適用例36の方法であって、前記金属酸化物ハードマスクが、SnO x 、SiO 2 、SiO x N y 、SiO x C、TiO 2 、WO x 、HfO 2 、ZrO 2 、Al 2 O 3 およびBi 2 O 3 、からなる群から選択される金属酸化物を含む、方法。
適用例38:
適用例23の方法であって、前記イメージング層に選択的に照射することによって生成された前記水素終端SnO x 上にのみ、原子層堆積によって金属層を選択的に堆積する工程を更に含む、方法。
適用例39:
適用例23の方法であって、前記照射されていない領域の前記ヒドロカルビル終端SnO x が水素またはメタンプラズマによって除去されて、下にある前記基板材料が露出され、下にある基板材料が非晶質炭素を含む、方法。
適用例40:
適用例39の方法であって、前記処理する工程は、酸素プラズマを使用して、下にある前記基板をエッチングする工程を更に含む、方法。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
基板上にイメージング層を作製する方法であって、前記方法は、
露出したヒドロキシル基を含む表面を有する基板を提供する工程と;
前記基板の前記表面上にイメージング層としてヒドロカルビル終端SnO x 膜を形成する工程と、を有し、前記ヒドロカルビル終端SnO x 膜は、前記イメージング層に照射することによって開裂可能なスズ-炭素結合を有する、方法。
適用例2:
適用例1の方法であって、前記ヒドロカルビル終端SnO x 膜の前記イメージング層を形成する前記工程は、前記基板の前記表面を、ヒドロカルビル置換スズキャッピング剤と接触させる工程を含み、前記ヒドロカルビル置換スズキャッピング剤は、前記イメージング層への照射によって、スズ-炭素結合開裂を受ける、方法。
適用例3:
適用例1の方法であって、前記ヒドロカルビル置換スズキャッピング剤は、前記表面と接触する溶液からの可溶性金属酸化物前駆体の付着または成長を防止するためのブロック剤として機能する、方法。
適用例4:
適用例1~3のいずれか一項の方法であって、前記ヒドロカルビル置換スズキャッピング剤の化学式が、
R n SnX 4-n
であり、Rはベータ水素を含むC 2 -C 10 アルキルまたは置換アルキルであり、Xは、前記露出したヒドロキシル基のヒドロキシル基との反応による脱離基であり、n=1~3である、方法。
適用例5:
適用例4の方法であって、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、およびベータ位置にヘテロ原子置換基を有するそれらの誘導体、からなる群から選択される、方法。
適用例6:
適用例4または5の方法であって、Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、またはジエチルアミド)、アルコール(例えば、t-ブトキシ、イソプロポキシ)、およびハロゲン(例えば、F、Cl、Br、またはI)、からなる群から選択される、方法。
適用例7:
適用例2の方法であって、前記ヒドロカルビル置換スズキャッピング剤は、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ、ジ(t-ブチル)ジ(ジメチルアミノ)スズ、secブチルトリス(ジメチルアミノ)スズ、n-ペンチルトリス(ジメチルアミノ)スズ、イソブチルトリス(ジメチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ、およびイソプロピルトリス(t-ブトキシ)スズ、からなる群から選択される、方法。
適用例8:
適用例1~7のいずれか一項の方法であって、前記基板は、非晶質炭素(a-C)、SnO x 、SiO 2 、SiO x N y 、SiO x C、Si 3 N 4 、TiO 2 、TiN、W、Wドープ炭素、WO x 、HfO 2 、ZrO 2 、Al 2 O 3 、またはBi 2 O 3 を含む、方法。
適用例9:
適用例1~8のいずれか一項の方法であって、前記提供する工程は、基板材料の前記表面上にヒドロキシル終端SnO x 層を形成する工程を含む、方法。
適用例10:
適用例9の方法であって、前記形成する工程は、気相堆積によって前記表面上にヒドロキシル終端SnO x 層を堆積する工程を含む、方法。
適用例11:
適用例10の方法であって、前記堆積する工程は、Sn-X n と酸素含有カウンター反応物との反応を含み、Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、ジエチルアミド)、アルコール(t-ブトキシ、イソプロポキシ)、またはハロゲン(例えば、F、Cl、Br、およびI)である、方法。
適用例12:
適用例11の方法であって、Sn-X n は、SnCl 4 、SnI 4 、またはSn(NR 2 ) 4 であり、Rは、メチルもしくはエチル、またはSn(t-BuO) 4 である、方法。
適用例13:
適用例11または12の方法であって、前記酸素含有カウンター反応物は、水、過酸化水素、ギ酸、アルコール、酸素、オゾン、酸素プラズマ、水プラズマ、およびそれらの組み合わせからなる群から選択される、方法。
適用例14:
適用例10~13のいずれか一項の方法であって、前記気相堆積は、化学蒸着(CVD)、原子層堆積(ALD)、プラズマ強化化学蒸着(PECVD)、またはプラズマ強化原子層堆積(PEALD)である、方法。
適用例15:
適用例10~14のいずれか一項の方法であって、前記堆積する工程は、前記Sn-X n を堆積する工程と、前記酸素含有カウンター反応物を堆積する工程とのALD周期的プロセスである、方法。
適用例16:
適用例10~14のいずれか一項の方法であって、前記堆積する工程は、前記Sn-X n および前記酸素含有カウンター反応物を同時に堆積する工程を含むCVDプロセスである、方法。
適用例17:
適用例1~16のいずれか一項の方法であって、前記イメージング層は、0.5nm~5nmの厚さを有する、方法。
適用例18:
適用例1~17のいずれか一項の方法であって、前記基板は、下にあるトポグラフィカルフィーチャを備える、方法。
適用例19:
適用例1~18のいずれか一項の方法であって、前記イメージング層に照射して、少なくとも1つの露出された領域を形成する工程を更に含み、前記ヒドロカルビル終端SnO x は、露出された領域において水素終端SnO x に変換される、方法。
適用例20:
適用例19の方法であって、前記照射する工程は、DUV、EUV、X線、または電子ビーム放射の使用を含む、方法。
適用例21:
適用例19の方法であって、前記イメージング層への照射によって、前記基板材料の前記表面上のヒドロキシ終端SnO x 層が、放射線の吸収を向上させる、方法。
適用例22:
適用例1~21のいずれか一項の方法であって、前記照射する工程はEUV放射の使用を含む、方法。
適用例23:
基板の表面にリソグラフィハードマスクを作製する方法であって、
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnO x を含むイメージング層を前記表面上に堆積する工程と;
選択的に前記イメージング層に照射する工程であって、ヒドロカルビル終端された前記イメージング層のSnO x 部分においてヒドロカルビル置換が除去されている、および/または、水素終端SnO x に変換されている、照射された領域と、前記イメージング層が前記ヒドロカルビル終端SnO x を含む照射されていない領域と、を前記イメージング層が含む、工程と;
前記照射された領域、前記照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、前記イメージング層に材料を追加する、または前記イメージング層から材料を除去することによって、前記イメージング層を処理する工程と、を含む方法。
適用例24:
適用例23の方法であって、前記提供する工程は、気相堆積によって前記基板材料の前記表面上にヒドロキシル終端SnO x 層を堆積する工程を含む、方法。
適用例25:
適用例23の方法であって、前記ヒドロカルビル終端SnO x 膜の前記イメージング層を堆積する前記工程は、前記基板の前記表面を、ヒドロカルビル置換スズキャッピング剤と接触させる工程を含み、前記ヒドロカルビル置換スズキャッピング剤は、前記イメージング層への照射によって、スズ-炭素結合開裂を受ける、方法。
適用例26:
適用例25の方法であって、前記ヒドロカルビル置換スズキャッピング剤の化学式が、
R n SnX 4-n
であり、Rはベータ水素を有するC 2 -C 10 アルキルまたは置換アルキルであり、Xは前記露出したヒドロキシル基のヒドロキシル基との反応による好適な脱離基であり、n=1~3である、方法。
適用例27:
適用例26の方法であって、前記ヒドロカルビル置換スズキャッピング剤が、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、およびt-ブチルトリス(t-ブトキシ)スズ、またはn-ブチル(トリス(t-ブトキシ)スズである、方法。
適用例28:
適用例23~27のいずれか一項の方法であって、前記照射は、DUV、EUV、X線、または電子ビーム放射の使用を含む、方法。
適用例29:
適用例28の方法であって、前記照射は、EUV放射の使用を含む、方法。
適用例30:
適用例23の方法であって、ヒドロカルビル終端された前記イメージング層の前記SnO x 部分における前記ヒドロカルビル置換は、ベータ水素脱離により水素終端SnO x に変換される、方法。
適用例31:
適用例23~30のいずれか一項の方法であって、前記処理する工程は、前記照射された領域における前記水素終端SnO x を酸化してヒドロキシ終端SnO x を形成する工程を含む、方法。
適用例32:
適用例31の方法であって、前記酸化する工程は、前記照射された領域を酸素または水に曝露させる工程を含む、方法。
適用例33:
適用例31の方法であって、前記処理する工程は、前記照射された領域の前記ヒドロキシ終端SnO x を除去して、下にある前記基板材料を露出させる工程を含み、下にある基板材料が非晶質炭素を含む、方法。
適用例34:
適用例33の方法であって、前記除去する工程は、前記照射された領域を、希フッ化水素酸、または希水酸化テトラメチルアンモニウム水溶液(TMAH)で処理する工程を含む、方法。
適用例35:
適用例33の方法であって、前記処理する工程は、酸素プラズマを使用して、下にある非晶質炭素の前記基板材料をエッチングする工程を更に含む、方法。
適用例36:
適用例31の方法であって、前記処理する工程は、前記照射された領域の前記ヒドロキシ終端SnO x 上に金属酸化物ハードマスクを堆積する工程を更に含む、方法。
適用例37:
適用例36の方法であって、前記金属酸化物ハードマスクが、SnO x 、SiO 2 、SiO x N y 、SiO x C、TiO 2 、WO x 、HfO 2 、ZrO 2 、Al 2 O 3 およびBi 2 O 3 、からなる群から選択される金属酸化物を含む、方法。
適用例38:
適用例23の方法であって、前記イメージング層に選択的に照射することによって生成された前記水素終端SnO x 上にのみ、原子層堆積によって金属層を選択的に堆積する工程を更に含む、方法。
適用例39:
適用例23の方法であって、前記照射されていない領域の前記ヒドロカルビル終端SnO x が水素またはメタンプラズマによって除去されて、下にある前記基板材料が露出され、下にある基板材料が非晶質炭素を含む、方法。
適用例40:
適用例39の方法であって、前記処理する工程は、酸素プラズマを使用して、下にある前記基板をエッチングする工程を更に含む、方法。
Claims (40)
- 基板上にイメージング層を作製する方法であって、前記方法は、
露出したヒドロキシル基を含む表面を有する基板を提供する工程と;
前記基板の前記表面上にイメージング層としてヒドロカルビル終端SnOx膜を形成する工程と、を有し、前記ヒドロカルビル終端SnOx膜は、前記イメージング層に照射することによって開裂可能なスズ-炭素結合を有する、方法。 - 請求項1に記載の方法であって、前記ヒドロカルビル終端SnOx膜の前記イメージング層を形成する前記工程は、前記基板の前記表面を、ヒドロカルビル置換スズキャッピング剤と接触させる工程を含み、前記ヒドロカルビル置換スズキャッピング剤は、前記イメージング層への照射によって、スズ-炭素結合開裂を受ける、方法。
- 請求項1に記載の方法であって、前記ヒドロカルビル置換スズキャッピング剤は、前記表面と接触する溶液からの可溶性金属酸化物前駆体の付着または成長を防止するためのブロック剤として機能する、方法。
- 請求項1~3のいずれか一項に記載の方法であって、前記ヒドロカルビル置換スズキャッピング剤の化学式が、
RnSnX4-n
であり、Rはベータ水素を含むC2-C10アルキルまたは置換アルキルであり、Xは、前記露出したヒドロキシル基のヒドロキシル基との反応による脱離基であり、n=1~3である、方法。 - 請求項4に記載の方法であって、Rは、t-ブチル、t-ペンチル、t-ヘキシル、シクロヘキシル、イソプロピル、イソブチル、sec-ブチル、n-ブチル、n-ペンチル、またはn-ヘキシル、およびベータ位置にヘテロ原子置換基を有するそれらの誘導体、からなる群から選択される、方法。
- 請求項4または5に記載の方法であって、Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、またはジエチルアミド)、アルコール(例えば、t-ブトキシ、イソプロポキシ)、およびハロゲン(例えば、F、Cl、Br、またはI)、からなる群から選択される、方法。
- 請求項2に記載の方法であって、前記ヒドロカルビル置換スズキャッピング剤は、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ、ジ(t-ブチル)ジ(ジメチルアミノ)スズ、secブチルトリス(ジメチルアミノ)スズ、n-ペンチルトリス(ジメチルアミノ)スズ、イソブチルトリス(ジメチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、t-ブチルトリス(t-ブトキシ)スズ、n-ブチル(トリス(t-ブトキシ)スズ、およびイソプロピルトリス(t-ブトキシ)スズ、からなる群から選択される、方法。
- 請求項1~7のいずれか一項に記載の方法であって、前記基板は、非晶質炭素(a-C)、SnOx、SiO2、SiOxNy、SiOxC、Si3N4、TiO2、TiN、W、Wドープ炭素、WOx、HfO2、ZrO2、Al2O3、またはBi2O3を含む、方法。
- 請求項1~8のいずれか一項に記載の方法であって、前記提供する工程は、前記基板材料の前記表面上にヒドロキシル終端SnOx層を形成する工程を含む、方法。
- 請求項9に記載の方法であって、前記形成する工程は、気相堆積によって前記表面上にヒドロキシル終端SnOx層を堆積する工程を含む、方法。
- 請求項10に記載の方法であって、前記堆積する工程は、Sn-Xnと酸素含有カウンター反応物との反応を含み、Xは、ジアルキルアミド(例えば、ジメチルアミド、メチルエチルアミド、ジエチルアミド)、アルコール(t-ブトキシ、イソプロポキシ)、またはハロゲン(例えば、F、Cl、Br、およびI)である、方法。
- 請求項11に記載の方法であって、Sn-Xnは、SnCl4、SnI4、またはSn(NR2)4であり、Rは、メチルもしくはエチル、またはSn(t-BuO)4である、方法。
- 請求項11または12に記載の方法であって、前記酸素含有カウンター反応物は、水、過酸化水素、ギ酸、アルコール、酸素、オゾン、酸素プラズマ、水プラズマ、およびそれらの組み合わせからなる群から選択される、方法。
- 請求項10~13のいずれか一項に記載の方法であって、前記気相堆積は、化学蒸着(CVD)、原子層堆積(ALD)、プラズマ強化化学蒸着(PECVD)、またはプラズマ強化原子層堆積(PEALD)である、方法。
- 請求項10~14のいずれか一項に記載の方法であって、前記堆積する工程は、前記Sn-Xnを堆積する工程と、前記酸素含有カウンター反応物を堆積する工程とのALD周期的プロセスである、方法。
- 請求項10~14のいずれか一項に記載の方法であって、前記堆積する工程は、前記Sn-Xnおよび前記酸素含有カウンター反応物を同時に堆積する工程を含むCVDプロセスである、方法。
- 請求項1~16のいずれか一項に記載の方法であって、前記イメージング層は、0.5nm~5nmの厚さを有する、方法。
- 請求項1~17のいずれか一項に記載の方法であって、前記基板は、下にあるトポグラフィカルフィーチャを備える、方法。
- 請求項1~18のいずれか一項に記載の方法であって、前記イメージング層に照射して、少なくとも1つの露出された領域を形成する工程を更に含み、前記ヒドロカルビル終端SnOxは、露出された領域において水素終端SnOxに変換される、方法。
- 請求項19に記載の方法であって、前記照射する工程は、DUV、EUV、X線、または電子ビーム放射の使用を含む、方法。
- 請求項19に記載の方法であって、前記イメージング層への照射によって、前記基板材料の前記表面上のヒドロキシ終端SnOx層が、放射線の吸収を向上させる、方法。
- 請求項1~21のいずれか一項に記載の方法であって、前記照射する工程はEUV放射の使用を含む、方法。
- 基板の表面にリソグラフィハードマスクを作製する方法であって、
露出したヒドロキシル基を含む表面を有する基板材料を含む基板を提供する工程と;
ヒドロカルビル終端SnOxを含むイメージング層を前記表面上に堆積する工程と;
選択的に前記イメージング層に照射する工程であって、ヒドロカルビル終端された前記イメージング層のSnOx部分においてヒドロカルビル置換が除去されている、および/または、水素終端SnOxに変換されている、照射された領域と、前記イメージング層が前記ヒドロカルビル終端SnOxを含む照射されていない領域と、を前記イメージング層が含む、工程と;
前記照射された領域、前記照射されていない領域、またはその両方を、1つ以上の試薬と反応させて、選択的に、前記イメージング層に材料を追加する、または前記イメージング層から材料を除去することによって、前記イメージング層を処理する工程と、を含む方法。 - 請求項23に記載の方法であって、前記提供する工程は、気相堆積によって前記基板材料の前記表面上にヒドロキシル終端SnOx層を堆積する工程を含む、方法。
- 請求項23に記載の方法であって、前記ヒドロカルビル終端SnOx膜の前記イメージング層を堆積する前記工程は、前記基板の前記表面を、ヒドロカルビル置換スズキャッピング剤と接触させる工程を含み、前記ヒドロカルビル置換スズキャッピング剤は、前記イメージング層への照射によって、スズ-炭素結合開裂を受ける、方法。
- 請求項25に記載の方法であって、前記ヒドロカルビル置換スズキャッピング剤の化学式が、
RnSnX4-n
であり、Rはベータ水素を有するC2-C10アルキルまたは置換アルキルであり、Xは前記露出したヒドロキシル基のヒドロキシル基との反応による好適な脱離基であり、n=1~3である、方法。 - 請求項26に記載の方法であって、前記ヒドロカルビル置換スズキャッピング剤が、t-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、t-ブチルトリス(ジエチルアミノ)スズ、イソプロピルトリス(ジメチルアミノ)スズ、およびt-ブチルトリス(t-ブトキシ)スズ、またはn-ブチル(トリス(t-ブトキシ)スズである、方法。
- 請求項23~27のいずれか一項に記載の方法であって、前記照射は、DUV、EUV、X線、または電子ビーム放射の使用を含む、方法。
- 請求項28に記載の方法であって、前記照射は、EUV放射の使用を含む、方法。
- 請求項23に記載の方法であって、ヒドロカルビル終端された前記イメージング層の前記SnOx部分における前記ヒドロカルビル置換は、ベータ水素脱離により水素終端SnOxに変換される、方法。
- 請求項23~30のいずれか一項に記載の方法であって、前記処理する工程は、前記照射された領域における前記水素終端SnOxを酸化してヒドロキシ終端SnOxを形成する工程を含む、方法。
- 請求項31に記載の方法であって、前記酸化する工程は、前記照射された領域を酸素または水に曝露させる工程を含む、方法。
- 請求項31に記載の方法であって、前記処理する工程は、前記照射された領域の前記ヒドロキシ終端SnOxを除去して、下にある前記基板材料を露出させる工程を含み、下にある基板材料が非晶質炭素を含む、方法。
- 請求項33に記載の方法であって、前記除去する工程は、前記照射された領域を、希フッ化水素酸、または希水酸化テトラメチルアンモニウム水溶液(TMAH)で処理する工程を含む、方法。
- 請求項33に記載の方法であって、前記処理する工程は、酸素プラズマを使用して、下にある非晶質炭素の前記基板材料をエッチングする工程を更に含む、方法。
- 請求項31に記載の方法であって、前記処理する工程は、前記照射された領域の前記ヒドロキシ終端SnOx上に金属酸化物ハードマスクを堆積する工程を更に含む、方法。
- 請求項36に記載の方法であって、前記金属酸化物ハードマスクが、SnOx、SiO2、SiOxNy、SiOxC、TiO2、WOx、HfO2、ZrO2、Al2O3およびBi2O3、からなる群から選択される金属酸化物を含む、方法。
- 請求項23に記載の方法であって、前記イメージング層に選択的に照射することによって生成された前記水素終端SnOx上にのみ、原子層堆積によって金属層を選択的に堆積する工程を更に含む、方法。
- 請求項23に記載の方法であって、前記照射されていない領域の前記ヒドロカルビル終端SnOxが水素またはメタンプラズマによって除去されて、下にある前記基板材料が露出され、下にある基板材料が非晶質炭素を含む、方法。
- 請求項39に記載の方法であって、前記処理する工程は、酸素プラズマを使用して、下にある前記基板をエッチングする工程を更に含む、方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862767198P | 2018-11-14 | 2018-11-14 | |
US62/767,198 | 2018-11-14 | ||
PCT/US2019/060742 WO2020102085A1 (en) | 2018-11-14 | 2019-11-11 | Methods for making hard masks useful in next-generation lithography |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2022507368A true JP2022507368A (ja) | 2022-01-18 |
Family
ID=70732140
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2021526240A Pending JP2022507368A (ja) | 2018-11-14 | 2019-11-11 | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US11921427B2 (ja) |
JP (1) | JP2022507368A (ja) |
KR (1) | KR20210076999A (ja) |
CN (1) | CN113039486A (ja) |
TW (1) | TW202036673A (ja) |
WO (1) | WO2020102085A1 (ja) |
Families Citing this family (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102306612B1 (ko) | 2014-01-31 | 2021-09-29 | 램 리써치 코포레이션 | 진공-통합된 하드마스크 프로세스 및 장치 |
JP2022507368A (ja) | 2018-11-14 | 2022-01-18 | ラム リサーチ コーポレーション | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
CN114730133A (zh) * | 2019-10-02 | 2022-07-08 | 朗姆研究公司 | 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性 |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
EP3919979A1 (en) | 2020-06-02 | 2021-12-08 | Imec VZW | Resistless patterning mask |
CN115699255A (zh) * | 2020-07-02 | 2023-02-03 | 应用材料公司 | 用于光刻应用的光刻胶层上的碳的选择性沉积 |
CN115768777A (zh) * | 2020-07-03 | 2023-03-07 | 恩特格里斯公司 | 制备有机锡化合物的方法 |
TWI821891B (zh) * | 2021-01-28 | 2023-11-11 | 美商恩特葛瑞斯股份有限公司 | 製備有機錫化合物的方法 |
US11697660B2 (en) * | 2021-01-29 | 2023-07-11 | Entegris, Inc. | Process for preparing organotin compounds |
US20220390847A1 (en) * | 2021-06-08 | 2022-12-08 | Applied Materials, Inc. | Metal oxide resist patterning with electrical field guided post-exposure bake |
CA3231519A1 (en) | 2021-09-13 | 2023-03-16 | Gelest, Inc. | Method and precursors for producing oxostannate rich films |
WO2023043682A1 (en) * | 2021-09-14 | 2023-03-23 | Entegris, Inc. | Synthesis of fluoroalkyl tin precursors |
Family Cites Families (355)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3576755A (en) | 1964-09-24 | 1971-04-27 | American Cyanamid Co | Photochromism in plastic film containing inorganic materials |
US3442648A (en) | 1965-06-16 | 1969-05-06 | American Cyanamid Co | Photographic dodging method |
US3513010A (en) | 1966-07-11 | 1970-05-19 | Kalvar Corp | Conversion foil |
US3529963A (en) | 1966-08-23 | 1970-09-22 | Du Pont | Image-yielding elements and processes |
US3720515A (en) | 1971-10-20 | 1973-03-13 | Trw Inc | Microelectronic circuit production |
JPS5119974A (en) | 1974-08-12 | 1976-02-17 | Fujitsu Ltd | Kibanjoheno pataanno sentakukeiseiho |
US4341592A (en) | 1975-08-04 | 1982-07-27 | Texas Instruments Incorporated | Method for removing photoresist layer from substrate by ozone treatment |
US4292384A (en) | 1977-09-30 | 1981-09-29 | Horizons Research Incorporated | Gaseous plasma developing and etching process employing low voltage DC generation |
US4241165A (en) | 1978-09-05 | 1980-12-23 | Motorola, Inc. | Plasma development process for photoresist |
US4328298A (en) | 1979-06-27 | 1982-05-04 | The Perkin-Elmer Corporation | Process for manufacturing lithography masks |
US4396704A (en) | 1981-04-22 | 1983-08-02 | Bell Telephone Laboratories, Incorporated | Solid state devices produced by organometallic plasma developed resists |
JPS58108744A (ja) | 1981-12-23 | 1983-06-28 | Mitsubishi Electric Corp | 集積回路の製造方法 |
JPS6074626A (ja) | 1983-09-30 | 1985-04-26 | Fujitsu Ltd | ウエハー処理方法及び装置 |
JPS60115222A (ja) | 1983-11-28 | 1985-06-21 | Tokyo Ohka Kogyo Co Ltd | 微細パタ−ン形成方法 |
JPS6112653U (ja) | 1984-06-25 | 1986-01-24 | 日本電気株式会社 | バキユ−ムチヤツク |
JPS61234035A (ja) | 1985-03-29 | 1986-10-18 | Fujitsu Ltd | 遠紫外線照射ドライ現像方法 |
JPS62160981A (ja) | 1986-01-08 | 1987-07-16 | Mitsubishi Heavy Ind Ltd | 石油タンカ−の改造法 |
JPH0778629B2 (ja) | 1986-12-19 | 1995-08-23 | ミノルタ株式会社 | ポジ型レジスト膜及びそのレジストパターンの形成方法 |
US5079600A (en) * | 1987-03-06 | 1992-01-07 | Schnur Joel M | High resolution patterning on solid substrates |
US5077085A (en) | 1987-03-06 | 1991-12-31 | Schnur Joel M | High resolution metal patterning of ultra-thin films on solid substrates |
US4824763A (en) | 1987-07-30 | 1989-04-25 | Ekc Technology, Inc. | Triamine positive photoresist stripping composition and prebaking process |
US4814243A (en) | 1987-09-08 | 1989-03-21 | American Telephone And Telegraph Company | Thermal processing of photoresist materials |
US4834834A (en) | 1987-11-20 | 1989-05-30 | Massachusetts Institute Of Technology | Laser photochemical etching using surface halogenation |
US4845053A (en) | 1988-01-25 | 1989-07-04 | John Zajac | Flame ashing process for stripping photoresist |
KR920004176B1 (ko) | 1988-03-16 | 1992-05-30 | 후지쓰 가부시끼가이샤 | 레지스트 패턴 형성 공정 |
EP0465064B1 (en) | 1990-06-29 | 1998-12-09 | Fujitsu Limited | Process for forming patterns |
JPH04226462A (ja) | 1990-06-29 | 1992-08-17 | Fujitsu Ltd | レジスト材料およびそれを用いるレジストパターンの形成方法 |
KR960000375B1 (ko) | 1991-01-22 | 1996-01-05 | 가부시끼가이샤 도시바 | 반도체장치의 제조방법 |
US5322765A (en) | 1991-11-22 | 1994-06-21 | International Business Machines Corporation | Dry developable photoresist compositions and method for use thereof |
GEP20002074B (en) | 1992-05-19 | 2000-05-10 | Westaim Tech Inc Ca | Modified Material and Method for its Production |
JPH0637050A (ja) | 1992-07-14 | 1994-02-10 | Oki Electric Ind Co Ltd | 半導体ウエハのドライエッチング装置 |
JP2601112B2 (ja) | 1992-11-30 | 1997-04-16 | 日本電気株式会社 | 半導体装置の製造方法 |
JPH06232041A (ja) | 1993-02-05 | 1994-08-19 | Hitachi Ltd | パターン形成方法 |
KR960010727B1 (ko) | 1993-06-03 | 1996-08-07 | 현대전자산업 주식회사 | 반도체 제조용 포토레지스트 제거방법 |
EP0635884A1 (de) | 1993-07-13 | 1995-01-25 | Siemens Aktiengesellschaft | Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie |
TW276353B (ja) | 1993-07-15 | 1996-05-21 | Hitachi Seisakusyo Kk | |
JPH07106224A (ja) | 1993-10-01 | 1995-04-21 | Hitachi Ltd | パターン形成方法 |
JP3309095B2 (ja) | 1994-08-30 | 2002-07-29 | 株式会社日立製作所 | ドライ現像方法及び半導体装置の製造方法 |
US5534312A (en) | 1994-11-14 | 1996-07-09 | Simon Fraser University | Method for directly depositing metal containing patterned films |
JP3258199B2 (ja) | 1995-05-24 | 2002-02-18 | 沖電気工業株式会社 | 半導体装置のパターン形成方法 |
JPH08339950A (ja) | 1995-06-09 | 1996-12-24 | Sony Corp | フォトレジストパターン形成方法及びフォトレジスト処理装置 |
US6007963A (en) | 1995-09-21 | 1999-12-28 | Sandia Corporation | Method for extreme ultraviolet lithography |
US20020031920A1 (en) | 1996-01-16 | 2002-03-14 | Lyding Joseph W. | Deuterium treatment of semiconductor devices |
US5925494A (en) | 1996-02-16 | 1999-07-20 | Massachusetts Institute Of Technology | Vapor deposition of polymer films for photolithography |
US6313035B1 (en) | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
JPH1041206A (ja) | 1996-07-19 | 1998-02-13 | Toshiba Corp | 半導体処理装置および処理方法 |
US5914278A (en) | 1997-01-23 | 1999-06-22 | Gasonics International | Backside etch process chamber and method |
JPH10209133A (ja) | 1997-01-28 | 1998-08-07 | Toshiba Corp | プラズマ灰化装置およびプラズマ灰化方法 |
US6261938B1 (en) | 1997-02-12 | 2001-07-17 | Quantiscript, Inc. | Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography |
KR100265766B1 (ko) | 1997-09-04 | 2000-09-15 | 윤종용 | 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법 |
US6290779B1 (en) | 1998-06-12 | 2001-09-18 | Tokyo Electron Limited | Systems and methods for dry cleaning process chambers |
US6348239B1 (en) | 2000-04-28 | 2002-02-19 | Simon Fraser University | Method for depositing metal and metal oxide films and patterned films |
WO2000003058A1 (en) | 1998-07-10 | 2000-01-20 | Ball Semiconductor, Inc. | Cvd photo resist and deposition |
ATE368756T1 (de) | 1998-09-16 | 2007-08-15 | Applied Materials Inc | Verfahren zum aufbringen von silizium mit hoher rate bei niedrigen druck |
JP2000305273A (ja) | 1998-11-19 | 2000-11-02 | Applied Materials Inc | 遠紫外線ドライフォトリソグラフィー |
KR100520670B1 (ko) | 1999-05-06 | 2005-10-10 | 주식회사 하이닉스반도체 | 포토레지스트 패턴의 형성방법 |
US20010024769A1 (en) | 2000-02-08 | 2001-09-27 | Kevin Donoghue | Method for removing photoresist and residues from semiconductor device surfaces |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US20040191423A1 (en) | 2000-04-28 | 2004-09-30 | Ruan Hai Xiong | Methods for the deposition of silver and silver oxide films and patterned films |
US20060001064A1 (en) | 2000-04-28 | 2006-01-05 | Hill Ross H | Methods for the lithographic deposition of ferroelectric materials |
KR100406174B1 (ko) | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드 |
JP2002015971A (ja) | 2000-06-27 | 2002-01-18 | Matsushita Electric Ind Co Ltd | パターン形成方法及び半導体装置の製造装置 |
KR100398312B1 (ko) | 2000-06-30 | 2003-09-19 | 한국과학기술원 | 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법 |
JP2002100558A (ja) | 2000-09-26 | 2002-04-05 | Nikon Corp | 厚膜レジスト塗布方法 |
US6368924B1 (en) | 2000-10-31 | 2002-04-09 | Motorola, Inc. | Amorphous carbon layer for improved adhesion of photoresist and method of fabrication |
US6797439B1 (en) | 2001-03-30 | 2004-09-28 | Schott Lithotec Ag | Photomask with back-side anti-reflective layer and method of manufacture |
US6686132B2 (en) | 2001-04-20 | 2004-02-03 | The Regents Of The University Of California | Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake |
US6933673B2 (en) | 2001-04-27 | 2005-08-23 | Semiconductor Energy Laboratory Co., Ltd. | Luminescent device and process of manufacturing the same |
US20020185067A1 (en) | 2001-06-07 | 2002-12-12 | International Business Machines Corporation | Apparatus and method for in-situ cleaning of a throttle valve in a CVD system |
US6926957B2 (en) | 2001-06-29 | 2005-08-09 | 3M Innovative Properties Company | Water-based ink-receptive coating |
US6448097B1 (en) | 2001-07-23 | 2002-09-10 | Advanced Micro Devices Inc. | Measure fluorescence from chemical released during trim etch |
JP2003213001A (ja) | 2001-11-13 | 2003-07-30 | Sekisui Chem Co Ltd | 光反応性組成物 |
US6843858B2 (en) | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
JP3806702B2 (ja) | 2002-04-11 | 2006-08-09 | Hoya株式会社 | 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法 |
KR100815671B1 (ko) | 2002-04-11 | 2008-03-20 | 호야 가부시키가이샤 | 반사형 마스크 블랭크, 반사형 마스크 및 이들의 제조방법 |
US7169440B2 (en) | 2002-04-16 | 2007-01-30 | Tokyo Electron Limited | Method for removing photoresist and etch residues |
DE10219173A1 (de) | 2002-04-30 | 2003-11-20 | Philips Intellectual Property | Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung |
US6841943B2 (en) | 2002-06-27 | 2005-01-11 | Lam Research Corp. | Plasma processor with electrode simultaneously responsive to plural frequencies |
KR101101698B1 (ko) | 2002-10-21 | 2011-12-30 | 나노잉크, 인크. | 나노미터-수준으로 제어된 구조, 이의 제작을 위한 방법 및장치, 및 마스크 복구, 강화, 및 제작에의 적용 |
JP4153783B2 (ja) | 2002-12-09 | 2008-09-24 | 株式会社東芝 | X線平面検出器 |
JP4325301B2 (ja) | 2003-01-31 | 2009-09-02 | 東京エレクトロン株式会社 | 載置台、処理装置及び処理方法 |
JP2004259786A (ja) | 2003-02-24 | 2004-09-16 | Canon Inc | 露光装置 |
WO2004095551A1 (en) | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
US20040203256A1 (en) | 2003-04-08 | 2004-10-14 | Seagate Technology Llc | Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication |
JP4640177B2 (ja) | 2003-09-24 | 2011-03-02 | 日立化成工業株式会社 | 感光性エレメント、レジストパターンの形成方法及びプリント配線板の製造方法 |
GB0323805D0 (en) | 2003-10-10 | 2003-11-12 | Univ Southampton | Synthesis of germanium sulphide and related compounds |
US7126128B2 (en) | 2004-02-13 | 2006-10-24 | Kabushiki Kaisha Toshiba | Flat panel x-ray detector |
JP4459666B2 (ja) | 2004-03-12 | 2010-04-28 | 株式会社半導体エネルギー研究所 | 除去装置 |
JP2006253282A (ja) | 2005-03-09 | 2006-09-21 | Ebara Corp | 金属膜のパターン形成方法 |
US20060068173A1 (en) | 2004-09-30 | 2006-03-30 | Ebara Corporation | Methods for forming and patterning of metallic films |
WO2006064592A1 (ja) | 2004-12-17 | 2006-06-22 | Osaka University | 極端紫外光・x線源用ターゲット及びその製造方法 |
KR100601979B1 (ko) | 2004-12-30 | 2006-07-18 | 삼성전자주식회사 | 반도체 웨이퍼의 베이킹 장치 |
KR100607201B1 (ko) | 2005-01-04 | 2006-08-01 | 삼성전자주식회사 | 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법 |
US7381633B2 (en) | 2005-01-27 | 2008-06-03 | Hewlett-Packard Development Company, L.P. | Method of making a patterned metal oxide film |
US7365026B2 (en) | 2005-02-01 | 2008-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | CxHy sacrificial layer for cu/low-k interconnects |
US7868304B2 (en) | 2005-02-07 | 2011-01-11 | Asml Netherlands B.V. | Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby |
US7608367B1 (en) | 2005-04-22 | 2009-10-27 | Sandia Corporation | Vitreous carbon mask substrate for X-ray lithography |
JP2006310681A (ja) | 2005-05-02 | 2006-11-09 | Dainippon Screen Mfg Co Ltd | 基板処理方法および装置 |
TWI338171B (en) | 2005-05-02 | 2011-03-01 | Au Optronics Corp | Display device and wiring structure and method for forming the same |
KR100705416B1 (ko) | 2005-06-15 | 2007-04-10 | 삼성전자주식회사 | 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법 |
US7691559B2 (en) | 2005-06-30 | 2010-04-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Immersion lithography edge bead removal |
JP4530933B2 (ja) | 2005-07-21 | 2010-08-25 | 大日本スクリーン製造株式会社 | 基板熱処理装置 |
US7482280B2 (en) | 2005-08-15 | 2009-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming a lithography pattern |
JP4530980B2 (ja) | 2005-08-26 | 2010-08-25 | 東京応化工業株式会社 | 膜形成用材料およびパターン形成方法 |
US7909960B2 (en) | 2005-09-27 | 2011-03-22 | Lam Research Corporation | Apparatus and methods to remove films on bevel edge and backside of wafer |
US8664124B2 (en) | 2005-10-31 | 2014-03-04 | Novellus Systems, Inc. | Method for etching organic hardmasks |
JP5055743B2 (ja) | 2005-11-04 | 2012-10-24 | セントラル硝子株式会社 | 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。 |
US20070117040A1 (en) | 2005-11-21 | 2007-05-24 | International Business Machines Corporation | Water castable-water strippable top coats for 193 nm immersion lithography |
WO2007064376A2 (en) | 2005-11-28 | 2007-06-07 | Honeywell International Inc. | Organometallic precursors and related intermediates for deposition processes, their production and methods of use |
JP2007207530A (ja) | 2006-01-31 | 2007-08-16 | Toshiba Corp | 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置 |
US7662718B2 (en) | 2006-03-09 | 2010-02-16 | Micron Technology, Inc. | Trim process for critical dimension control for integrated circuits |
CN101180532A (zh) | 2006-04-20 | 2008-05-14 | 多弗电子股份有限公司 | 用于恶劣环境的涂层以及使用所述涂层的传感器 |
KR100721206B1 (ko) | 2006-05-04 | 2007-05-23 | 주식회사 하이닉스반도체 | 반도체소자의 스토리지노드 컨택 형성방법 |
US20070287073A1 (en) | 2006-06-07 | 2007-12-13 | Francis Goodwin | Lithography systems and methods |
JP2008010353A (ja) * | 2006-06-30 | 2008-01-17 | Seiko Epson Corp | マスクの製造方法、配線パターンの製造方法、及びプラズマディスプレイの製造方法 |
US7718542B2 (en) | 2006-08-25 | 2010-05-18 | Lam Research Corporation | Low-k damage avoidance during bevel etch processing |
US7771895B2 (en) | 2006-09-15 | 2010-08-10 | Applied Materials, Inc. | Method of etching extreme ultraviolet light (EUV) photomasks |
JP2008091215A (ja) | 2006-10-02 | 2008-04-17 | Nitto Kasei Co Ltd | 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜 |
JP4428717B2 (ja) | 2006-11-14 | 2010-03-10 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理システム |
EP2105798A4 (en) | 2007-01-17 | 2012-02-08 | Sony Corp | DEVELOPMENT SOLUTION AND METHOD FOR PRODUCING A FINE STRUCTURED MATERIAL |
KR101392291B1 (ko) | 2007-04-13 | 2014-05-07 | 주식회사 동진쎄미켐 | 포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법 |
US8105660B2 (en) | 2007-06-28 | 2012-01-31 | Andrew W Tudhope | Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component |
WO2009049048A2 (en) | 2007-10-12 | 2009-04-16 | Ultradots, Inc. | Solar modules with enhanced efficiencies via use of spectral concentrators |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
KR100921932B1 (ko) | 2007-10-25 | 2009-10-15 | 포항공과대학교 산학협력단 | 다원자분자를 이용한 패터닝방법 |
SG153748A1 (en) | 2007-12-17 | 2009-07-29 | Asml Holding Nv | Lithographic method and apparatus |
EP2247767A1 (en) | 2007-12-20 | 2010-11-10 | NV Bekaert SA | A substrate coated with amorphous hydrogenated carbon |
US20090197086A1 (en) | 2008-02-04 | 2009-08-06 | Sudha Rathi | Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography |
SG188140A1 (en) | 2008-02-08 | 2013-03-28 | Lam Res Corp | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
JP4978501B2 (ja) | 2008-02-14 | 2012-07-18 | 日本電気株式会社 | 熱型赤外線検出器及びその製造方法 |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5017147B2 (ja) | 2008-03-06 | 2012-09-05 | 東京エレクトロン株式会社 | 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム |
US7985513B2 (en) | 2008-03-18 | 2011-07-26 | Advanced Micro Devices, Inc. | Fluorine-passivated reticles for use in lithography and methods for fabricating the same |
US20090286402A1 (en) | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
US20090286397A1 (en) | 2008-05-15 | 2009-11-19 | Lam Research Corporation | Selective inductive double patterning |
JP2009294439A (ja) | 2008-06-05 | 2009-12-17 | Toshiba Corp | レジストパターン形成方法 |
JP5171422B2 (ja) | 2008-06-19 | 2013-03-27 | ルネサスエレクトロニクス株式会社 | 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法 |
US20090321707A1 (en) | 2008-06-25 | 2009-12-31 | Matthew Metz | Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films |
US20090325387A1 (en) | 2008-06-26 | 2009-12-31 | Applied Materials, Inc. | Methods and apparatus for in-situ chamber dry clean during photomask plasma etching |
JP5391594B2 (ja) | 2008-07-02 | 2014-01-15 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4966922B2 (ja) | 2008-07-07 | 2012-07-04 | 東京エレクトロン株式会社 | レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法 |
KR20110050427A (ko) | 2008-07-14 | 2011-05-13 | 아사히 가라스 가부시키가이샤 | Euv 리소그래피용 반사형 마스크 블랭크 및 euv 리소그래피용 반사형 마스크 |
WO2010011974A1 (en) | 2008-07-24 | 2010-01-28 | Kovio, Inc. | Aluminum inks and methods of making the same, methods for depositing aluminum inks, and films formed by printing and/or depositing an aluminum ink |
CN103151053B (zh) | 2008-10-14 | 2015-12-09 | 旭化成电子材料株式会社 | 热反应型抗蚀剂材料、使用它的热光刻用层压体以及使用它们的模具的制造方法 |
US8105954B2 (en) | 2008-10-20 | 2012-01-31 | aiwan Semiconductor Manufacturing Company, Ltd. | System and method of vapor deposition |
US7977235B2 (en) | 2009-02-02 | 2011-07-12 | Tokyo Electron Limited | Method for manufacturing a semiconductor device with metal-containing cap layers |
JP2010239087A (ja) | 2009-03-31 | 2010-10-21 | Tokyo Electron Ltd | 基板支持装置及び基板支持方法 |
JP5193121B2 (ja) | 2009-04-17 | 2013-05-08 | 東京エレクトロン株式会社 | レジスト塗布現像方法 |
US8114306B2 (en) | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
US20100310790A1 (en) | 2009-06-09 | 2010-12-09 | Nanya Technology Corporation | Method of forming carbon-containing layer |
JPWO2011040385A1 (ja) | 2009-09-29 | 2013-02-28 | 東京エレクトロン株式会社 | Ni膜の成膜方法 |
US8247332B2 (en) | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
WO2011081151A1 (ja) | 2009-12-28 | 2011-07-07 | 旭硝子株式会社 | 感光性組成物、隔壁、カラーフィルタおよび有機el素子 |
JP5544914B2 (ja) | 2010-02-15 | 2014-07-09 | 大日本印刷株式会社 | 反射型マスクの製造方法 |
US8178439B2 (en) | 2010-03-30 | 2012-05-15 | Tokyo Electron Limited | Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
WO2011137059A2 (en) | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Amorphous carbon deposition method for improved stack defectivity |
US9176377B2 (en) | 2010-06-01 | 2015-11-03 | Inpria Corporation | Patterned inorganic layers, radiation based patterning compositions and corresponding methods |
JP5392190B2 (ja) | 2010-06-01 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理システム及び基板処理方法 |
US8138097B1 (en) | 2010-09-20 | 2012-03-20 | Kabushiki Kaisha Toshiba | Method for processing semiconductor structure and device based on the same |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US8470711B2 (en) | 2010-11-23 | 2013-06-25 | International Business Machines Corporation | Tone inversion with partial underlayer etch for semiconductor device formation |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
JP5572560B2 (ja) | 2011-01-05 | 2014-08-13 | 東京エレクトロン株式会社 | 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法 |
US8836082B2 (en) | 2011-01-31 | 2014-09-16 | Brewer Science Inc. | Reversal lithography approach by selective deposition of nanoparticles |
US8778816B2 (en) | 2011-02-04 | 2014-07-15 | Applied Materials, Inc. | In situ vapor phase surface activation of SiO2 |
JP5708522B2 (ja) | 2011-02-15 | 2015-04-30 | 信越化学工業株式会社 | レジスト材料及びこれを用いたパターン形成方法 |
JP5842338B2 (ja) | 2011-02-17 | 2016-01-13 | セイコーエプソン株式会社 | 波長可変干渉フィルター、光モジュール、および電子機器 |
US9281207B2 (en) | 2011-02-28 | 2016-03-08 | Inpria Corporation | Solution processible hardmasks for high resolution lithography |
FR2975823B1 (fr) | 2011-05-27 | 2014-11-21 | Commissariat Energie Atomique | Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc |
US8709706B2 (en) | 2011-06-15 | 2014-04-29 | Applied Materials, Inc. | Methods and apparatus for performing multiple photoresist layer development and etching processes |
US9261784B2 (en) | 2011-07-08 | 2016-02-16 | Asml Netherlands B.V. | Lithographic patterning process and resists to use therein |
US8741775B2 (en) | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
CN102610516B (zh) | 2011-07-22 | 2015-01-21 | 上海华力微电子有限公司 | 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法 |
EP2587518B1 (en) | 2011-10-31 | 2018-12-19 | IHI Hauzer Techno Coating B.V. | Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece |
US8808561B2 (en) | 2011-11-15 | 2014-08-19 | Lam Research Coporation | Inert-dominant pulsing in plasma processing systems |
KR102061919B1 (ko) | 2011-11-21 | 2020-01-02 | 브레우어 사이언스 인코포레이션 | Euv 리소그래피용 보조층 |
US8809994B2 (en) | 2011-12-09 | 2014-08-19 | International Business Machines Corporation | Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate |
US20130177847A1 (en) | 2011-12-12 | 2013-07-11 | Applied Materials, Inc. | Photoresist for improved lithographic control |
US8691476B2 (en) | 2011-12-16 | 2014-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV mask and method for forming the same |
EP2608247A1 (en) | 2011-12-21 | 2013-06-26 | Imec | EUV photoresist encapsulation |
JP5705103B2 (ja) | 2011-12-26 | 2015-04-22 | 株式会社東芝 | パターン形成方法 |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
JP5919896B2 (ja) | 2011-12-28 | 2016-05-18 | 住友ベークライト株式会社 | 硬化膜の処理方法および半導体装置の製造方法 |
KR101920711B1 (ko) | 2012-01-16 | 2018-11-22 | 삼성전자주식회사 | 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법 |
SG193093A1 (en) | 2012-02-13 | 2013-09-30 | Novellus Systems Inc | Method for etching organic hardmasks |
CN103243310B (zh) | 2012-02-14 | 2017-04-12 | 诺发系统公司 | 在衬底表面上的等离子体激活的保形膜沉积的方法 |
US8703386B2 (en) | 2012-02-27 | 2014-04-22 | International Business Machines Corporation | Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications |
US9048294B2 (en) | 2012-04-13 | 2015-06-02 | Applied Materials, Inc. | Methods for depositing manganese and manganese nitrides |
WO2013172359A1 (ja) | 2012-05-14 | 2013-11-21 | コニカミノルタ株式会社 | ガスバリア性フィルム、ガスバリア性フィルムの製造方法及び電子デバイス |
SG195494A1 (en) | 2012-05-18 | 2013-12-30 | Novellus Systems Inc | Carbon deposition-etch-ash gap fill process |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US8969997B2 (en) | 2012-11-14 | 2015-03-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structures and methods of forming the same |
US8927989B2 (en) | 2012-11-28 | 2015-01-06 | International Business Machines Corporation | Voltage contrast inspection of deep trench isolation |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US10240230B2 (en) | 2012-12-18 | 2019-03-26 | Seastar Chemicals Inc. | Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
JP5913077B2 (ja) | 2012-12-18 | 2016-04-27 | 信越化学工業株式会社 | ポジ型レジスト材料及びこれを用いたパターン形成方法 |
JP6134522B2 (ja) | 2013-01-30 | 2017-05-24 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
JP6068171B2 (ja) | 2013-02-04 | 2017-01-25 | 株式会社日立ハイテクノロジーズ | 試料の処理方法および試料処理装置 |
US9304396B2 (en) | 2013-02-25 | 2016-04-05 | Lam Research Corporation | PECVD films for EUV lithography |
CN105190847A (zh) | 2013-03-08 | 2015-12-23 | 应用材料公司 | 具有适于保护抵抗氟等离子体的保护涂层的腔室部件 |
US9607904B2 (en) | 2013-03-11 | 2017-03-28 | Intermolecular, Inc. | Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices |
US9223220B2 (en) | 2013-03-12 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photo resist baking in lithography process |
US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
WO2014159427A1 (en) | 2013-03-14 | 2014-10-02 | Applied Materials, Inc | Resist hardening and development processes for semiconductor device manufacturing |
US10074544B2 (en) | 2013-04-23 | 2018-09-11 | Massachusetts Institute Of Technology | Developer free positive tone lithography by thermal direct write |
US20150020848A1 (en) | 2013-07-19 | 2015-01-22 | Lam Research Corporation | Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning |
US9310684B2 (en) | 2013-08-22 | 2016-04-12 | Inpria Corporation | Organometallic solution based high resolution patterning compositions |
US9372402B2 (en) | 2013-09-13 | 2016-06-21 | The Research Foundation For The State University Of New York | Molecular organometallic resists for EUV |
US9405204B2 (en) | 2013-09-18 | 2016-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of overlay in extreme ultra-violet (EUV) lithography |
US9653319B2 (en) | 2013-11-08 | 2017-05-16 | Tokyo Electron Limited | Method for using post-processing methods for accelerating EUV lithography |
JP5917477B2 (ja) | 2013-11-29 | 2016-05-18 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US9305839B2 (en) | 2013-12-19 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Curing photo resist for improving etching selectivity |
US9324606B2 (en) | 2014-01-09 | 2016-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned repairing process for barrier layer |
KR102306612B1 (ko) | 2014-01-31 | 2021-09-29 | 램 리써치 코포레이션 | 진공-통합된 하드마스크 프로세스 및 장치 |
TWI739285B (zh) | 2014-02-04 | 2021-09-11 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
CN111562720B (zh) | 2014-02-21 | 2023-09-29 | 东京毅力科创株式会社 | 光增感化学放大型抗蚀剂材料、图案形成方法、半导体器件、光刻用掩模、纳米压印用模板 |
KR102402923B1 (ko) | 2014-02-24 | 2022-05-27 | 도쿄엘렉트론가부시키가이샤 | 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스 |
KR102233577B1 (ko) | 2014-02-25 | 2021-03-30 | 삼성전자주식회사 | 반도체 소자의 패턴 형성 방법 |
US9977331B2 (en) | 2014-02-26 | 2018-05-22 | Nissan Chemical Industries, Ltd. | Resist overlayer film forming composition and method for producing semiconductor device including the same |
US10685846B2 (en) | 2014-05-16 | 2020-06-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor integrated circuit fabrication with pattern-reversing process |
US9377692B2 (en) | 2014-06-10 | 2016-06-28 | Applied Materials, Inc. | Electric/magnetic field guided acid diffusion |
CN106662816B (zh) | 2014-07-08 | 2020-10-23 | 东京毅力科创株式会社 | 负性显影剂相容性的光致抗蚀剂组合物及使用方法 |
GB201412201D0 (en) | 2014-07-09 | 2014-08-20 | Isis Innovation | Two-step deposition process |
US20160041471A1 (en) | 2014-08-07 | 2016-02-11 | International Business Machines Corporation | Acidified conductive water for developer residue removal |
WO2016035549A1 (ja) | 2014-09-02 | 2016-03-10 | 富士フイルム株式会社 | パターン形成方法、電子デバイスの製造方法、レジスト組成物、及び、レジスト膜 |
JP6572899B2 (ja) | 2014-09-17 | 2019-09-11 | Jsr株式会社 | パターン形成方法 |
US20160086864A1 (en) | 2014-09-24 | 2016-03-24 | Lam Research Corporation | Movable gas nozzle in drying module |
KR102319630B1 (ko) * | 2014-10-23 | 2021-10-29 | 인프리아 코포레이션 | 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법 |
US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9551924B2 (en) | 2015-02-12 | 2017-01-24 | International Business Machines Corporation | Structure and method for fixing phase effects on EUV mask |
JP6748098B2 (ja) | 2015-03-09 | 2020-08-26 | バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー | 抵抗ランダムアクセスメモリとして使用するための有機ケイ酸ガラス膜の堆積プロセス |
JP6404757B2 (ja) | 2015-03-27 | 2018-10-17 | 信越化学工業株式会社 | レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法 |
TWI625784B (zh) | 2015-04-02 | 2018-06-01 | 東京威力科創股份有限公司 | 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化 |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
EP3091103A1 (en) * | 2015-05-04 | 2016-11-09 | Centre National De La Recherche Scientifique | Process for obtaining patterned metal-oxide thin films deposited onto a substrate, filmed substrates obtained thereof, and semiconductor nanodevices comprising them |
DE102015208492A1 (de) | 2015-05-07 | 2016-11-10 | Reiner Diefenbach | Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung |
US9829790B2 (en) | 2015-06-08 | 2017-11-28 | Applied Materials, Inc. | Immersion field guided exposure and post-exposure bake process |
US9659771B2 (en) | 2015-06-11 | 2017-05-23 | Applied Materials, Inc. | Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
JP6817692B2 (ja) | 2015-08-27 | 2021-01-20 | 東京エレクトロン株式会社 | プラズマ処理方法 |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US10468249B2 (en) * | 2015-09-28 | 2019-11-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning process of a semiconductor structure with a middle layer |
EP3391148B1 (en) | 2015-10-13 | 2021-09-15 | Inpria Corporation | Organotin oxide hydroxide patterning compositions, precursors, and patterning |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US9996004B2 (en) | 2015-11-20 | 2018-06-12 | Lam Research Corporation | EUV photopatterning of vapor-deposited metal oxide-containing hardmasks |
KR102170659B1 (ko) | 2015-11-25 | 2020-10-27 | 오사카 유니버시티 | 레지스트패턴 형성방법 및 레지스트재료 |
JP6603115B2 (ja) | 2015-11-27 | 2019-11-06 | 信越化学工業株式会社 | ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法 |
US10503070B2 (en) | 2015-12-10 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photosensitive material and method of lithography |
JP6517678B2 (ja) | 2015-12-11 | 2019-05-22 | 株式会社Screenホールディングス | 電子デバイスの製造方法 |
US10948825B2 (en) | 2015-12-23 | 2021-03-16 | Asml Netherlands B.V. | Method for removing photosensitive material on a substrate |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
JP6742748B2 (ja) | 2016-02-17 | 2020-08-19 | 株式会社Screenホールディングス | 現像ユニット、基板処理装置、現像方法および基板処理方法 |
US10018920B2 (en) | 2016-03-04 | 2018-07-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography patterning with a gas phase resist |
GB201603988D0 (en) | 2016-03-08 | 2016-04-20 | Semblant Ltd | Plasma deposition method |
WO2017156388A1 (en) | 2016-03-11 | 2017-09-14 | Inpria Corporation | Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates |
WO2017176282A1 (en) | 2016-04-08 | 2017-10-12 | Intel Corporation | Two-stage bake photoresist with releasable quencher |
WO2017198418A1 (en) | 2016-05-19 | 2017-11-23 | Asml Netherlands B.V. | Resist compositions |
KR20170135760A (ko) | 2016-05-31 | 2017-12-08 | 도쿄엘렉트론가부시키가이샤 | 표면 처리에 의한 선택적 퇴적 |
EP3258317B1 (en) | 2016-06-16 | 2022-01-19 | IMEC vzw | Method for performing extreme ultra violet (euv) lithography |
WO2018004551A1 (en) | 2016-06-28 | 2018-01-04 | Intel Corporation | Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography |
US9824893B1 (en) | 2016-06-28 | 2017-11-21 | Lam Research Corporation | Tin oxide thin film spacers in semiconductor device manufacturing |
WO2018004646A1 (en) | 2016-07-01 | 2018-01-04 | Intel Corporation | Metal oxide resist materials |
US10866516B2 (en) | 2016-08-05 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-compound-removing solvent and method in lithography |
JP2018025686A (ja) | 2016-08-10 | 2018-02-15 | 株式会社リコー | 電界効果型トランジスタの製造方法、位置合わせ方法、露光装置 |
US10627719B2 (en) | 2016-08-12 | 2020-04-21 | Inpria Corporation | Methods of reducing metal residue in edge bead region from metal-containing resists |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
KR20190036547A (ko) | 2016-09-29 | 2019-04-04 | 후지필름 가부시키가이샤 | 처리액, 및 적층체의 처리 방법 |
KR101966808B1 (ko) | 2016-09-30 | 2019-04-08 | 세메스 주식회사 | 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치 |
US10755942B2 (en) | 2016-11-02 | 2020-08-25 | Massachusetts Institute Of Technology | Method of forming topcoat for patterning |
US10510538B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing EUV-induced material property changes |
JP6781031B2 (ja) | 2016-12-08 | 2020-11-04 | 東京エレクトロン株式会社 | 基板処理方法及び熱処理装置 |
US9929012B1 (en) | 2016-12-14 | 2018-03-27 | International Business Machines Corporation | Resist having tuned interface hardmask layer for EUV exposure |
US10866511B2 (en) | 2016-12-15 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photolithography method with developer composition |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
KR102047538B1 (ko) | 2017-02-03 | 2019-11-21 | 삼성에스디아이 주식회사 | 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법 |
KR20180093798A (ko) | 2017-02-13 | 2018-08-22 | 램 리써치 코포레이션 | 에어 갭들을 생성하는 방법 |
US10096477B2 (en) | 2017-02-15 | 2018-10-09 | International Business Machines Corporation | Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography |
WO2018173446A1 (ja) | 2017-03-22 | 2018-09-27 | Jsr株式会社 | パターン形成方法 |
JP2020095068A (ja) | 2017-03-31 | 2020-06-18 | 富士フイルム株式会社 | パターン形成方法、電子デバイスの製造方法 |
US20180308687A1 (en) | 2017-04-24 | 2018-10-25 | Lam Research Corporation | Euv photopatterning and selective deposition for negative pattern mask |
KR102030056B1 (ko) | 2017-05-02 | 2019-11-11 | 세메스 주식회사 | 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치 |
US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
US10745282B2 (en) | 2017-06-08 | 2020-08-18 | Applied Materials, Inc. | Diamond-like carbon film |
CA2975104A1 (en) | 2017-08-02 | 2019-02-02 | Seastar Chemicals Inc. | Organometallic compounds and methods for the deposition of high purity tin oxide |
JP6579173B2 (ja) | 2017-09-19 | 2019-09-25 | セイコーエプソン株式会社 | 電気光学装置、電気光学装置の駆動方法、及び、電子機器 |
US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
KR102067081B1 (ko) | 2017-11-01 | 2020-01-16 | 삼성에스디아이 주식회사 | 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법 |
KR102634520B1 (ko) | 2017-11-20 | 2024-02-06 | 인프리아 코포레이션 | 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
SG11202005150YA (en) | 2017-12-01 | 2020-06-29 | Applied Materials Inc | Highly etch selective amorphous carbon film |
WO2019125952A1 (en) | 2017-12-18 | 2019-06-27 | Tokyo Electron Limited | Plasma treatment method to enhance surface adhesion for lithography |
US10347486B1 (en) | 2017-12-19 | 2019-07-09 | International Business Machines Corporation | Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
KR102540963B1 (ko) | 2017-12-27 | 2023-06-07 | 삼성전자주식회사 | 미세 패턴 형성 방법 및 기판 처리 장치 |
KR20190085654A (ko) | 2018-01-11 | 2019-07-19 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
JP7005369B2 (ja) | 2018-02-05 | 2022-01-21 | キオクシア株式会社 | 薬液塗布装置および半導体デバイスの製造方法 |
JP7333305B2 (ja) | 2018-02-22 | 2023-08-24 | 株式会社ダイセル | 基板親水化処理剤 |
EP3776636A4 (en) | 2018-03-30 | 2021-12-22 | Lam Research Corporation | ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS |
TW202348612A (zh) | 2018-04-05 | 2023-12-16 | 美商英培雅股份有限公司 | 包含錫化合物的組合物及其應用 |
US10787466B2 (en) | 2018-04-11 | 2020-09-29 | Inpria Corporation | Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods |
US11673903B2 (en) | 2018-04-11 | 2023-06-13 | Inpria Corporation | Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods |
JP7101036B2 (ja) | 2018-04-26 | 2022-07-14 | 東京エレクトロン株式会社 | 処理液供給装置及び処理液供給方法 |
EP3791231A4 (en) | 2018-05-11 | 2022-01-26 | Lam Research Corporation | METHODS FOR MAKING EUV PATTERNABLE HARD MASKS |
JP7443250B2 (ja) | 2018-05-16 | 2024-03-05 | アプライド マテリアルズ インコーポレイテッド | 原子層自己整合基板の処理及び統合型ツールセット |
JP7085621B2 (ja) | 2018-05-29 | 2022-06-16 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置、及びコンピュータ読み取り可能な記録媒体 |
KR102211158B1 (ko) | 2018-06-08 | 2021-02-01 | 삼성에스디아이 주식회사 | 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법 |
WO2019241402A1 (en) | 2018-06-13 | 2019-12-19 | Brewer Science, Inc. | Adhesion layers for euv lithography |
TWI822595B (zh) | 2018-06-21 | 2023-11-11 | 美商英培雅股份有限公司 | 包含溶劑與單烷基錫三烷氧化物之混合物的溶液、及使用其的方法 |
US10840082B2 (en) | 2018-08-09 | 2020-11-17 | Lam Research Corporation | Method to clean SnO2 film from chamber |
FI129480B (en) | 2018-08-10 | 2022-03-15 | Pibond Oy | Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning |
JP7241486B2 (ja) | 2018-08-21 | 2023-03-17 | 東京エレクトロン株式会社 | マスクの形成方法 |
JP7213642B2 (ja) | 2018-09-05 | 2023-01-27 | 東京エレクトロン株式会社 | レジスト膜の製造方法 |
TW202016279A (zh) | 2018-10-17 | 2020-05-01 | 美商英培雅股份有限公司 | 圖案化有機金屬光阻及圖案化的方法 |
JP6816083B2 (ja) | 2018-10-22 | 2021-01-20 | キオクシア株式会社 | 半導体装置の製造方法 |
US10845704B2 (en) | 2018-10-30 | 2020-11-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance |
JP2022507368A (ja) | 2018-11-14 | 2022-01-18 | ラム リサーチ コーポレーション | 次世代リソグラフィにおいて有用なハードマスクを作製する方法 |
US20200174374A1 (en) | 2018-11-30 | 2020-06-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of storing photoresist coated substrates and semiconductor substrate container arrangement |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
CN109521657A (zh) | 2018-12-11 | 2019-03-26 | 中国科学院光电技术研究所 | 一种表面等离子体光刻中小分子光刻胶的干法显影方法 |
WO2020132281A1 (en) | 2018-12-20 | 2020-06-25 | Lam Research Corporation | Dry development of resists |
US11966158B2 (en) | 2019-01-30 | 2024-04-23 | Inpria Corporation | Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods |
US11498934B2 (en) | 2019-01-30 | 2022-11-15 | Inpria Corporation | Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods |
KR20210129739A (ko) | 2019-03-18 | 2021-10-28 | 램 리써치 코포레이션 | 극자외선 (Extreme Ultraviolet) 리소그래피 레지스트들의 거칠기 감소 |
KR20210134072A (ko) | 2019-04-12 | 2021-11-08 | 인프리아 코포레이션 | 유기금속 포토레지스트 현상제 조성물 및 처리 방법 |
CN113906552A (zh) | 2019-04-29 | 2022-01-07 | 朗姆研究公司 | 用于减法式金属蚀刻的原子层蚀刻 |
CN113785381A (zh) | 2019-04-30 | 2021-12-10 | 朗姆研究公司 | 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理 |
JP2022538040A (ja) | 2019-06-26 | 2022-08-31 | ラム リサーチ コーポレーション | ハロゲン化化学物質によるフォトレジスト現像 |
JP2022539721A (ja) | 2019-06-27 | 2022-09-13 | ラム リサーチ コーポレーション | フォトレジスト乾式蒸着のための装置 |
JP2022540789A (ja) | 2019-06-28 | 2022-09-20 | ラム リサーチ コーポレーション | 複数のパターニング放射吸収元素および/または垂直組成勾配を備えたフォトレジスト |
TW202113506A (zh) | 2019-06-28 | 2021-04-01 | 美商蘭姆研究公司 | 光阻膜的乾式腔室清潔 |
KR20220031649A (ko) | 2019-06-28 | 2022-03-11 | 램 리써치 코포레이션 | 금속-함유 레지스트의 리소그래피 성능을 향상시키기 위한 소성 (bake) 전략들 |
CN114730133A (zh) | 2019-10-02 | 2022-07-08 | 朗姆研究公司 | 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性 |
WO2021072042A1 (en) | 2019-10-08 | 2021-04-15 | Lam Research Corporation | Positive tone development of cvd euv resist films |
JP7189375B2 (ja) | 2020-01-15 | 2022-12-13 | ラム リサーチ コーポレーション | フォトレジスト接着および線量低減のための下層 |
US20230031955A1 (en) | 2020-02-04 | 2023-02-02 | Lam Research Corporation | Post application/exposure treatments to improve dry development performance of metal-containing euv resist |
WO2021178302A1 (en) | 2020-03-02 | 2021-09-10 | Inpria Corporation | Process environment for inorganic resist patterning |
US11822237B2 (en) | 2020-03-30 | 2023-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a semiconductor device |
US11705332B2 (en) | 2020-03-30 | 2023-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern |
US20230152701A1 (en) | 2020-03-30 | 2023-05-18 | Lam Research Corporation | Structure and method to achieve positive tone dry develop by a hermetic overlayer |
US20230185196A1 (en) | 2020-04-03 | 2023-06-15 | Lam Research Corporation | Pre-exposure photoresist curing to enhance euv lithographic performance |
US20230230811A1 (en) | 2020-06-22 | 2023-07-20 | Lam Research Corporation | Surface modification for metal-containing photoresist deposition |
US20230314954A1 (en) | 2020-06-22 | 2023-10-05 | Lam Research Corporation | Dry backside and bevel edge clean of photoresist |
KR20230159618A (ko) | 2020-07-07 | 2023-11-21 | 램 리써치 코포레이션 | 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스 |
JP2023535894A (ja) | 2020-07-17 | 2023-08-22 | ラム リサーチ コーポレーション | Sn(ii)前駆体からのフォトレジスト |
WO2022016124A1 (en) | 2020-07-17 | 2022-01-20 | Lam Research Corporation | Photoresists containing tantalum |
WO2022016123A1 (en) | 2020-07-17 | 2022-01-20 | Lam Research Corporation | Dry deposited photoresists with organic co-reactants |
CN116134380A (zh) | 2020-07-17 | 2023-05-16 | 朗姆研究公司 | 光敏混合膜的形成方法 |
JP2023534962A (ja) | 2020-07-17 | 2023-08-15 | ラム リサーチ コーポレーション | 金属含有フォトレジストの現像のための金属キレート剤 |
JP2023520391A (ja) | 2020-11-13 | 2023-05-17 | ラム リサーチ コーポレーション | フォトレジストのドライ除去用プロセスツール |
-
2019
- 2019-11-11 JP JP2021526240A patent/JP2022507368A/ja active Pending
- 2019-11-11 US US17/309,247 patent/US11921427B2/en active Active
- 2019-11-11 CN CN201980075389.7A patent/CN113039486A/zh active Pending
- 2019-11-11 WO PCT/US2019/060742 patent/WO2020102085A1/en active Application Filing
- 2019-11-11 KR KR1020217017916A patent/KR20210076999A/ko active IP Right Grant
- 2019-11-12 TW TW108140940A patent/TW202036673A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
WO2020102085A1 (en) | 2020-05-22 |
US20210397085A1 (en) | 2021-12-23 |
KR20210076999A (ko) | 2021-06-24 |
TW202036673A (zh) | 2020-10-01 |
US11921427B2 (en) | 2024-03-05 |
CN113039486A (zh) | 2021-06-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11921427B2 (en) | Methods for making hard masks useful in next-generation lithography | |
TWI746728B (zh) | 半導體處理裝置 | |
JP2024045257A (ja) | Euvパターン化可能ハードマスクを形成するための方法 | |
JP2021073505A (ja) | パターン形成された無機層、放射線によるパターン形成組成物、およびそれに対応する方法 | |
US8536068B2 (en) | Atomic layer deposition of photoresist materials and hard mask precursors | |
US6348239B1 (en) | Method for depositing metal and metal oxide films and patterned films | |
CN1312318C (zh) | 制备无机纳米管的方法 | |
JP5318217B2 (ja) | パターン形成方法 | |
TW201039072A (en) | Mask pattern forming method, fine pattern forming method, and film deposition apparatus | |
JP2004512672A (ja) | 電子材料製造法 | |
JP4474068B2 (ja) | 半導体素子のアルミニウム酸化膜形成方法 | |
US20180294155A1 (en) | Process for obtaining semiconductor nanodevices with patterned metal-oxide thin films deposited onto a substrate, and semiconductor nanodevices thereof | |
WO2022016128A1 (en) | Method of forming photo-sensitive hybrid films | |
JP4202054B2 (ja) | 薄膜パターンの形成方法 | |
KR102442676B1 (ko) | 오존가스를 이용한 펠리클 소재용 그래핀박막의 제조방법 | |
US6337109B1 (en) | Method of producing crystalline semiconductor | |
JPS5982732A (ja) | 半導体装置の製造方法 | |
JPH0883786A (ja) | 半導体装置の製造方法 | |
TWI729693B (zh) | 一種製作圖案化遮罩的方法及其中間結構 | |
JP3950967B2 (ja) | 真空紫外光を用いたSi−O−Si結合を含む固体化合物膜の酸化ケイ素への改質方法及びパターン形成方法 | |
KR100494970B1 (ko) | 광원자층 선택증착장치 | |
Song | Area Selective Deposition of Dielectric and Metal Thin Films by Controlled Nucleation During Atomic Layer Deposition and Etching | |
JPH0876352A (ja) | パターン形成方法 | |
JPH04273434A (ja) | 光cvd方法 | |
TW202338499A (zh) | Euv微影術用之euv活性膜 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210820 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20221111 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20231226 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20240319 |