JPWO2011040385A1 - Ni膜の成膜方法 - Google Patents

Ni膜の成膜方法 Download PDF

Info

Publication number
JPWO2011040385A1
JPWO2011040385A1 JP2011534238A JP2011534238A JPWO2011040385A1 JP WO2011040385 A1 JPWO2011040385 A1 JP WO2011040385A1 JP 2011534238 A JP2011534238 A JP 2011534238A JP 2011534238 A JP2011534238 A JP 2011534238A JP WO2011040385 A1 JPWO2011040385 A1 JP WO2011040385A1
Authority
JP
Japan
Prior art keywords
film
forming
containing nitrogen
gas
film containing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011534238A
Other languages
English (en)
Inventor
鈴木 幹夫
幹夫 鈴木
崇 西森
崇 西森
秀樹 湯浅
秀樹 湯浅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2011040385A1 publication Critical patent/JPWO2011040385A1/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Abstract

成膜原料としてニッケルアミジネートを用い、還元ガスとしてアンモニア、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種を用いたCVDにより基板上に窒素を含むNi膜を成膜する工程と、成膜された窒素を含むNi膜に水素ガスを供給して、Niを触媒として原子状水素を生成させ、生成した原子状水素により前記窒素を含むNi膜から窒素を脱離させる工程とを含むサイクルを、1回または複数回行う。

Description

本発明は、化学蒸着法(CVD)によりNi膜を成膜するNi膜の成膜方法に関する。
近時、半導体デバイスには、一層の動作の高速化と低消費電力化が求められており、例えば、MOS型半導体のソースおよびドレインのコンタクト部やゲート電極の低抵抗化を実現するために、サリサイドプロセスによりシリサイドを形成している。このようなシリサイドとして、シリコンの消費量が少なく、低抵抗化が可能なニッケルシリサイド(NiSi)が注目されている。
NiSi膜の形成には、Si基板またはポリシリコン膜上にスパッタリング等の物理蒸着法(PVD)によりニッケル(Ni)膜を成膜した後、不活性ガス中でアニールして反応させる方法が多用されている(例えば特開平9−153616号公報)。
また、Ni膜自体をDRAMのキャパシタ電極に使用しようとする試みもなされている。
しかし、半導体デバイスの微細化にともなってPVDではステップカバレッジが悪いという欠点があり、Ni膜をステップカバレッジが良好なCVDにより成膜する方法が検討されている(例えば国際公開第2007/116982号)。
Ni膜をCVDで成膜する際の成膜原料(プリカーサ)としては、ニッケルアミジネートを好適に用いることができるが、ニッケルアミジネートをプリカーサとして用いてNi膜を成膜する場合には、膜中にNが取り込まれてNi膜成膜の際に同時にニッケルナイトライド(NiN)が形成され、得られる膜は窒素を含有したNi膜となり、また、膜中にはその他にO(酸素)等の不純物も残存して、膜の抵抗は高いものとなってしまう。
したがって、本発明の目的は、ニッケルアミジネートを成膜原料として不純物の少ないNi膜を成膜するNi膜の成膜方法を提供することにある。
本発明によれば、成膜原料としてニッケルアミジネートを用い、還元ガスとしてアンモニア、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種を用いたCVDにより基板上に窒素を含むNi膜を成膜することと、前記窒素を含むNi膜に水素ガスを供給して、Niを触媒として原子状水素を生成させ、生成した原子状水素により前記窒素を含むNi膜から窒素を脱離させることとを含むサイクルを、1回または複数回行う、Ni膜の成膜方法が提供される。
また、本発明によれば、コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、成膜原料としてニッケルアミジネートを用い、還元ガスとしてアンモニア、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種を用いたCVDにより基板上に窒素を含むNi膜を成膜することと、前記窒素を含むNi膜に水素ガスを供給して、Niを触媒として原子状水素を生成させ、生成した原子状水素により前記窒素を含むNi膜から窒素を脱離させることとを含むサイクルを、1回または複数回行う、Ni膜の成膜方法が行われるように、コンピュータに前記成膜装置を制御させる記憶媒体が提供される。
本発明の一実施形態に係る金属膜の成膜方法を実施するための成膜装置の一例を示す模式図である。 本発明の一実施形態に係る金属膜の成膜方法のシーケンスを示すタイミングチャートである。 処理温度160℃のときのサイクル数とSiウエハ上に得られたNi膜の比抵抗との関係を示す図である。 処理温度160℃のときのサイクル数とSiOウエハ上に得られたNi膜の比抵抗との関係を示す図である。 処理温度160℃のときの各サイクル数で成膜したNi膜のX線回折(XRD)チャートである。 処理温度160℃のときのサイクル数1回、4回、10回で成膜したNi膜の表面のSEM写真である。 処理温度200℃のときのサイクル数とSiウエハ上に得られたNi膜の比抵抗との関係を示す図である。 処理温度200℃のときのサイクル数とSiOウエハ上に得られたNi膜の比抵抗との関係を示す図である。 処理温度200℃のときのサイクル数1回、2回、4回で成膜したNi膜の表面のSEM写真である。 温度を変化させてSiO膜の上にNi膜を成膜したときの、X線回折(XRF)におけるNiピーク強度の変化を示す図である。 温度を変化させてSiO膜の上にNi膜を成膜したときの、表面のSEM写真である。 温度、圧力、処理時間を変えてH処理を行ったときの比抵抗値Rsの減少量を把握した結果を示す図である。
以下、添付図面を参照して、本発明の実施の形態について説明する。
本実施形態では、金属膜としてニッケル膜を形成する場合について説明する。図1は、本発明の一実施形態に係る金属膜の成膜方法を実施するための成膜装置の一例を示す模式図である。
この成膜装置100は、気密に構成された略円筒状のチャンバー1を有しており、その中には被処理基板であるウエハWを水平に支持するためのサセプタ2が、後述する排気室の底部からその中央下部に達する円筒状の支持部材3により支持された状態で配置されている。このサセプタ2はAlN等のセラミックスからなっている。また、サセプタ2にはヒーター5が埋め込まれており、このヒーター5にはヒーター電源6が接続されている。一方、サセプタ2の上面近傍には熱電対7が設けられており、熱電対7の信号はヒーターコントローラ8に伝送されるようになっている。そして、ヒーターコントローラ8は熱電対7の信号に応じてヒーター電源6に指令を送信し、ヒーター5の加熱を制御してウエハWを所定の温度に制御するようになっている。サセプタ2の内部のヒーター5の上方には、高周波電力印加用の電極27が埋設されている。この電極27には整合器28を介して高周波電源29が接続されており、必要に応じて電極27に高周波電力を印加してプラズマを生成し、プラズマCVDを実施することも可能となっている。なお、サセプタ2には3本のウエハ昇降ピン(図示せず)がサセプタ2の表面に対して突没可能に設けられており、ウエハWを搬送する際に、サセプタ2の表面から突出した状態にされる。
チャンバー1の天壁1aには、円形の孔1bが形成されており、そこからチャンバー1内へ突出するようにシャワーヘッド10が嵌め込まれている。シャワーヘッド10は、後述するガス供給機構30から供給された成膜用のガスをチャンバー1内に吐出するためのものであり、その上部には、成膜原料ガスとして、例えばNi(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))のようなニッケルアミジネートが導入される第1の導入路11と、チャンバー1内に還元ガスとしてのNHガスまたは熱処理ガスとしてのHガスが導入される第2の導入路12とを有している。
なおニッケルアミジネートとしては、他に、Ni(II)N、N′−ジ−イソプロピルアミジネート(Ni(II)(iPr−AMD))、Ni(II)N、N′−ジ−エチルアミジネート(Ni(II)(Et−AMD))、Ni(II)N、N′−ジ−メチルアミジネート(Ni(II)(Me−AMD))等を挙げることができる。
シャワーヘッド10の内部には上下2段に空間13、14が設けられている。上側の空間13には第1の導入路11が繋がっており、この空間13から第1のガス吐出路15がシャワーヘッド10の底面まで延びている。下側の空間14には第2の導入路12が繋がっており、この空間14から第2のガス吐出路16がシャワーヘッド10の底面まで延びている。すなわち、シャワーヘッド10は、成膜原料としてのNi化合物ガスとNHガスまたはHガスとがそれぞれ独立して吐出路15および16から吐出するようになっている。
チャンバー1の底壁には、下方に向けて突出する排気室21が設けられている。排気室21の側面には排気管22が接続されており、この排気管22には真空ポンプや圧力制御バルブ等を有する排気装置23が接続されている。そしてこの排気装置23を作動させることによりチャンバー1内を所定の減圧状態とすることが可能となっている。
チャンバー1の側壁には、ウエハWの搬入出を行うための搬入出口24と、この搬入出口24を開閉するゲートバルブ25とが設けられている。また、チャンバー1の壁部には、ヒーター26が設けられており、成膜処理の際にチャンバー1の内壁の温度を制御可能となっている。
ガス供給機構30は、ニッケルアミジネート、例えばNi(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))を成膜原料として貯留する成膜原料タンク31を有している。成膜原料タンク31の周囲にはヒーター31aが設けられており、タンク31内の成膜原料を適宜の温度に加熱することができるようになっている。
成膜原料タンク31には、上方からバブリングガスであるArガスを供給するためのバブリング配管32が成膜原料に浸漬されるようにして挿入されている。バブリング配管32にはArガス供給源33が接続されており、また、流量制御器としてのマスフローコントローラ34およびその前後のバルブ35が介装されている。また、成膜原料タンク31内には原料ガス送出配管36が上方から挿入されており、この原料ガス送出配管36の他端はシャワーヘッド10の第1の導入路11に接続されている。原料ガス送出配管36にはバルブ37が介装されている。また、原料ガス送出配管36には成膜原料ガスの凝縮防止のためのヒーター38が設けられている。そして、バブリングガスであるArガスが成膜原料に供給されることにより成膜原料タンク31内で成膜原料がバブリングにより気化され、生成された成膜原料ガスが、原料ガス送出配管36および第1の導入路11を介してシャワーヘッド10内に供給される。
なお、バブリング配管32と原料ガス送出配管36との間は、バイパス配管48により接続されており、このバイパス配管48にはバルブ49が介装されている。バブリング配管32および原料ガス送出配管36におけるバイパス配管48接続部分の下流側にはそれぞれバルブ35a,37aが介装されている。そして、バルブ35a,37aを閉じてバルブ49を開くことにより、Arガス供給源33からのアルゴンガスを、バブリング配管32、バイパス配管48、原料ガス送出配管36を経て、パージガス等としてチャンバー1内に供給することが可能となっている。
シャワーヘッド10の第2の導入路12には、配管40が接続されており、配管40にはバルブ41が設けられている。この配管40は分岐配管40a,40bに分岐しており、分岐配管40aには還元ガスであるNHガスを導入するためのNHガス供給源42が接続され、分岐配管40bにはHガス供給源43が接続されている。また、分岐配管40aには流量制御器としてのマスフローコントローラ44およびその前後のバルブ45が介装されており、分岐配管40bには流量制御器としてのマスフローコントローラ46およびその前後のバルブ47が介装されている。なお、還元ガスとしては、NHの他、ヒドラジンや、NH誘導体、ヒドラジン誘導体を用いることができる。
また必要に応じて電極27に高周波電力を印加してプラズマCVDを実施する場合には、図示されていないが、配管40にはさらに分岐配管が増設され、この分岐配管にマスフローコントローラおよびその前後のバルブを介設して、プラズマ着火用のArガス供給源を設けることが好ましい。
この成膜装置は、各構成部、具体的にはバルブ、電源、ヒーター、ポンプ等を制御する制御部50を有している。この制御部50は、マイクロプロセッサ(コンピュータ)を備えたプロセスコントローラ51と、ユーザーインターフェース52と、記憶部53とを有している。プロセスコントローラ51には成膜装置100の各構成部が電気的に接続されて制御される構成となっている。ユーザーインターフェース52は、プロセスコントローラ51に接続されており、オペレータが成膜装置の各構成部を管理するためにコマンドの入力操作などを行うキーボードや、成膜装置の各構成部の稼働状況を可視化して表示するディスプレイ等からなっている。記憶部53もプロセスコントローラ51に接続されており、この記憶部53には、成膜装置100で実行される各種処理をプロセスコントローラ51の制御にて実現するための制御プログラムや、処理条件に応じて成膜装置100の各構成部に所定の処理を実行させるための制御プログラムすなわち処理レシピや、各種データベース等が格納されている。処理レシピは記憶部53の中の記憶媒体(図示せず)に記憶されている。記憶媒体は、ハードディスク等の固定的に設けられているものであってもよいし、CDROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。
そして、必要に応じて、ユーザーインターフェース52からの指示等にて所定の処理レシピを記憶部53から呼び出してプロセスコントローラ51に実行させることで、プロセスコントローラ51の制御下で、成膜装置100での所望の処理が行われる。
次に、成膜装置100により実施される本発明の一実施形態に係るニッケル膜の成膜方法について説明する。
まず、ゲートバルブ25を開け、図示せぬ搬送装置によりウエハWを、搬入出口24を介してチャンバー1内に搬入し、サセプタ2上に載置する。次いで、チャンバー1内を排気装置23により排気してチャンバー1内を所定の圧力にし、サセプタ2を所定温度に加熱し、その状態で図2に示すように、成膜原料ガスであるニッケルアミジネートと還元ガスとを供給してNを含有するNi膜を成膜する成膜工程(ステップ1)と、成膜されたNを含有するNi膜にHガスを供給してその膜からNを脱離させる脱窒素工程(ステップ2)とを、パージ工程(ステップ3)を挟んで、1サイクル行うか、または2サイクル以上繰り返して行う。
ステップ1の成膜工程では、成膜原料タンク31内に貯留された成膜原料としてのニッケルアミジネート、例えばNi(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))にバブリングガスとしてのArガスを供給して、その成膜原料としてのNi化合物をバブリングにより気化させ、原料ガス送出配管36、第1の導入路11、シャワーヘッド10を介してチャンバー1内へ供給し、還元ガスとしてのNHガスをNHガス供給源42から分岐配管40a、配管40、第2の導入路12、シャワーヘッド10を介してチャンバー1内に供給する。なお、還元ガスとしては、NHの他、ヒドラジン、NH誘導体、ヒドラジン誘導体を用いることができる。すなわち、還元ガスとしては、NH、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種を用いることができる。アンモニア誘導体としては例えばモノメチルアンモニウムを用いることができ、ヒドラジン誘導体としては例えばモノメチルヒドラジン、ジメチルヒドラジンを用いることができる。これらの中ではアンモニアが好ましい。これらは、非共有電子対を有する還元剤であり、ニッケルアミジネートとの反応性が高く、比較的低温でNを含有するNi膜を得ることができる。
この際の成膜反応について以下に説明する。
成膜原料として用いるニッケルアミジネートは、Ni(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))を例にとると、以下の(1)式に示す構造を有している。
すなわち、核となるNiにアミジネート配位子が結合しており、Niは実質的にNi2+として存在している。
非共有電子対を有する還元剤、例えばNHは、上記構造のニッケルアミジネートのNi2+として存在しているNi核と結びつき、アミジネート配位子は分解する。この際の反応はNi核に対するNHの求核置換反応であると考えられ、良好な反応性をもって窒素を含むNi化合物であるNiN(x=3または4)を生成させる。したがって、ニッケルアミジネートと還元ガス、例えばNHがチャンバー1内に供給されることにより、サセプタ2により加熱されたウエハWの表面に上記反応に基づく熱CVDによりNiNを主体とする膜が成膜される。
このようにこの成膜反応は良好な反応性を有しているので、低温成膜が可能であり、その際のウエハ温度は160〜200℃が好ましい。ウエハ温度が160℃未満では成膜反応が遅く、十分な成膜速度が得られない。また200℃を超えると膜が凝集してしまうおそれがある。
他の条件について、チャンバー1内の圧力は133〜665Pa(1〜5Torr)、Arガスの流量は100〜500mL/min(sccm)、還元ガスであるNHガスの流量は400〜4500mL/min(sccm)が好ましい。また、成膜工程1回あたりのNi膜の厚さは2〜20nmが好ましい。これにより、ステップ2のHガスによる脱窒素を行いやすくなる。1回の成膜工程の時間は成膜しようとする膜の膜厚に応じて適宜決定される。
なお、ステップ1において、上記成膜反応を補助するため、必要に応じて、高周波電源29からサセプタ2内の電極27に高周波電力を印加してプラズマCVDによりNi膜を成膜してもよい。
ステップ1の成膜工程が終了した後、ステップ3のパージ工程を行うが、このステップ3においては、バルブ35a、37a、41、45を閉じてNi化合物ガスおよびNHガスの供給を停止した後、排気装置23により急速排気を行いながら、バルブ49を開いてArガスをバイパス配管48、原料ガス送出配管36を介してチャンバー1内にArガスを供給してチャンバー1内をパージする。このときのArガス流量は1000〜5000mL/min(sccm)が好ましい。パージ工程の時間は5〜20secが好ましい。
ステップ1で成膜された膜には、上述したようにNが残存しており、その他にO(酸素)等の不純物も残存する。このため、成膜したままの膜は比抵抗が高いものとなってしまう。したがって、ステップ2の脱窒素工程(H処理)では、Hガスを供給することにより、ステップ1で成膜した膜中からNを脱離させる。この際に、O等の不純物も除去される。このため、膜質が良好で比抵抗の低いNi膜を得ることができる。
以下、この脱窒素工程のメカニズムについて説明する。
ステップ1において成膜された膜は、微視的にみると、N原子の周囲を複数のNi原子が取り囲んだ構造を有している。このため、成膜の後、パージに引き続き、in−situでH処理を行うと、膜に供給されたHガスが膜中のNiを触媒として原子状Hとなる反応が生じる。原子状Hは極めて反応性が高いため、膜中のNと反応して膜中から速やかにNを離脱させることができる。この際に、O等の不純物も原子状Hと反応して速やかに除去される。
NixNからのN脱離は、H処理によらなくても300℃程度に加熱することにより達成されるが、この加熱によりNiの凝集が生じ、連続膜が得られない。これは、300℃付近ではNiはクラスターを形成しており、NがNiクラスターを結合する構造をとっており、Nが脱離することによりNiクラスターの粒界において、Ni−Ni結合が形成され難くなって、各Niクラスターが分離するためと考えられる。
しかし、ステップ2のH処理では200℃以下の低温でも十分に膜からNを脱離させることができ、Niの凝集を生じさせずに、良好な表面状態のNi膜とすることができる。
ステップ2のH処理を行うに際しては、パージ後、サセプタ2によりウエハWを加熱したままとし、Arガスをチャンバー1内に1000〜3000mL/min(sccm)程度の流量で流したまま、またはバルブ49を閉じてArガスの供給を停止した状態で、バルブ41、47を開いてチャンバー1内にHガスを供給する。
このときのHガスの流量は1000〜4000mL/min(sccm)が好ましい。また、この際のウエハ温度は、高いほど反応性が上がるが、上述したように200℃以下でも十分に脱窒素反応が進行し、200℃以下であれば膜の凝集も起こらず、一方、160℃よりも低いと反応性が低下し、処理時間が長くなるため、成膜の際の温度と同様160〜200℃が好ましい。また、この際のウエハ温度は、ステップ1の成膜工程と同じ温度にすることが好ましい。これにより一連の処理において、サセプタ2の加熱温度を一定にすることができるので、スループットを高めることができる。さらに、チャンバー1内の圧力はArガスの供給を停止した状態で、400〜6000Pa(3〜45Torr)であることが好ましい。ステップ2の好ましい温度範囲および好ましい圧力範囲内では、温度が高くかつ圧力が高いほうが好ましい。このステップ2のH処理の時間は180〜1200secが好ましい。
その後、上記ステップ3のパージ工程を行い、成膜処理を終了してもよいが、Ni膜成膜−パージ−H処理−パージを1サイクルとして、これを複数サイクル繰り返すことが好ましい。これにより、不純物の除去効果をより高めることができる。すなわち、このように複数サイクル繰り返す場合には、薄いNi膜を成膜した後にHガス雰囲気での脱窒素処理を行うこととなるので、膜中から不純物が抜けやすくなる。繰り返し回数は、多ければ多いほど不純物除去効果は高く、比抵抗がより低くなるが、繰り返し数が多くなりすぎると、トータルの成膜処理時間が長くなってしまう。このため、繰り返し回数は2〜10回とすることが好ましく、4〜10回がより好ましい。また、同様の観点から、1回の成膜の膜厚は、2〜5nmであることが好ましい。また、膜中から不純物を有効に除去するためには、Hガス雰囲気での脱窒素処理の時間はある程度長いほうがよいが、長すぎるとスループットが低下してしまう。そのような観点から、上述したようにH処理の時間は180〜1200secとすることが好ましい。
最終のパージ工程が終了した後、ゲートバルブ25を開けて成膜後のウエハWを搬送装置(図示せず)により搬入出口24を介して搬出する。
このように、ニッケルアミジネートを成膜原料として用い、NH等を還元ガスとして用いてCVDにより基板であるウエハ上に窒素を含有するNi膜を成膜する工程と、Hガスを供給して膜からNを脱離させる脱窒素工程とを含むサイクルを1回または複数回行うので、膜からNおよびその他の不純物を速やかに除去することができ、不純物の少ないNi膜を得ることができる。
次に、本発明に至った経緯および本発明による効果を示す実験結果について説明する。
ここでは、300mmウエハのシリコン基板上に100nmのth−SiO膜(熱酸化膜)を形成したウエハ(SiOウエハ)、およびシリコン基板の表面を希フッ酸洗浄したウエハ(Siウエハ)に対し、図1に示した成膜装置を用いて、成膜(ステップ1)−パージ(ステップ3)−H処理(ステップ2)−パージ(ステップ3)を1サイクルとして、これを所定サイクル行って所定厚さのNi膜を成膜した。
ステップ1の成膜においては、チャンバー内の圧力を665Pa(5Torr)とし、成膜原料としてNi(II)N、N′−ジ−ターシャリブチルアミジネート(Ni(II)(tBu−AMD))を成膜原料タンク31内に貯留し、ヒーター31aにより成膜原料の温度を95℃に維持し、Arガスを100mL/min(sccm)で供給し、バブリングによりNi(II)(tBu−AMD)ガスをチャンバー内に供給するとともに、NHガス供給源からNHガスを800mL/min(sccm)の流量で供給し、CVDによりNi膜を成膜した。
また、ステップ2のH処理においては、チャンバー内の圧力を400Pa(3Torr)とし、Hガスを3000mL/min(sccm)で供給した。
そして、これらステップ1およびステップ2のウエハ温度は、両工程で同じ温度とし、160℃および200℃で実験を行った。
ウエハ温度160℃の実験においては、上記サイクルの回数を1回、2回、4回、10回、20回とし、ターゲット膜厚20nmとした。1回あたりのステップ1の成膜時間およびターゲット膜厚は、サイクル数が1回のときには590secおよび20nm、サイクル数が2回のときには350secおよび10nm、4回のときには210secおよび5nm、10回のときには100secおよび2nm、20回のときには60secおよび1nmとした。また、H処理の時間をサイクル数4回までは180secおよび1200secとし、10回および20回は1200secのみとした。
ウエハ温度200℃の実験においては、上記サイクルの回数を1回、2回、4回とし、ターゲット膜厚を同じく20nmとした。1回あたりのステップ1の成膜時間およびターゲット膜厚は、サイクル数が1回のときには290secおよび20nm、サイクル数が2回のときには175secおよび10nm、4回のときには110secおよび5nmとした。また、H処理の時間を1200secのみとした。
これらについて、比抵抗を測定し、表面の電子顕微鏡(SEM)写真を撮影した。また、下地のシリコンと反応しないSiOウエハの160℃で実験したものについては、X線回折(XRD)測定を行った。
図3A、図3Bは、160℃で実験を行ったときの、上記工程のサイクル数と得られたNi膜の比抵抗との関係を示す図であり、図3AはSiチップの結果、図3BはSiOウエハの結果を示すものである。これらの図に示すように、サイクル数が増加するにつれて比抵抗が低下しているが、サイクル数4回あたりを境として低下の傾きが緩やかになることが確認された。また、比抵抗を低下させる効果は、H処理の時間が180secよりも1200secのほうが大きいことが確認された。具体的には、H処理1200secでサイクル数10回の比抵抗が34μΩ−cm、20回が27μΩ−cmと低い値となった。
図4は、160℃で実験を行ったときの各サイクル数で成膜したNi膜(H処理時間1200sec)のX線回折(XRD)チャートである。縦軸は回折線の強度を任意単位(a.u)で示し、横軸は回折線の角度を示し、各グラフは重ならないように上下方向にずらして描かれている。図4に示すように、成膜したまま(as depo)ではNiNのピークが見られるが、H処理を行うことによりNiNのピークが消滅することが確認された。また、回折角度(2θ)が45度の付近ではNiNとNiの回折角度がほぼ重なっていて判別しにくいが、as depoで検出されたNiNのピークが1回のH処理で減少し、その後H処理の回数が増加するにつれてこれがNiに変化してゆき、さらにこのピークが大きくなって、より不純物の少ない健全なNi膜となっているものと推測される。なおas depoとは、1回の成膜で所定の膜厚まで成膜し、その後H処理を施さないものである。
図5は、160℃で実験を行ったときのサイクル数1回、4回、10回で成膜したNi膜(H処理時間1200sec)の表面のSEM写真である。このSEM写真から、サイクル数1回では膜の表面にマイクロクラックがみられるが、サイクル数が4回、10回の膜では、as depoよりもきめが細かくスムースな膜が得られ、マイクロクラックも発生していないことが確認された。
図6A、図6Bは、200℃で実験を行ったときの、上記工程のサイクル数と得られたNi膜の比抵抗との関係を示す図であり、図6AはSiウエハの結果、図6BはSiOウエハの結果を示すものである。これらの図に示すように、サイクル数が増加するにつれて比抵抗が低下していることが確認された。また、160℃で実験を行ったときよりも比抵抗を低下させる効果が大きく、サイクル数2回でほぼ飽和した値に達し、23.8μΩ−cm、4回で20.6μΩ−cmと160℃の20サイクルよりも低い値となった。これは、Ni成膜およびH処理の温度が上昇したことにより、不純物がより少なくなったためと推測される。
図7は、200℃で実験を行ったときのサイクル数1回、2回、4回で成膜したNi膜(H処理時間1200sec)の表面のSEM写真である。このSEM写真から、as depoでは膜の表面状態(モフォロジー)が非常に悪いが(特にSiチップ上)、サイクル数1回で膜の表面状態がやや改善され、サイクル数2回で大幅に改善され、サイクル数2回以上できめが細かく極めてスムースな表面が得られた。また、マイクロクラックも見られない。
次に、成膜温度とH処理の温度を変化させて実験を行った。図8は、温度を変化させて、上述した成膜−パージ−H処理(3Torr,180sec)−パージのサイクルを所定回行って、SiO膜の上にNi膜を成膜したときの、X線回折(XRF)におけるNiピーク強度の変化を示す図である。この図から90℃以上でNiピークが現れ、成膜には90℃以上の温度が必要であることが確認された。ただし、温度が160℃未満では十分な成膜速度が得られず、成膜温度は160℃以上が好ましい。温度を160℃、200℃、300℃、400℃と変化させて上述した成膜−パージ−H処理(3Torr,180sec)を所定サイクル行ってSiO膜の上にNi膜を成膜したときの、表面のSEM写真である。この図から200℃で、わずかにマイクロクラックが観察されたが、これは繰り返し成膜で影響がないため、200℃までは表面状態を良好に維持することができることが確認された。しかし、300℃以上では顕著な凝集が発生し、繰り返し成膜を行っても連続膜を成膜できないことが確認された。これらのことから、成膜温度およびH処理温度は160〜200℃が好ましいことが確認された。
次に、上述したような成膜条件で20nm成膜した後、温度、圧力、処理時間を変えてH処理を行ったときの比抵抗値Rsの減少量を把握した結果について説明する。図10は、横軸に処理時間をとり、縦軸に比抵抗値Rsの減少量をとって、温度および圧力を変化させた場合のこれらの関係を示す図である。この図から、いずれの温度・圧力においても処理時間が180〜1200secで比抵抗値Rsが減少していることが確認された。また、処理時間が長くなるほど比抵抗値Rsの減少量が大きくなる傾向にあることも確認された。また、実験では、処理温度は160℃および180℃の2水準、圧力は0.15Torr、3Torr、45Torrの3水準としたが、温度は180℃のほうが比抵抗値の減少量が大きくなる傾向にあり、圧力は0.15Torrから3Torrに上昇することにより急激に比抵抗値の減少量が大きくなり、45Torrではさらに比抵抗値の減少量が大きくなることが確認された。このことから、圧力は3〜45Torrが良好であり、実験の範囲内では処理時間および圧力が最も高い180℃、45Torrが比抵抗値Rsの減少量が最も大きくなることが確認された。
なお、本発明は、上記実施の形態に限定されることなく種々変形可能である。例えば、上記実施の形態においては、成膜原料であるニッケルアミジネートとして、Ni(II)(tBu−AMD)を例示したが、これに限らず他のニッケルアミジネートであってもよい。
また、成膜装置の構造も上記実施形態のものに限らず、成膜原料の供給手法についても上記実施形態の手法に限定する必要はなく、種々の方法を適用することができる。
さらに、被処理基板として半導体ウエハを用いた場合を説明したが、これに限らず、フラットパネルディスプレイ(FPD)基板等の他の基板であってもよい。

Claims (8)

  1. 成膜原料としてニッケルアミジネートを用い、還元ガスとしてアンモニア、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種を用いたCVDにより基板上に窒素を含むNi膜を成膜することと、
    前記窒素を含むNi膜に水素ガスを供給して、Niを触媒として原子状水素を生成させ、生成した原子状水素により前記窒素を含むNi膜から窒素を脱離させることと
    を含むサイクルを、1回または複数回行う、Ni膜の成膜方法。
  2. 前記窒素を含むNi膜を成膜することと、前記前記窒素を含むNi膜から窒素を脱離させることとは、パージ工程を挟んで1サイクルまたは複数サイクル行う、請求項1に記載のNi膜の成膜方法。
  3. 前記サイクルの回数は2〜10回である、請求項1に記載のNi膜の成膜方法。
  4. 前記窒素を含むNi膜を成膜することと、前記窒素を含むNi膜から窒素を脱離させることとは、同じ温度で行われる、請求項1に記載のNi膜の成膜方法。
  5. 前記窒素を含むNi膜を成膜することと、前記窒素を含むNi膜から窒素を脱離させることとは、160〜200℃で行なわれる、請求項4に記載のNi膜の成膜方法。
  6. 前記窒素を含むNi膜から窒素を脱離させることを実施する時間は、180〜1200secである、請求項1に記載のNi膜の成膜方法。
  7. 前記窒素を含むNi膜から窒素を脱離させることを実施する際の圧力は、3〜45Torrである、請求項1に記載のNi膜の成膜方法。
  8. コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、成膜原料としてニッケルアミジネートを用い、還元ガスとしてアンモニア、ヒドラジン、およびこれらの誘導体から選択された少なくとも1種を用いたCVDにより基板上に窒素を含むNi膜を成膜することと、前記窒素を含むNi膜に水素ガスを供給して、Niを触媒として原子状水素を生成させ、生成した原子状水素により前記窒素を含むNi膜から窒素を脱離させることとを含むサイクルを、1回または複数回行う、Ni膜の成膜方法が行われるように、コンピュータに前記成膜装置を制御させる記憶媒体。
JP2011534238A 2009-09-29 2010-09-28 Ni膜の成膜方法 Pending JPWO2011040385A1 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009223888 2009-09-29
JP2009223888 2009-09-29
PCT/JP2010/066764 WO2011040385A1 (ja) 2009-09-29 2010-09-28 Ni膜の成膜方法

Publications (1)

Publication Number Publication Date
JPWO2011040385A1 true JPWO2011040385A1 (ja) 2013-02-28

Family

ID=43826200

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011534238A Pending JPWO2011040385A1 (ja) 2009-09-29 2010-09-28 Ni膜の成膜方法

Country Status (6)

Country Link
US (1) US20120183689A1 (ja)
JP (1) JPWO2011040385A1 (ja)
KR (1) KR20120062915A (ja)
CN (1) CN102405304A (ja)
TW (1) TW201131005A (ja)
WO (1) WO2011040385A1 (ja)

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5826698B2 (ja) 2011-04-13 2015-12-02 株式会社アルバック Ni膜の形成方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5661006B2 (ja) * 2011-09-02 2015-01-28 東京エレクトロン株式会社 ニッケル膜の成膜方法
WO2013051670A1 (ja) * 2011-10-07 2013-04-11 気相成長株式会社 コバルト系膜形成方法、コバルト系膜形成材料、及び新規化合物
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013104100A (ja) * 2011-11-14 2013-05-30 Taiyo Nippon Sanso Corp 金属薄膜の成膜方法および金属薄膜成膜用原料
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP5917351B2 (ja) * 2012-09-20 2016-05-11 東京エレクトロン株式会社 金属膜の成膜方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6116425B2 (ja) * 2013-07-19 2017-04-19 大陽日酸株式会社 金属薄膜の製膜方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP5770806B2 (ja) 2013-10-02 2015-08-26 田中貴金属工業株式会社 化学蒸着法によるSi基板上へのニッケル薄膜、及び、Si基板上へのNiシリサイド薄膜の製造方法
JP6220649B2 (ja) * 2013-11-25 2017-10-25 東京エレクトロン株式会社 金属膜の成膜方法
KR102198856B1 (ko) 2014-02-10 2021-01-05 삼성전자 주식회사 니켈 함유막을 포함하는 반도체 소자의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102168174B1 (ko) 2014-03-19 2020-10-20 삼성전자주식회사 니켈 화합물 및 이를 이용한 박막 형성 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20220308462A1 (en) * 2019-06-27 2022-09-29 Lam Research Corporation Apparatus for photoresist dry deposition
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115688430B (zh) * 2022-11-01 2024-01-30 烟台大学 一种基于comsol软件获得氢原子在材料表面脱附行为的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
CN1726303B (zh) * 2002-11-15 2011-08-24 哈佛学院院长等 使用脒基金属的原子层沉积
JP2008031541A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd Cvd成膜方法およびcvd成膜装置
TWI480977B (zh) * 2007-04-09 2015-04-11 Harvard College 銅內連線用的氮化鈷層及其製造方法
TWI398541B (zh) * 2007-06-05 2013-06-11 羅門哈斯電子材料有限公司 有機金屬化合物

Also Published As

Publication number Publication date
KR20120062915A (ko) 2012-06-14
TW201131005A (en) 2011-09-16
US20120183689A1 (en) 2012-07-19
WO2011040385A1 (ja) 2011-04-07
CN102405304A (zh) 2012-04-04

Similar Documents

Publication Publication Date Title
WO2011040385A1 (ja) Ni膜の成膜方法
TWI428986B (zh) 半導體裝置之製造方法及基板處理裝置
JP5225957B2 (ja) 成膜方法および記憶媒体
JP2016098406A (ja) モリブデン膜の成膜方法
KR101334946B1 (ko) 금속 실리사이드막의 형성 방법
JP5787488B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2007154297A (ja) 成膜方法および成膜装置
KR20160079031A (ko) 텅스텐막의 성막 방법
JP5238688B2 (ja) Cvd成膜装置
WO2011033918A1 (ja) 成膜装置、成膜方法および記憶媒体
JP6391355B2 (ja) タングステン膜の成膜方法
JP5661006B2 (ja) ニッケル膜の成膜方法
JP5917351B2 (ja) 金属膜の成膜方法
WO2010103881A1 (ja) Cu膜の成膜方法および記憶媒体
JP2013209701A (ja) 金属膜の成膜方法
KR101789864B1 (ko) 금속막의 성막 방법 및 기억 매체
JP2014045037A (ja) 金属膜の成膜方法
JP2013199673A (ja) 酸化ルテニウム膜の成膜方法および酸化ルテニウム膜成膜用処理容器のクリーニング方法
JP5659040B2 (ja) 成膜方法および記憶媒体
JP5659041B2 (ja) 成膜方法および記憶媒体
JP2012175073A (ja) 成膜方法および記憶媒体