KR102233577B1 - 반도체 소자의 패턴 형성 방법 - Google Patents

반도체 소자의 패턴 형성 방법 Download PDF

Info

Publication number
KR102233577B1
KR102233577B1 KR1020140021687A KR20140021687A KR102233577B1 KR 102233577 B1 KR102233577 B1 KR 102233577B1 KR 1020140021687 A KR1020140021687 A KR 1020140021687A KR 20140021687 A KR20140021687 A KR 20140021687A KR 102233577 B1 KR102233577 B1 KR 102233577B1
Authority
KR
South Korea
Prior art keywords
photoresist
layer
pattern
hard mask
photoresist pattern
Prior art date
Application number
KR1020140021687A
Other languages
English (en)
Other versions
KR20150100193A (ko
Inventor
박진
고차원
김현우
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140021687A priority Critical patent/KR102233577B1/ko
Priority to US14/519,813 priority patent/US9520289B2/en
Publication of KR20150100193A publication Critical patent/KR20150100193A/ko
Application granted granted Critical
Publication of KR102233577B1 publication Critical patent/KR102233577B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD

Abstract

반도체 소자의 패턴 형성 방법으로, 기판 상에 하드 마스크막을 형성한다. 상기 하드 마스크막 상에 포토레지스트막을 코팅한다. 상기 포토레지스트막에 대해 노광 및 현상하여 제1 포토레지스트 패턴을 형성한다. 상기 제1 포토레지스트 패턴의 표면에 유기 용매를 접촉시키는 스무징 공정을 수행하여 제2 포토레지스트 패턴을 형성한다. 상기 제2 포토레지스트 패턴의 표면 프로파일을 따라 원자층 증착막을 형성한다. 상기 원자층 증착막이 형성된 제2 포토레지스트 패턴을 식각 마스크로 이용하여, 상기 원자층 증착막 및 하드 마스크막을 식각하여 하드 마스크 패턴을 형성한다. 상기 공정에 의하면, 거칠기 특성이 우수한 패턴을 형성할 수 있다.

Description

반도체 소자의 패턴 형성 방법 {METHOD FOR FORMING PATTERNS OF A SEMICONDUCTOR DEVICE}
본 발명은 반도체 소자의 패턴 형성 방법에 관한 것이다. 보다 상세하게, 본 발명은 고집적화된 반도체 소자의 제조에 사용되는 패턴 형성 방법에 관한 것이다.
반도체 소자가 고도로 집적화되면서 포토리소그래피 공정을 통해 수십 ㎚수준의 초미세 패턴 형성이 요구되고 있다. 이에 따라, 노광 파장이 점점 짧아지고 있으며, 최근에는 EUV, 전자선 등을 이용한 리소그래피 기술의 개발이 진행되고 있다.
본 발명의 목적은 거칠기 특성이 개선되는 반도체 소자의 패턴 형성 방법을 제공하는데 있다.
상기한 목적을 달성하기 위한 본 발명의 일 실시예에 따른 반도체 패턴의 형성 방법으로, 기판 상에 하드 마스크막을 형성한다. 상기 하드 마스크막 상에 포토레지스트막을 코팅한다. 상기 포토레지스트막에 대해 노광 및 현상하여 제1 포토레지스트 패턴을 형성한다. 상기 제1 포토레지스트 패턴의 표면에 유기 용매를 접촉시키는 스무징 공정을 수행하여 상기 제1 포토레지스트 패턴과 다른 거칠기 특성을 갖는 제2 포토레지스트 패턴을 형성한다. 상기 제2 포토레지스트 패턴의 표면 프로파일을 따라 원자층 증착막을 형성한다. 또한, 상기 원자층 증착막이 형성된 제2 포토레지스트 패턴을 식각 마스크로 이용하여, 상기 원자층 증착막 및 하드 마스크막을 식각하여 하드 마스크 패턴을 형성한다.
본 발명의 일 실시예에서, 상기 유기 용매는 에틸락테이트(Ethyl Lactate), 사이클로헥사논(Cyclohexanone), 디메틸설폭시화물(Dimethylsulfoxide), γ-부티로락톤(γ-butyrolactone), N-메틸피롤리돈(N-methylpyrrolidone, NMP)로 이루어진 군에서 선택된 어느 하나일 수 있다.
본 발명의 일 실시예에서, 상기 스무징 공정에서 상기 제1 포토레지스트 패턴이 형성된 기판은 80 내지 120℃로 히팅될 수 있다. 상기 유기 용매는 기상 상태로 분사될 수 있다.
본 발명의 일 실시예에서, 상기 제1 포토레지스트 패턴은 라인 및 스페이스가 반복되게 배치되도록 형성할 수 있다.
본 발명의 일 실시예에서, 상기 제1 포토레지스트 패턴의 선폭 및 간격은 20 내지 50㎚로 형성할 수 있다.
본 발명의 일 실시예에서, 상기 제1 포토레지스트 패턴은 홀들이 규칙적으로 배치되도록 형성할 수 있다.
본 발명의 일 실시예에서, 상기 원자층 증착막은 실리콘 산화물 또는 실리콘 질화물을 포함할 수 있다.
본 발명의 일 실시예에서, 상기 원자층 증착막을 형성하기 위하여, 실리콘을 함유하는 소스 가스를 공급하여 상기 제2 포토레지스트 패턴에 흡착시킨다. 상기 흡착되지 않는 소스 가스를 퍼지한다. 산소를 포함하는 반응 가스를 공급하여 상기 흡착된 소스 가스를 산화시켜 원자층의 실리콘 산화물을 형성한다. 또한, 반응하지 않은 상기 반응 가스를 퍼지한다.
상기 실리콘을 함유하는 소스 가스의 흡착, 상기 흡착되지 않는 소스 가스를 퍼지, 산소를 포함하는 반응 가스를 공급하여 원자층의 실리콘 산화물을 형성 및 반응하지 않은 상기 반응 가스를 퍼지하는 단계로 이루어지는 공정 사이클을 반복적으로 수행하여, 상기 원자층 증착막의 두께를 조절할 수 있다.
본 발명의 일 실시예에서, 상기 원자층 증착막은 0.1 내지 5㎚의 두께 범위내의 어느 한 두께로 증착될 수 있다.
본 발명의 일 실시예에서, 상기 원자층 증착막을 형성하는 공정은 0 내지 120℃의 온도에서 수행될 수 있다.
본 발명의 일 실시예에서, 상기 하드 마스크막 및 포토레지스트막 사이에 하부막을 더 형성할 수 있다.
본 발명의 일 실시예에서, 상기 포토레지스트막을 노광하는 공정에서 노광광은 극자외선 광을 포함할 수 있다.
본 발명의 일 실시예에서, 상기 하드 마스크 패턴을 형성하기 위한 식각 공정은 이방성 식각 공정을 포함할 수 있다.
본 발명의 일 실시예에서, 상기 하드 마스크막 상에 포토레지스트막을 코팅하는 공정 이 후, 상기 포토레지스트막에 대한 노광 공정 이 후 및 현상 공정 이 후에 각각 베이크 공정을 더 수행할 수 있다.
본 발명에 의하면, 고집적화되면서 반도체 소자에서 거칠기 특성이 개선되는 초미세 패턴을 형성할 수 있다.
도 1 내지 도 7은 본 발명의 일 실시예에 따른 반도체 소자의 패턴들의 형성 방법을 나타내는 단면도들이다.
도 8 내지 도 11은 본 발명의 일 실시예에 따른 반도체 소자의 패턴들의 형성 방법을 나타내는 평면도들이다.
도 12 내지 도 15는 본 발명의 일 실시예에 따른 반도체 소자의 패턴들의 형성 방법을 나타내는 평면도들이다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하고자 한다.
본 발명의 각 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다.
본 발명에서, 제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다.
본 발명에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서 상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
본 발명에 있어서, 각 층(막), 영역, 전극, 패턴 또는 구조물들이 대상체, 기판, 각 층(막), 영역, 전극 또는 패턴들의 "상에", "상부에" 또는 "하부"에 형성되는 것으로 언급되는 경우에는 각 층(막), 영역, 전극, 패턴 또는 구조물들이 직접 기판, 각 층(막), 영역, 또는 패턴들 위에 형성되거나 아래에 위치하는 것을 의미하거나, 다른 층(막), 다른 영역, 다른 전극, 다른 패턴 또는 다른 구조물들이 대상체나 기판 상에 추가적으로 형성될 수 있다.
본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 안된다.
즉, 본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
도 1 내지 도 7은 본 발명의 일 실시예에 따른 반도체 소자의 패턴들의 형성 방법을 나타내는 단면도들이다. 도 8 내지 도 11은 본 발명의 일 실시예에 따른 반도체 소자의 패턴들의 형성 방법을 나타내는 평면도들이다.
본 실시예에서는, 라인 앤 스페이스가 반복되는 패턴들을 형성한다.
도 1을 참조하면, 기판(10) 상에 식각 대상막(12)을 형성한다. 식각하는 대상이 기판인 경우에는 상기 식각 대상막(12)은 형성되지 않을 수 있다.
상기 식각 대상막(12) 상에 하드 마스크막(14)을 형성한다. 상기 하드 마스크막(14)은 상기 식각 대상막(12)을 식각하기에 적합한 물질로 형성될 수 있다. 그러므로, 상기 하드 마스크막(14)은 하부의 식각 대상막(12)에 따라 다른 물질로 형성될 수 있다. 일 예로, 상기 하드 마스크막(14)은 실리콘 질화물 또는 실리콘 산 질화물일 수 있다. 다른 예로, 상기 하드 마스크막(14)은 실리콘 산화물일 수도 있다.
상기 하드 마스크막(14) 상에 하부막(16, under layer)을 형성한다. 상기 하부막(16) 상에 제1 포토레지스트막(18)을 코팅한다.
상기 하부막(16)은 상기 하드 마스크막(14)과 제1 포토레지스트막(18) 사이에 개재되어 상기 제1 포토레지스트막(18)의 접착력이 높아지도록 한다. 상기 하부막(16)은 상기 제1 포토레지스트막(18)과 화학적 가교 결합될 수 있는 물질로 형성될 수 있다. 이와는 다른 실시예로, 상기 하부막(16)은 형성되지 않을 수도 있다. 또 다른 실시예로, 상기 하부막(16) 상에 반사 방지막을 더 형성할 수도 있다.
상기 제1 포토레지스트막(18)을 코팅한 이 후에, 소프트 베이크(soft bake) 공정을 수행한다. 예를들어, 상기 소프트 베이크 공정은 80 내지 120℃의 온도에서 진행할 수 있다. 상기 소프트 베이크 공정을 수행하면, 상기 하부막(16)과 제1 포토레지스트막(18)이 화학적 가교 결합되어 강하게 접착될 수 있다. 또한, 상기 제1 포토레지스트막(18)이 경화될 수 있다.
도 2 및 도 8을 참조하면, 상기 제1 포토레지스트막(18)에 대해 노광 마스크를 통해 노광광을 전사하는 노광 공정을 수행하여 상기 제1 포토레지스트막(18)의 일부 영역이 수용성이 되도록 한다. 상기 노광 공정에 의해, 제2 포토레지스트막(18a)이 형성된다. 라인 앤 스패이스를 갖는 패턴이 형성될 수 있도록, 상기 노광광이 전사되는 부위(19)는 라인 형상을 가질 수 있다.
상기 노광 공정에서 사용되는 노광광은 EUV 광을 포함할 수 있다. 반도체 제조 공정에서 사용되는 EUV광은 13.5㎚의 파장을 갖는 광일 수 있다. 상기 EUV광을 사용하는 경우, 20 내지 50㎚의 라인 앤 스페이스를 갖는 초미세 패턴들을 형성할 수 있다. 특히, 30㎚ 이하의 라인 앤 스페이스를 갖는 패턴들은 상기 EUV광을 사용하는 것이 필수적이다.
그러나, 형성하고자 하는 라인 앤 스페이스의 선폭에 따라 상기 노광 공정에서 사용되는 광을 달라질 수 있다. 즉, 라인 앤 스페이스의 선폭이 예를들어 50㎚보다 넓은 경우에는 상기 EUV광보다 다 긴 파장을 갖는 광들을 사용하여 패턴들을 형성할 수 있다. 예를들어, G라인, I라인, KrF 엑시머 레이저광, ArF 엑시머 레이저광 등을 사용할 수도 있다.
상기 노광을 수행한 이 후에 포스트 노광 베이크(post exposure bake) 공정을 수행한다. 예를들어, 상기 포스트 노광 베이크 공정은 80 내지 120℃의 온도에서 진행할 수 있다. 또한, 30 내지 100초 정도 진행할 수 있다.
도 3 및 도 9를 참조하면, 상기 제2 포토레지스트막(18a)을 현상하여 제1 포토레지스트 패턴들(20a)을 형성한다. 일 예로, 상기 제2 포토레지스트막(18a)의 노광된 영역이 현상액에 의해 용해되어 상기 제1 포토레지스트 패턴들이 형성될 수 있다. 상기 현상 공정을 수행한 이 후에 포스트 현상 베이크(post develope bake) 공정을 수행한다. 예를들어, 상기 포스트 현상 베이크 공정은 80 내지 150℃의 온도에서 진행할 수 있다.
상기 제1 포토레지스트 패턴들(20a)은 제1 방향으로 연장되는 라인 형상을 가지면서 반복 배치될 수 있다. 즉, 상기 제1 포토레지스트 패턴들(20a)은 라인과 스페이스가 반복되도록 배치될 수 있다.
그런데, 상기 제2 포토레지스트막(18a)에서 상기 노광된 영역이 균일하게 형성되기 어렵기 때문에, 상기 제1 포토레지스트 패턴들(20a)은 거칠기 특성이 양호하지 않을 수 있다.
도 9에서, 상기 제1 포토레지스트 패턴들(20a)이 라인 에지가 직선 형상을 갖지 않고 울퉁불퉁한 형상을 가질 수 있다. 때문에, 상기 제1 포토레지스트 패턴들(20a) 사이의 폭들(d1)의 편차가 발생하게 된다. 상기 제1 포토레지스트 패턴들(20a) 사이의 폭들(d1)의 편차는 라인 폭 거칠기(LWR, line width roughness)라 하며, 상기 라인 폭 거칠기의 수치가 클수록 상기 라인 에지가 울퉁불퉁하며 거칠기 특성이 양호하지 않다.
또한, 상기 제1 포토레지스트 패턴들(20a)이 라인 가장자리(edge)가 원래 형성되어야 할 직선 부위보다 벗어날 수 있다. 상기 제1 포토레지스트 패턴 가장자리가 원래 형성되어야 할 직선보다 벗어난 정도(d2)의 편차는 라인 에지 거칠기(LER, line edge roughness)라 한다. 상기 라인 에지 거칠기의 수치가 클수록 상기 제1 포토레지스트 패턴들(20a)이 직선 형태에서 더 많이 벗어난 형상을 가질 수 있다.
특히, 상기 EUV광을 이용하여 20 내지 50㎚ 수준의 매우 작은 치수의 패턴들을 형성하는 경우, 상기 거칠기 특성을 조절하기가 더욱 어렵다. 또한, 패턴의 선폭이 좁기 때문에 상기 라인 폭 거칠기에 따라 패턴 선폭의 균일도 차이가 매우 커지게 되므로 상기 라인 폭 거칠기 특성이 매우 중요할 수 있다.
도 4 및 도 10을 참조하면, 상기 제1 포토레지스트 패턴들(20a)의 표면에 유기 용매를 접촉시키는 스무징 공정을 수행한다. 따라서, 상기 제1 포토레지스트 패턴들(20a)에 비해 거칠기 특성이 양호한 제2 포토레지스트 패턴들(20b)을 형성한다. 상기 제2 포토레지스트 패턴들(20b)은 상기 제1 포토레지스트 패턴들(20a)에 비해 상기 라인 폭 거칠기(d3) 및 가장자리 윤곽 거칠기(d4) 특성이 더 우수하다.
상기 스무징 공정은 상기 제1 포토레지스트 패턴들(20a)이 형성된 기판을 80 내지 120℃로 히팅하고, 상기 유기 용매를 기상 상태로 상기 제1 포토레지스트 패턴으로 분사한다. 상기 유기 용매가 기상 상태가 되도록 하기 위하여 상기 유기 용매의 온도를 상승시킬 수 있다. 따라서, 상기 제1 포토레지스트 패턴(20a)의 거친 최외곽 표면 부위만이 상기 유기 용매에 의해 용해되어 표면이 스무징 처리 된다. 예를들어, 상기 스무징 처리 공정은 60 내지 200초 정도 수행할 수 있다.
상기 스무징 공정에 사용될 수 있는 유기 용매의 예로는 에틸락테이트(Ethyl Lactate), 사이클로헥사논(Cyclohexanone), 디메틸설폭시화물(Dimethylsulfoxide), γ-부티로락톤(γ-butyrolactone), N-메틸피롤리돈( N-methylpyrrolidone, NMP) 등을 들 수 있다. 상기 유기 용매로써 N-메틸피롤리돈을 사용하는 경우, 상기 유기 용매는 약 50 내지 70도의 온도를 갖도록 가열될 수 있다. 사용되는 유기 용매에 따라, 상기 스무징 공정의 세부 공정 조건들이 달라질 수 있다.
도 5 및 도 11을 참조하면, 상기 제2 포토레지스트 패턴들(20b) 및 하부막(16) 표면을 프로파일을 따라 균일한 두께로 원자층 증착막(22)을 형성한다.
상기 원자층 증착막(22)이 0.1㎚보다 얇으면 상기 막의 증착에 의한 표면 경화 효과가 감소될 수 있고, 상기 원자층 증착막(22)이 5㎚보다 두꺼우면 최종 형성되는 하드 마스크 패턴들의 선폭이 넓어질 수 있다. 그러므로, 상기 원자층 증착막(22)은 0.1 내지 5㎚의 두께 범위내의 어느 한 두께로 증착될 수 있다.
상기 원자층 증착막(22)은 하부 박막 표면에 대해 원자층 단위로 막이 형성되기 때문에 상기 0.1 내지 5㎚로 두께를 컨트롤하면서 균일한 두께의 막을 형성할 수 있다. 상기 원자층 증착막(22)은 실리콘 산화물 또는 실리콘 질화물을 포함할 수 있다.
상기 원자층 증착막(22)이 150℃ 이상의 고온에서 형성되는 경우, 상기 제2 포토레지스트 패턴(20b) 구조가 변형될 수 있다. 따라서, 상기 원자층 증착막은 150℃이하의 온도에서 형성될 수 있으며, 바람직하게는 120℃ 이하의 온도에서 형성될 수 있다. 예를들어, 상기 원자층 증착막은 0 내지 120℃의 온도에서 형성될 수 있다.
상기 원자층 증착막(22)이 실리콘 산화물인 경우, 예를들어, 다음과 같은 공정을 통해 원자층 산화막을 형성할 수 있다. 먼저, 실리콘을 함유하는 소스 가스를 공급하여 상기 제2 포토레지스트 패턴(20b) 및 하부막(16) 표면에 흡착시킨다. 상기 흡착되지 않는 소스 가스를 퍼지한다. 이 후, 산소를 포함하는 반응 가스를 공급하여 상기 흡착된 소스 가스를 산화시켜 원자층의 실리콘 산화물을 형성한다. 다음에, 반응하지 않은 상기 반응 가스를 퍼지한다. 상기 단계들은 하나의 공정 사이클을 이루고, 상기 공정 사이클의 횟수를 조절하여 실리콘 산화물의 두께를 조절할 수 있다. 따라서, 상기 공정 사이클을 반복 수행함으로써 원하는 두께를 갖는 실리콘 산화물을 형성할 수 있다.
상기 소스 가스의 예로는 SiH4, Si2H6, Si3H8, TEOS, DCS, HCD 및 TSA등을 들 수 있다. 또한, 상기 반응 가스의 예로는 O2, N2O, O3, H2O 및 H2O2 등을 들 수 있다.
상기 제2 포토레지스트 패턴들(20b) 표면에 상기 원자층 증착막(22)이 형성된 구조는 하드 마스크 패턴을 형성하기 위한 실질적인 식각 마스크 패턴들(24)로 제공된다.
상기 식각 마스크 패턴들(24)은 상기 제1 포토레지스트 패턴들(20a)에 비해 상기 라인 폭 거칠기(d5) 및 가장자리 윤곽 거칠기 특성이 더 우수하다. 또한, 상기 식각 마스크 패턴들(24)은 상기 제2 포토레지스트 패턴들(20b)에 비해 상기 라인 폭 거칠기(d5) 및 가장자리 윤곽 거칠기 특성이 더 우수하거나 동등한 수준일 수 있다.
상기 제2 포토레지스트 패턴들(20b)은 거칠기 특성은 양호할 수 있지만, 상기 유기 용매에 처리에 의해 표면 부위가 강성을 갖지 못하고 취약한 결합 구조를 갖는다. 따라서, 상기 제2 포토레지스트 패턴들(20b)만으로 후속 식각 공정을 수행하는 경우, 상기 제2 포토레지스트 패턴들(20b)의 양호한 거칠기 특성이 그대로 전사되지 못할 수 있다. 그러므로, 상기 제2 포토레지스트 패턴들(20b)을 사용하여 패터닝하더라도, 최종적으로 형성되는 하드 마스크 패턴은 거칠기 특성이 양호하지 못하게 될 수 있다.
그러나, 상기 제2 포토레지스트 패턴들(20b) 표면에 상기 원자층 증착막(22)이 구비됨으로써 식각 마스크 패턴들(24)의 표면이 매우 경화(hardening)된다. 따라서, 상기 식각 마스크 패턴들(24)은 후속 식각 공정 시에도 측벽 프로파일의 변형이 거의 일어나지 않게 된다.
도 6을 참조하면, 상기 원자층 증착막(22)을 이방성으로 식각하여 에치백한다. 상기 식각에 의해 상기 제2 포토레지스트 패턴들(20b) 사이의 하부막 상에 위치하는 원자층 증착막(22)이 제거된다. 다음에, 상기 식각 마스크 패턴들(24)을 이용하여 상기 하부막(16) 및 하드 마스크막(14)을 순차적으로 이방성 식각하여 하부막 패턴들(16a) 및 하드 마스크 패턴들(14a)을 형성한다.
상기 식각 공정을 수행하면, 상기 식각 마스크 패턴들(24)이 전사되어 상기 하부막 패턴들(16a) 및 하드 마스크 패턴들(14a)이 형성된다. 이 때, 상기 식각 마스크 패턴들(24)은 거칠기 특성이 우수하며 표면이 경화되어 있으므로, 상기 식각 공정을 수행하여 형성되는 하드 마스크 패턴들(14a)의 거칠기 특성이 우수하다. 즉, 상기 하드 마스크 패턴들(14a)의 측벽이 수직 경사를 갖고 모서리 부위가 직선 형상을 갖는다. 상기 하드 마스크 패턴들(14a)은 상기 제1 및 제2 포토레지스트 패턴들(20a, 20b)에 비해 상기 라인 폭 거칠기 및 가장자리 윤곽 거칠기 특성이 더 우수하게 될 수 있다.
도 7을 참조하면, 상기 하드 마스크 패턴들(14a) 상에 형성된 제2 포토레지스트 패턴들(20b) 및 원자층 증착막(22)을 제거한다. 또한, 상기 하드 마스크 패턴들(14a)을 이용하여 하부의 식각 대상막(12)을 식각하여 패턴들(12a)을 형성한다.
상기 공정들을 수행하면, EUV광을 사용하는 사진 공정을 수행하여, 거칠기 특성이 우수하고 표면 강성을 갖는 식각 마스크 패턴을 형성할 수 있다. 따라서, 거칠기 특성이 우수한 하드 마스크 패턴을 형성할 수 있으며, 이로 인해 30㎚급 이하의 선폭을 가지면서도 우수한 거칠기 특성을 갖는 패턴들을 형성할 수 있다.
도 12 내지 도 15는 본 발명의 일 실시예에 따른 반도체 소자의 패턴들의 형성 방법을 나타내는 평면도들이다.
본 실시예에서는, 홀들을 포함하는 패턴들을 형성한다. 상기 패턴 형성 과정의 단면도들은 도 1 내지 도 7과 동일하므로 생략하였다.
먼저, 도 1을 참조하여 설명한 공정들을 동일하게 수행한다. 따라서, 기판(10) 상에 식각 대상막(12), 하드 마스크막(14), 하부막(16) 및 제1 포토레지스트막(18)을 형성한다.
도 12를 참조하면, 상기 제1 포토레지스트막(18)에 대해 노광 마스크를 통해 노광광을 전사하는 노광 공정을 수행하여 상기 제1 포토레지스트막(18)의 일부 영역을 수용성이 되도록 한다. 상기 노광 공정에 의해, 제2 포토레지스트막(50a)이 형성된다. 즉, 홀이 형성될 수 있도록 상기 노광광이 전사되는 부위(19a)는 원형을 가질 수 있다.
상기 노광을 수행한 이 후에 포스트 노광 베이크 공정을 수행한다. 예를들어, 상기 포스트 노광 베이크 공정은 80 내지 120℃의 온도에서 진행할 수 있다. 또한, 30 내지 100초 정도 진행할 수 있다. 상기 도 12를 참조로 설명한 공정에서의 단면도는 도 2와 동일할 수 있다.
도 13을 참조하면, 상기 제2 포토레지스트막(50a)을 현상하여 제1 홀들(54a)을 포함하는 제1 포토레지스트 패턴(52a)을 형성한다. 상기 제1 포토레지스트 패턴(52a)은 제1 홀들(54a)이 규칙적으로 배열되는 형상을 가질 수 있다.
상기 현상을 수행한 이 후에 포스트 현상 베이크 공정을 수행한다. 예를들어, 상기 포스트 현상 베이크 공정은 80 내지 150℃의 온도에서 진행할 수 있다.
상기 제1 홀들(54a)은 상부 가장자리가 완전한 원형을 갖지 못하고 불량한 거칠기 특성을 가질 수 있다. 따라서, 서로 이웃하고 있는 제1 홀들 간의 거리인 제1 거리들(d6)이 불균일할 수 있다. 특히, 상기 EUV광을 이용하여 매우 작은 치수의 홀들을 형성하는 경우, 상기 거칠기 특성을 조절하기가 더욱 어렵다. 상기 도 13을 참조로 설명한 공정에서의 단면도는 도 3과 동일할 수 있다.
도 14를 참조하면, 상기 제1 홀들(54a) 측벽 부위에 유기 용매를 처리하는 스무징 공정을 수행한다. 따라서, 상기 제2 홀들(54b)을 포함하는 제2 포토레지스트 패턴들(52b)을 형성한다.
상기 스무징 공정은 도 4를 참조로 설명한 것과 동일하게 진행할 수 있다. 즉, 상기 스무징 공정은 유기 용매를 기상 상태로 상기 제1 포토레지스트 패턴(52a)에 분사하여 진행될 수 있다. 상기 스무징 공정에 사용될 수 있는 유기 용매의 예로는 에틸락테이트(Ethyl Lactate), 사이클로헥사논(Cyclohexanone), 디메틸설폭시화물(Dimethylsulfoxide), γ-부티로락톤( γ-butyrolactone), N-메틸피롤리돈(N-methylpyrrolidone, NMP) 등을 들 수 있다.
상기 스무징 공정을 수행하면, 상기 제1 홀들(54a) 측벽의 거친 표면 부위만이 용해되어 거칠기 특성이 양호한 제2 홀들(54b)이 형성된다. 따라서, 서로 이웃하고 있는 제2 홀들(54b) 간의 거리인 제2 거리들(d7)은 상기 제1 거리들(d6)보다 더 균일할 수 있다. 상기 도 14를 참조로 설명한 공정에서의 단면도는 도 4와 동일할 수 있다.
도 15를 참조하면, 상기 제2 홀들(64b)의 내부 표면 및 제2 포토레지스트 패턴(52b) 상부면 프로파일을 따라 균일하게 원자층 증착막(56)을 형성한다.
상기 원자층 증착막(56)이 0.1㎚보다 얇으면 상기 막에 의한 표면 경화 효과가 감소될 수 있고, 상기 원자층 증착막(56)이 5㎚보다 두꺼우면 최종 형성되는 홀들의 내부 폭이 좁아질 수 있다. 그러므로, 상기 원자층 증착막(56)은 0.1 내지 5㎚의 두께 범위내의 어느 한 두께로 증착될 수 있다. 상기 원자층 증착막(56)은 실리콘 산화물 또는 실리콘 질화물을 포함할 수 있다.
상기 제2 홀들(54b) 내부에 상기 원자층 증착막(56)이 형성된 구조는 실질적인 식각 마스크 패턴(58)으로 제공된다. 상기 제2 포토레지스트 패턴(52b)들 표면에 상기 원자층 증착막(56)이 구비됨으로, 상기 식각 마스크 패턴(58)의 표면은 경화될 수 있다.
도 16을 참조하면, 상기 식각 마스크 패턴(58)을 이용하여 상기 하부막 및 하드 마스크막을 순차적으로 식각하여 제3 홀들(60)을 포함하는 하부막 패턴 및 하드 마스크 패턴(62)을 형성한다. 상기 하부막 패턴은 제거하거나 또는 남겨둘 수 있다. 상기 제3 홀들(60)은 상기 제2 홀들이 그대로 전사된 것이다. 그러므로, 서로 이웃하고 있는 상기 제3 홀들(60) 간의 거리는 균일할 수 있다.
이 후, 상기 하드 마스크 패턴(62)을 이용하여 하부 식각 대상막을 식각하여 홀들을 포함하는 패턴을 형성할 수 있다.
상기 공정들을 수행하면, EUV광을 사용하는 사진 공정을 수행하여, 거칠기 특성이 우수하고 표면 강성을 갖고 홀들이 배치되는 식각 마스크 패턴을 형성할 수 있다. 따라서, 표면 거칠기 특성이 우수하고 홀들 간의 간격이 균일한 하드 마스크 패턴을 형성할 수 있으며, 이로 인해 30㎚급 이하의 직경을 갖는 홀들을 형성할 수 있다.
비교 실험 1
샘플 1
스텝 1 : 포토레지스트 패턴 형성 공정
샘플 기판 상에 하드 마스크막 및 하부막을 형성하였다. 상기 하드 마스크막은 실리콘 산 질화물로 형성하였다. 상기 하드 마스크막은 화학 기상 증착법으로 형성하였다. 상기 하부막은 10㎚의 두께로 형성하였다.
상기 하부막 상에 포토레지스트막을 코팅하였다. 상기 포토레지스트막은 EUV 노광용 포토레지스트막이며, 60 ㎚의 두께로 형성하였다.
상기 포토레지스트막을 EUV광을 이용하여 노광하였다. 이 때, 30㎚ 타겟의 라인 앤 스페이스를 형성하기 위한 노광 마스크를 사용하였다. 상기 노광 공정 후 포스트 노광 베이크 공정을 진행하였다. 상기 포스트 노광 베이크 공정은 100℃의 온도에서 진행하였다. 다음에, 현상 공정을 진행하여 제1 포토레지스트 패턴들을 형성하였다. 상기 현상 공정 후 포스트 현상 베이크 공정을 진행하였다. 상기 포스트 현상 베이크 공정은 140℃의 온도에서 진행하였다.
상기 제1 포토레지스트 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
스텝 2 : 스무징 공정
상기 제1 포토레지스트 패턴들에 대해 스무징 공정을 수행하였다.
상기 스무징 공정은 N-메틸피롤리돈을 유기 용매로 사용하였다. 상기 제1 포토레지스트 패턴들이 형성된 기판은 약100℃온도로 가열하였으며, 120초간 스무징 공정을 진행하였다.
상기 스무징 공정을 수행하여 형성된 제2 포토레지스트 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
스텝 3 : 원자층 증착막 형성 공정
상기 제2 포토레지스트 패턴들 및 하부막 표면을 따라 실리콘 산화막을 원자층 증착 공정을 통해 형성하였다. 상기 실리콘 산화막은 3 ㎚의 두께로 형성하였다.
상기 공정을 수행하여 형성된 식각 마스크 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
비교 실험1 결과
선폭(㎚) LWR (㎚) LER(㎚)
제1 포토레지스트 패턴 34.0 4.0 2.5
제2 포토레지스트 패턴 33.9 3.6 2.2
식각 마스크 패턴 37.7 3.5 2.0
비교 실험 1의 결과, 상기 식각 마스크 패턴은 상기 제1 포토레지스트 패턴과 제2 포토레지스트 패턴에 비해 LWR 및 LER 특성이 더 양호함을 알 수 있었다. 즉, 상기 스무징 처리 및 원자층 증착막 형성 공정을 수행하여 식각 마스크 패턴을 형성함으로써, 식각 마스크 패턴의 거칠기 특성을 향상시킬 수 있음을 알 수 있었다.
비교 실험 2
샘플 2
샘플 기판 상에 상기 스탭 1 내지 스탭 3에서 설명한 공정을 동일하게 진행하여, 식각 마스크 패턴들을 형성하였다.
상기 식각 마스크 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
스탭 4 : 하드 마스크 패턴 형성
상기 식각 마스크 패턴을 이용하여 상기 하드 마스크막을 식각하여 하드 마스크 패턴들을 형성하였다.
상기 하드 마스크 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
비교 샘플 1
상기 스탭 1 및 스탭 2에서 설명한 공정을 동일하게 수행하여 제2 포토레지스트 패턴을 형성하였다.
상기 제2 포토레지스트 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
다음에, 상기 제1 포토레지스트 패턴을 이용하여 상기 하드 마스크막을 식각하여 하드 마스크 패턴들을 형성하였다. 즉, 상기 스텝 2 및 3을 수행하지 않았다.
상기 하드 마스크 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
비교 샘플 2
상기 스탭 1에서 설명한 공정을 수행하여 제1 포토레지스트 패턴을 형성하였다.
상기 제1 포토레지스트 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
다음에, 상기 제1 포토레지스트 패턴을 이용하여 상기 하드 마스크막을 식각하여 하드 마스크 패턴들을 형성하였다. 즉, 상기 스텝 2 및 3을 수행하지 않았다.
상기 하드 마스크 패턴들의 선폭, LWR 및 LER를 각각 측정하였다.
비교 실험2 결과
선폭(㎚) LWR (㎚) LER(㎚)
식각 마스크 하드 마스크 식각 마스크 하드 마스크 식각 마스크 하드 마스크
샘플 2 36.63 34.34 3.31 2.80 2.45 2.14
비교 샘플 1 33.10 33.89 3.57 2.99 2.25 2.28
비교 샘플 2 32.12 31.84 3.89 3.14 2.46 2.29
비교 실험 2의 결과, 본 발명의 일 실시예에 따라 형성된 샘플 2의 식각 마스크 패턴은 비교 샘플 1 및 2의 제1 포토레지스트 패턴과 제2 포토레지스트 패턴에 비해 LWR 및 LER 특성이 더 양호함을 알 수 있었다. 즉, 상기 스무징 처리 및 원자층 증착막 형성 공정을 수행하여 식각 마스크 패턴을 형성함으로써, 식각 마스크 패턴의 거칠기 특성을 향상시킬 수 있음을 알 수 있었다.
또한, 본 발명의 일 실시예에 따라 형성된 상기 식각 마스크 패턴을 식각 마스크로 사용하여 패터닝함으로써 형성된 샘플 2의 하드 마스크 패턴은 비교 샘플 1 및 비교 샘플 2의 하드 마스크 패턴보다 LWR 및 LER 특성이 더 양호함을 알 수 있었다. 따라서, 상기 식각 마스크 패턴을 사용함으로써, 최종적으로 형성되는 하드 마스크 패턴의 거칠기 특성을 향상시킬 수 있음을 알 수 있었다.
본 발명은 반도체 소자의 제조에서 미세한 선폭을 갖는 패턴을 형성하는데 다양하게 이용될 수 있다. 특히, 고집적화되면서 고성능을 요구하는 반도체 소자의 배선 또는 콘택 등을 형성하는데 사용될 수 있다.
10 : 기판 14a, 62 : 하드 마스크 패턴
18 : 제1 포토레지스트막 18a, 50a : 제2 포토레지스트막
20a, 52a : 제1 포토레지스트 패턴
20b, 52b : 제2 포토레지스트 패턴
22, 56 : 원자층 증착막 24, 58 : 식각 마스크 패턴
54a : 제1 홀 54b : 제2 홀
60 : 제3 홀

Claims (10)

  1. 기판 상에 하드 마스크막을 형성하는 단계;
    상기 하드 마스크막 상에 포토레지스트막을 코팅하는 단계;
    상기 포토레지스트막에 대해 노광 및 현상하여 제1 포토레지스트 패턴을 형성하는 단계;
    상기 제1 포토레지스트 패턴의 표면에 유기 용매를 접촉시키는 스무징 공정을 수행하여 상기 제1 포토레지스트 패턴과 다른 거칠기 특성을 갖는 제2 포토레지스트 패턴을 형성하는 단계;
    상기 제2 포토레지스트 패턴의 표면 프로파일을 따라 원자층 증착막을 형성하는 단계; 및
    제2 포토레지스트 패턴 및 상기 제2 포토레지스트 패턴의 표면에 형성된 원자층 증착막을 식각 마스크로 이용하여 상기 하드 마스크막을 식각하여 하드 마스크 패턴을 형성하는 단계를 포함하고,
    상기 스무징 공정에서 상기 제1 포토레지스트 패턴이 형성된 기판은 80 내지 120℃로 히팅되고, 상기 유기 용매는 기상 상태로 분사되는 반도체 소자의 패턴 형성 방법.
  2. 제1항에 있어서, 상기 유기 용매는 에틸락테이트(Ethyl Lactate), 사이클로헥사논(Cyclohexanone), 디메틸설폭시화물(Dimethylsulfoxide), γ-부티로락톤(γ-butyrolactone), N-메틸피롤리돈(N-methylpyrrolidone, NMP)으로 이루어진 군에서 선택된 어느 하나인 반도체 소자의 패턴 형성 방법.
  3. 삭제
  4. 제1항에 있어서, 상기 제1 포토레지스트 패턴은 라인 및 스페이스가 반복되게 배치되도록 형성하는 반도체 소자의 패턴 형성 방법.
  5. 제1항에 있어서, 상기 제1 포토레지스트 패턴은 홀들이 규칙적으로 배치되도록 형성하는 반도체 소자의 패턴 형성 방법.
  6. 제1항에 있어서, 상기 원자층 증착막은 실리콘 산화물 또는 실리콘 질화물을 포함하는 반도체 소자의 패턴 형성 방법.
  7. 제1항에 있어서, 상기 원자층 증착막은 0.1 내지 5㎚의 두께 범위내의 어느 한 두께로 증착되는 반도체 소자의 패턴 형성 방법.
  8. 제1항에 있어서, 상기 원자층 증착막을 형성하는 단계는 0 내지 120℃의 온도에서 수행되는 반도체 소자의 패턴 형성 방법.
  9. 제1항에 있어서, 상기 하드 마스크막 및 포토레지스트막 사이에 하부막을 형성하는 단계를 더 포함하는 반도체 소자의 패턴 형성 방법.
  10. 제1항에 있어서, 상기 포토레지스트막을 노광하는 공정에서 노광광은 극자외선 광을 포함하는 반도체 소자의 패턴 형성 방법.
KR1020140021687A 2014-02-25 2014-02-25 반도체 소자의 패턴 형성 방법 KR102233577B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020140021687A KR102233577B1 (ko) 2014-02-25 2014-02-25 반도체 소자의 패턴 형성 방법
US14/519,813 US9520289B2 (en) 2014-02-25 2014-10-21 Methods of forming a pattern of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140021687A KR102233577B1 (ko) 2014-02-25 2014-02-25 반도체 소자의 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20150100193A KR20150100193A (ko) 2015-09-02
KR102233577B1 true KR102233577B1 (ko) 2021-03-30

Family

ID=53882904

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140021687A KR102233577B1 (ko) 2014-02-25 2014-02-25 반도체 소자의 패턴 형성 방법

Country Status (2)

Country Link
US (1) US9520289B2 (ko)
KR (1) KR102233577B1 (ko)

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
JP6477270B2 (ja) * 2015-06-09 2019-03-06 信越化学工業株式会社 パターン形成方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN110386587A (zh) * 2018-04-18 2019-10-29 北京大学 一种基于ald技术的硅湿法腐蚀掩膜方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US20220157617A1 (en) * 2019-03-18 2022-05-19 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
US10867794B2 (en) * 2019-03-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor devices and structures resulting therefrom
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
CN112462452A (zh) * 2020-10-27 2021-03-09 中国科学院微电子研究所 制作光子晶体的方法及光子晶体
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110316114A1 (en) * 2006-04-07 2011-12-29 Micron Technology, Inc. Simplified pitch doubling process flow
US20130312659A1 (en) * 2007-12-17 2013-11-28 Tokyo Electron Limited Substrate processing method and substrate processing system

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723235B2 (en) 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
KR100854217B1 (ko) 2004-09-17 2008-08-25 가부시끼가이샤 르네사스 테크놀로지 반도체 장치의 제조 방법
US8026180B2 (en) 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US9039908B2 (en) 2008-08-27 2015-05-26 Applied Materials, Inc. Post etch reactive plasma milling to smooth through substrate via sidewalls and other deeply etched features
KR20100042959A (ko) * 2008-10-17 2010-04-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR20110003033A (ko) 2009-07-03 2011-01-11 주식회사 하이닉스반도체 반도체 소자의 제조방법
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
KR20120026314A (ko) 2010-09-09 2012-03-19 삼성전자주식회사 미세 패턴 형성 방법
KR101834253B1 (ko) 2010-12-03 2018-03-06 삼성전자주식회사 막질 간 인터믹싱을 제어하는 dpt 공정을 이용한 반도체 소자의 제조방법 및 그 방법에 의해 제조된 반도체 소자
JP5659873B2 (ja) 2010-12-16 2015-01-28 富士通株式会社 レジストパターン改善化材料、レジストパターンの形成方法、及び半導体装置の製造方法
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
US8460569B2 (en) 2011-04-07 2013-06-11 Varian Semiconductor Equipment Associates, Inc. Method and system for post-etch treatment of patterned substrate features
KR20120120729A (ko) 2011-04-25 2012-11-02 에스케이하이닉스 주식회사 반도체장치의 금속패턴 제조 방법
KR101395679B1 (ko) 2011-05-27 2014-05-15 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 폴리머 조성물 및 폴리머를 포함하는 포토레지스트
EP2527379A1 (en) 2011-05-27 2012-11-28 Rohm and Haas Electronic Materials LLC Polymer and photoresist comprising the polymer
TW201308021A (zh) 2011-06-15 2013-02-16 Applied Materials Inc 調控增強的電子自旋以控制光阻線寬粗糙度之方法與設備
US20130040246A1 (en) 2011-08-09 2013-02-14 Tokyo Electron Limited Multiple chemical treatment process for reducing pattern defect
JP5840446B2 (ja) 2011-10-11 2016-01-06 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH レジストパターンの表面処理方法およびそれを用いたレジストパターン形成方法
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
US20130267097A1 (en) 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110316114A1 (en) * 2006-04-07 2011-12-29 Micron Technology, Inc. Simplified pitch doubling process flow
US20130312659A1 (en) * 2007-12-17 2013-11-28 Tokyo Electron Limited Substrate processing method and substrate processing system

Also Published As

Publication number Publication date
US9520289B2 (en) 2016-12-13
KR20150100193A (ko) 2015-09-02
US20150243520A1 (en) 2015-08-27

Similar Documents

Publication Publication Date Title
KR102233577B1 (ko) 반도체 소자의 패턴 형성 방법
JP5638413B2 (ja) マスクパターンの形成方法
KR20110094189A (ko) 기판 제작 방법
JP5086283B2 (ja) パターン形成方法及び半導体装置の製造方法
TW201841221A (zh) 用以降低微影瑕疵之方法與圖案轉移之方法
KR20090124353A (ko) 반도체 소자의 패턴 형성 방법
KR100493029B1 (ko) 반도체 소자의 미세 패턴 형성방법
TWI455179B (zh) 製造一包含光阻圖案在一基板上之方法
TWI413158B (zh) 半導體製程及用於此半導體製程的設備
KR101078719B1 (ko) 반도체 소자의 제조방법
KR20090011933A (ko) 반도체 소자의 제조방법
US7965382B2 (en) Methods and apparatus for multi-exposure patterning
KR100472029B1 (ko) 포토레지스트의 선택비 제어방법
US6686129B2 (en) Partial photoresist etching
KR100645835B1 (ko) 반도체 소자의 감광막패턴 형성 방법
TWI821518B (zh) 藉由電漿選擇性沉積之線粗糙度改善方法
US10438806B2 (en) Methods and system of using organosilicates as patterning films
KR100734664B1 (ko) 랭뮤어 블로젯막의 배향 원리를 이용한 미세 패턴 형성방법
KR20010027172A (ko) 반도체 장치의 패턴 형성방법
US20210318618A1 (en) Substrate treatment method and substrate treatment system
KR20060070659A (ko) Barc 실리레이션를 이용한 반도체 소자의 제조 방법
JPH01304458A (ja) パターン形成方法
JPS6153726A (ja) パタ−ン形成法
KR20060122270A (ko) 반도체 소자의 미세패턴 형성방법
CN111630628A (zh) 半导体制造工艺中用于形成微细硅图案的新型蚀刻方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant