KR20230159618A - 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스 - Google Patents

방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스 Download PDF

Info

Publication number
KR20230159618A
KR20230159618A KR1020237038357A KR20237038357A KR20230159618A KR 20230159618 A KR20230159618 A KR 20230159618A KR 1020237038357 A KR1020237038357 A KR 1020237038357A KR 20237038357 A KR20237038357 A KR 20237038357A KR 20230159618 A KR20230159618 A KR 20230159618A
Authority
KR
South Korea
Prior art keywords
euv
substrate
chamber
dry
processing
Prior art date
Application number
KR1020237038357A
Other languages
English (en)
Inventor
정이 유
사만다 에스.에이치. 탄
모함메드 하룬 알비
리차드 와이즈
양 판
리차드 에이. 고트초
아드리엔 라보에
시바난다 크리슈난 카나카사바파티
티모시 윌리엄 와이드먼
칭후앙 린
제롬 후벡
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230159618A publication Critical patent/KR20230159618A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

EUV를 사용하여 패터닝될 수도 있는 반도체 기판들 상에 박막들을 제조하기 위한 방법들은: 반도체 기판의 표면 상에 유기 금속 폴리머-유사 재료를 증착하는 단계, 패턴을 형성하기 위해 표면을 EUV에 노출시키는 단계, 및 하부 층으로 나중의 전사를 위해 패턴을 현상하는 단계를 포함한다. 증착 동작들은 금속 전구체들 및 대응-반응물질들이 시간 또는 공간에서 분리되는, CVD (Chemical Vapor Deposition), ALD (Atomic Layer Deposition), 및 불연속적인, ALD-유사 프로세스와 같은, CVD 컴포넌트를 사용하는 ALD에 의해 수행될 수도 있다.

Description

방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스{INTEGRATED DRY PROCESSES FOR PATTERNING RADIATION PHOTORESIST PATTERNING}
본 명세서에 제공된 배경기술 기술 (description) 은 본 기술 (technology) 의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 기술에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
집적 회로들과 같은 반도체 디바이스들의 제조는 포토리소그래피 (photolithography) 를 수반하는 다단계 프로세스이다. 일반적으로, 프로세스는 웨이퍼 상에 재료의 증착, 및 반도체 디바이스의 구조적 피처들 (예를 들어, 트랜지스터들 및 회로) 을 형성하기 위해 리소그래픽 기법들을 통해 재료를 패터닝하는 것을 포함한다. 당업계에 공지된 통상적인 포토리소그래피 프로세스의 단계들은: 기판을 준비하는 단계; 스핀 코팅에 의해서와 같이 포토레지스트를 도포하는 단계; 포토레지스트의 노출된 영역들로 하여금 현상 용액에 보다 잘 또는 보다 덜 용해성 (soluble) 이 되게 하도록, 목표된 패턴의 광에 포토레지스트를 노출하는 단계; 포토레지스트의 노출된 영역들 또는 비노출 (unexposed) 영역들을 제거하기 위해 현상 용액을 도포함으로써 현상하는 단계; 및 에칭 또는 재료 증착에 의해서와 같이 포토레지스트가 제거된 기판의 영역들 상에 피처들을 생성하기 위한 후속 프로세싱 단계를 포함한다.
반도체 설계의 진화는 반도체 기판 재료들로 훨씬 보다 작은 피처들을 생성할 필요성을 생성하였고, 능력에 의해 구동되었다. 이 기술의 진보는 치밀 집적 회로들에서 트랜지스터들의 밀도가 2 년마다 두 배가 되는 "Moore의 법칙"으로 특성화되었다. 사실, 칩 설계 및 제작은 최신 마이크로프로세서들이 단일 칩 상에 수십억 개의 트랜지스터들 및 다른 회로 피처들을 포함할 수도 있도록 발전되었다. 이러한 칩들 상의 개별적인 피처들은 대략 22 나노미터 (㎚) 이하, 일부 경우들에서 10 ㎚ 미만일 수도 있다.
이러한 작은 피처들을 갖는 디바이스를 제조하는 것의 일 과제는 충분한 분해능을 갖는 포토리소그래피 마스크들을 신뢰성 있고 재생 가능하게 생성하는 능력이다. 현재 포토리소그래피 프로세스들은 통상적으로 포토레지스트를 노출하기 위해 통상적으로 193 ㎚ 자외선 광 (UV light) 을 사용한다. 광이 반도체 기판 상에 생성될 목표된 사이즈의 피처들보다 훨씬 보다 큰 파장을 갖는다는 사실은 고유의 문제들을 생성한다. 광의 파장보다 작은 피처 사이즈들을 달성하는 것은 멀티패터닝과 같은 복잡한 분해능 향상 기법들의 사용을 필요로 한다. 따라서, 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 갖는 극 자외선 (Extreme Ultraviolet Radiation; EUV) 과 같은 보다 짧은 파장 광을 사용하는 포토리소그래피 기법들의 개발에 상당한 관심 및 연구 노력이 있다.
그러나, EUV 포토리소그래피 프로세스들은 낮은 전력 출력 및 패터닝 동안 광의 손실을 포함하는 문제들을 제시할 수 있다. 193 ㎚ UV 리소그래피에 사용된 것들과 유사한 종래의 유기 CAR (Chemically Amplified Resists) 은 EUV 리소그래피에 사용될 때, 특히 EUV 영역에서 낮은 흡수 계수들을 갖고, 광-활성화된 화학 종의 확산은 블러 (blur) 또는 라인 에지 거칠기를 발생시킬 수 있기 때문에 잠재적인 결점들을 갖는다. 또한, 하부 디바이스 층들을 패터닝하기 위해 요구된 에칭 내성을 제공하기 위해, 종래의 CAR 재료들의 패터닝된 작은 피처들은 패턴 붕괴의 위험이 있는 고 종횡비들을 발생시킬 수 있다. 따라서, 감소된 두께, 보다 큰 흡광도, 및 보다 큰 에칭 내성과 같은 특성들을 갖는, 개선된 EUV 포토레지스트 재료들에 대한 필요성이 남아 있다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
패터닝된 포토레지스트를 형성하기 위한 통합된 프로세스의 방법들 및 시스템들이 본 명세서에 개시된다. 본 명세서에 개시된 실시 예들의 일 양태에서, 통합된 리소그래피 시스템이 제공되고, 시스템은 클러스터 내의 복수의 반응 챔버들로서, 복수의 반응 챔버들은, 포토레지스트 (photoresist; PR) 증착 챔버; 도포 후 소성 (Post-Application Bake; PAB) 챔버; 노출 후 소성 (post-exposure bake; PEB) 챔버; 현상 챔버를 포함하는, 복수의 반응 챔버들; 및 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기로서, 하나 이상의 메모리 디바이스들은, PR 증착 챔버 내에 기판을 수용하고; PR 증착 챔버 내의 기판의 표면 상에 PR을 증착하고; PAB 챔버 내에 PR을 갖는 기판을 수용하고; PR의 재료 특성들을 수정하도록 PAB 챔버 내에서 PR을 처리하고; PR을 처리한 후, PEB 챔버 내에 기판을 수용하고―PR의 부분들은 패터닝된 PR을 생성하도록 방사선에 노출함으로써 화학적으로 변경됨―; 패터닝된 PR의 재료 특성들을 수정하기 위해 PEB 챔버 내에서 패터닝된 PR을 처리하고; 그리고 PR 마스크를 형성하기 위해 화학적 화합물에 대한 노출을 포함하는 건식 현상 (dry development) 프로세스에 의해 패터닝된 PR의 노출된 부분 또는 노출되지 않은 부분을 제거함으로써 현상 챔버에서 패터닝된 PR을 건식 현상하도록, 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 제어기를 포함한다.
일부 실시 예들에서, PR은 금속-함유 PR일 수도 있다. 일부 실시 예들에서, PR은 EUV PR일 수도 있다. 일부 실시 예들에서, 복수의 반응 챔버들은 기판 세정 챔버를 더 포함하고, 그리고 컴퓨터-실행 가능 인스트럭션들은 PAB 챔버 내에 PR을 갖는 기판을 수용하기 위한 컴퓨터-실행 가능 인스트럭션 전에, 세정 챔버 내에 PR을 갖는 기판을 수용하고; 그리고 기판의 베벨 에지 및/또는 배면으로부터 PR을 제거하기 위해 건식 세정 프로세스를 수행하도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 포함한다. 일부 실시 예들에서, 복수의 반응 챔버들은 하부 층 증착 챔버를 더 포함하고, 컴퓨터-실행 가능 인스트럭션들은 PR을 증착하기 위한 컴퓨터-실행 가능 인스트럭션 전에, 건식 프로세스를 통해 기판의 표면 상에 하부 층을 증착하도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 포함하고, 하부 층은 기판에 PR의 접착을 증가시킨다. 일부 실시 예들에서, 하부 층 증착 챔버는 PR 증착 챔버일 수도 있다.
일부 실시 예들에서, 복수의 반응 챔버들은 전처리 챔버를 더 포함하고, 컴퓨터-실행 가능 인스트럭션들은 금속-함유 PR을 증착하기 위한 컴퓨터-실행 가능 인스트럭션 전에, 기판의 표면 상에 보다 많은 노출된 하이드록실기들을 유발하도록 건식 프로세스를 통해 기판의 표면을 처리하도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 포함한다. 일부 실시 예들에서, 복수의 반응 챔버들은 각각 대기압 미만이고, 그리고 PR은 건식 현상 프로세스 후까지 대기압 미만 하일 수도 있다. 일부 실시 예들에서, 기판의 주변 환경은 패터닝된 PR을 건식 현상하기 전에 수분에 대한 포토레지스트의 노출을 감소시키도록 제어될 수도 있다. 일부 실시 예들에서, 컴퓨터-실행 가능 인스트럭션들은 유기 금속 전구체의 증기 스트림을 대응-반응 물질 (counter-reactant) 의 증기 스트림과 혼합하는 것을 포함하는 건식 프로세스를 통해 PR을 증착하기 위한 컴퓨터-실행 가능 인스트럭션들을 더 포함한다. 일부 실시 예들에서, 복수의 반응 챔버들에 의해 수행된 프로세스들은 완전 (all) 건식 프로세스들이다. 일부 실시 예들에서, 복수의 반응 챔버들에 의해 수행된 프로세스들은 습식 프로세스 및 건식 프로세스를 포함한다.
일부 실시 예들에서, 클러스터는 복수의 PR 증착 챔버들을 포함한다. 일부 실시 예들에서, PAB 챔버 및 PEB 챔버는 동일한 챔버이다. 일부 실시 예들에서, 컴퓨터-실행 가능 인스트럭션들은 PAB 챔버 내에서 기판의 베벨 에지 및/또는 배면으로부터 PR을 제거하기 위해 건식 세정 프로세스를 수행하도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 포함한다. 일부 실시 예들에서, 복수의 반응 챔버들은 리소그래피 스캐너를 더 포함하고, 그리고 컴퓨터-실행 가능 인스트럭션들은 PEB 챔버 내에 기판을 수용하기 위한 컴퓨터-실행 가능 인스트럭션 전에, 리소그래피 스캐너에서 기판을 수용하고; 그리고 패터닝된 PR을 생성하도록 PR의 부분들을 방사선에 노출시키도록 하나 이상의 프로세서들을 제어하기 위한 인스트럭션들을 더 포함한다. 일부 실시 예들에서, 복수의 기판 프로세싱 환경들은 열 처리 프로세싱 환경을 더 포함하고, 하나 이상의 메모리 디바이스들은 금속-함유 PR의 부분들이 패터닝된 PR을 생성하도록 방사선에 노출함으로써 화학적으로 변경되기 전 및/또는 변경 후에 열 처리 프로세싱 환경 내에서 금속-함유 PR을 열적으로 처리하도록 하나 이상의 프로세서들을 제어하기 위한 추가의 컴퓨터-실행 가능 인스트럭션들을 저장한다.
본 명세서에 개시된 실시 예들의 또 다른 양태에서, 통합된 기판 프로세싱 시스템이 제공되고, 시스템은 복수의 기판 프로세싱 환경들로서, 금속-함유 포토레지스트 (PR) 증착 환경 및 부가적인 금속-함유 포토레지스트 (PR) 증착 환경, 금속-함유 PR 현상 환경, 및 열 처리 프로세싱 환경으로 구성된 그룹으로부터 선택된 하나 이상의 다른 별도의 통합된 프로세싱 환경들을 포함하는, 복수의 기판 프로세싱 환경들; 및 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기로서, 하나 이상의 메모리 디바이스들은, 금속-함유 PR 증착 환경에서 기판을 수용하고; 금속-함유 PR 증착 환경 내에서 기판의 표면 상에 금속-함유 PR을 증착하고; 그리고 하나 이상의 다른 별도의 통합된 프로세싱 환경들에서 부가적인 PR 프로세싱 동작들을 수행하도록 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 제어기를 포함한다.
일부 구현 예들에서, 복수의 기판 프로세싱 환경들은 PR 건식 현상 프로세싱 환경을 더 포함하고, 그리고 하나 이상의 메모리 디바이스들은, 금속-함유 PR의 부분들이 패터닝된 PR을 생성하도록 방사선에 대한 노출에 의해 화학적으로 변경된 후, PR 마스크를 형성하기 위해 화학적 화합물로의 노출을 포함하는 건식 현상 프로세스에 의해 패터닝된 PR의 노출된 부분 또는 노출되지 않은 부분을 제거함으로써 현상 프로세싱 환경 내에서 패터닝된 PR을 건식 현상하도록 하나 이상의 프로세서들을 제어하기 위한 추가의 컴퓨터-실행 가능 인스트럭션들을 저장한다. 일부 구현 예들에서, 복수의 기판 프로세싱 환경들은 스캐너 환경을 더 포함하고, 그리고 하나 이상의 메모리 디바이스들은, 노출된 부분들을 화학적으로 변경하기 위해 금속-함유 PR의 부분들을 스캐너 환경 내의 방사선에 노출시키도록 하나 이상의 프로세서들을 제어하기 위한 추가의 컴퓨터-실행 가능 인스트럭션들을 저장한다.
일부 구현 예들에서, 복수의 기판 프로세싱 환경들은 클러스터 내의 상이한 프로세스 챔버들이다. 일부 구현 예들에서, 복수의 기판 프로세싱 환경들은 챔버 내의 상이한 스테이션들이다. 일부 구현 예들에서, 복수의 기판 프로세싱 환경들은 3 개의 금속-함유 PR 증착 환경들을 포함한다. 일부 구현 예들에서, 복수의 기판 프로세싱 환경들은 2 개의 금속-함유 PR 증착 환경들 및 금속-함유 PR 현상 환경을 포함하고, 그리고 하나 이상의 메모리 디바이스들은, 금속-함유 PR의 부분들이 패터닝된 PR을 생성하도록 방사선에 노출함으로써 화학적으로 변경된 후, 건식 프로세스를 통해 패터닝된 PR을 현상하도록 하나 이상의 프로세서들을 제어하기 위한 추가의 컴퓨터-실행 가능 인스트럭션들을 저장한다.
일부 구현 예들에서, 복수의 기판 프로세싱 환경들은 금속-함유 PR 증착 환경들, 열 처리 프로세싱 환경, 및 금속-함유 PR 현상 환경을 포함하고, 그리고 하나 이상의 메모리 디바이스들은, 금속-함유 PR의 부분들이 패터닝된 PR을 생성하도록 방사선에 노출에 의해 화학적으로 변경되기 전 및/또는 변경된 후에, 열 처리 프로세싱 환경 내에서 금속-함유 PR을 열적으로 처리하고, 그리고 열 처리 프로세싱 환경 내에서 금속-함유 PR을 열적으로 처리한 후, 건식 프로세스를 통해 패터닝된 PR을 현상하도록 하나 이상의 프로세서들을 제어하기 위한 추가의 컴퓨터-실행 가능 인스트럭션들을 저장한다. 일부 구현 예들에서, 복수의 기판 프로세싱 환경들은 금속-함유 PR 증착 환경들, 제 1 열 처리 프로세싱 환경, 제 2 열 처리 프로세싱 환경, 및 금속-함유 PR 현상 환경을 포함하고, 그리고 하나 이상의 메모리 디바이스들은, 금속-함유 PR의 부분들이 패터닝된 PR을 생성하도록 방사선에 노출에 의해 화학적으로 변경되기 전에, 제 1 열 처리 프로세싱 환경 내에서 금속-함유 PR을 열적으로 처리하고, 금속-함유 PR의 부분들이 패터닝된 PR을 생성하도록 방사선에 노출에 의해 화학적으로 변경된 후에, 제 2 열 처리 프로세싱 환경 내에서 금속-함유 PR을 열적으로 처리하고, 그리고 제 2 열 처리 프로세싱 환경 내에서 금속-함유 PR을 열적으로 처리한 후, 건식 프로세스를 통해 패터닝된 PR을 현상하도록 하나 이상의 프로세서들을 제어하기 위한 추가의 컴퓨터-실행 가능 인스트럭션들을 저장한다.
개시된 실시 예들의 이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 보다 상세히 기술될 것이다.
도 1은 일 예시적인 실시 예에 대한 프로세스 흐름도를 제시한다.
도 2는 본 기술 (technology) 의 예시적인 화학 반응 스킴 (scheme) 을 도시한다.
도 3a 내지 도 3e는 예시적인 실시 예에 대한 대표적인 프로세스 플로우를 예시한다.
도 4 내지 도 9는 개시된 실시 예들에 따른 방법들을 수행하기 위한 프로세스 챔버들의 예들의 개략도들이다.
도 10a 내지 도 10f는 개시된 실시 예들에 따른 다양한 프로세스 클러스터 구성들의 개략도들을 제시한다.
도 11 및 도 12 그리고 도 13a 및 도 13b는 개시된 실시 예들에 따른 방법들을 수행하도록 사용될 수도 있는 다양한 예시적인 툴 아키텍처들을 제시한다.
본 개시 (disclosure) 는 일반적으로 반도체 프로세싱의 분야에 관한 것이다. 특정한 양태들에서, 본 개시는 패터닝 마스크를 형성하기 위해 EUV 패터닝 및 EUV 패터닝된 막 현상의 맥락에서 EUV 포토레지스트들 (예를 들어, EUV-감응 (sensitive) 금속 막 및/또는 금속 옥사이드-함유 레지스트 막) 의 프로세싱을 위한 방법들 및 장치에 관한 것이다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피를 수반한다. 193 ㎚ 포토리소그래피와 같은 종래의 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토레지스트 상에 프린팅하여, 현상 후 패턴을 형성하기 위해 포토레지스트에서 포토레지스트의 특정한 부분들을 제거하는 화학 반응을 유발함으로써 프린팅된다.
(ITRS (International Technology Roadmap for Semiconductors) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 구조체의 통상적인 비아 또는 라인의 폭은 통상적으로 약 30 ㎚보다 크지 않다. 발전된 반도체 집적 회로들 (Integrated Circuits; IC들) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동한다.
극자외선 (EUV) 리소그래피는 종래의 포토리소그래피 방법들로 달성될 수 있는 것보다 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술 (technology) 을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 방사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
EUV 리소그래피는 하부 층들을 에칭하는데 사용하기 위해 마스크들을 형성하도록 패터닝된 EUV 레지스트들을 사용한다. EUV 레지스트들은 액체-기반 스핀-온 (spin-on) 기법들 (techniques) 에 의해 생성된 폴리머-기반 CAR들 (Chemically Amplified Resists) 일 수도 있다. CAR들에 대한 대안은, 예를 들어, 적어도 포토패터닝 가능한 금속 옥사이드-함유 막들의 개시를 위해 본 명세서에 참조로 인용된, 미국 특허 공보 US 2017/0102612, US 2016/021660 및 US 2016/0116839에 기술되고, OR, Corvallis 소재의, Inpria로부터 입수 가능한 것과 같은 직접 포토패터닝 가능한 금속 옥사이드-함유 막들이다. 이러한 막들은 스핀-온 기법들에 의해 생성되거나 건식 기상 증착될 수도 있다. 금속 옥사이드-함유 막은 예를 들어, 2018 년 6 월 12 일 허여되고 명칭이 EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS인 미국 특허 제 9,996,004 호, 2019 년 5 월 9 일에 출원되고 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS인 PCT/US19/31618 호에 기술된 바와 같이, 30 ㎚ 이하의 패터닝 분해능을 제공하는 진공 분위기에서 EUV 노출에 의해 직접 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크들을 형성하기 위해 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시들이 본 명세서에 참조로서 인용된다. 일반적으로, 패터닝은 레지스트 내에 포토 패턴을 형성하기 위해 EUV 방사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토 패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 또한 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 방사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 보다 저 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 적용 예들에 종속될 수도 있다. 따라서, 본 출원에 기술된 방법들은 단지 본 기술에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
직접 포토패터닝 가능한 EUV 레지스트들은 유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡착을 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 현재까지, 이들 레지스트들은 웨이퍼가 현상 용매에 노출되고, 건조되고, 소성되는 (bake), 트랙으로 이동하는 것을 필요로 하는, 습식 (용매) 접근법 (approach) 을 사용하여 현상되었다. 습식 현상 (wet development) 은 생산성을 제한할 뿐만 아니라 미세 피처들 사이의 용매의 증발 동안 표면 장력 효과들로 인해 라인 붕괴를 야기할 수 있다.
기판 박리 및 계면 파손들 (interface failures) 을 제거함으로써 이들 문제들을 극복하기 위해 건식 현상 기법들이 제안되었다. 건식 현상은 습식 현상과 비교할 때 효과적인 레지스트 노출을 위해 보다 높은 도즈 대 사이즈 요건을 야기할 수 있는 비노출 레지스트 재료와 EUV 노출된 레지스트 재료 사이의 에칭 선택도를 포함하여, 고유의 문제들을 갖는다. 차선의 선택도는 또한 에칭 가스 하에서 보다 긴 노출들로 인해 PR 코너 라운딩을 유발할 수 있고, 이는 후속하는 전사 에칭 단계에서 라인 CD (critical dimension) 변동을 증가시킬 수도 있다.
도 1은 일부 실시 예들에 따라 포토레지스트를 증착하고 현상할 때 건식 챔버 세정을 수행하기 위한 예시적인 방법의 흐름도를 제시한다. 프로세스 (100) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 보다 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (100) 의 하나 이상의 동작들은 본 명세서에 기술된 다양한 장치들을 사용하여 수행될 수도 있다. 일부 실시 예들에서, 프로세스 (100) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (100) 의 블록 (102) 에서, 포토레지스트 층이 증착된다. 이는 기상 증착 프로세스와 같은 건식 증착 프로세스 또는 스핀-온 증착 프로세스와 같은 습식 프로세스일 수도 있다. 포토레지스트는 EUV 레지스트 또는 금속-함유 EUV 레지스트일 수 있다. 도 2는 중합된 유기 금속 재료가 금속-함유 EUV 레지스트를 증착하도록 형성될 수도 있는 예시적인 프로세스를 제시한다.
도 1을 다시 참조하면, 프로세스 (100) 의 블록 (102) 에서 반도체 기판 상에 금속-함유 EUV 레지스트 박막을 증착하는 것에 더하여, 금속-함유 EUV 레지스트 재료가 프로세스 챔버의 내부 표면들 상에 형성될 수도 있다. 내부 표면들은 프로세스 챔버의 챔버 벽들, 바닥들, 및 천장들을 포함할 수도 있다. 다른 내부 표면들은 샤워헤드, 노즐들, 및 기판 지지 표면들을 포함할 수도 있다. 금속-함유 EUV 레지스트 재료는 CVD 프로세스 또는 ALD 프로세스와 같은 건식 증착 프로세스들의 결과로서 형성될 수도 있다. 내부 표면들 상에 형성된 금속-함유 EUV 레지스트 재료의 두께는 프로세스 챔버에서 수행되는 부가적인 프로세싱 (예를 들어, 증착) 동작들의 결과로서 시간이 흐름에 따라 증가할 수도 있다. 금속-함유 EUV 레지스트 재료는 프로세싱 동안 후속하는 반도체 기판들을 오염시키기 위해 프로세스 챔버의 내부 표면들로부터 박리되거나, 입자들을 흘리거나 (shed), 박리되기 쉽다.
프로세스 (100) 의 블록 (150) 에서, 본 개시의 건식 챔버 세정 동작은 프로세스 (100) 의 블록 (102) 에서 반도체 기판 상에 금속-함유 EUV 레지스트 박막의 증착 후에 수행될 수도 있다. 이는 증착 및 건식 세정이 동일한 프로세스 챔버에서 수행되게 한다. 그러나, 건식 챔버 세정은 실시 예들에서 증착 동작과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다. 실제로, 건식 챔버 세정은 베벨 및/또는 배면 세정, 소성, 현상, 또는 에칭 동작에 후속하여 수행될 수도 있다.
제거될 건식-증착된 금속-함유 EUV 포토레지스트 막들은 일반적으로 Sn, O 및 C로 구성되지만, 동일한 세정 접근법들이 다른 금속 옥사이드 레지스트들 및 재료들의 막들로 확장될 수 있다. 이에 더하여, 이 접근법은 또한 막 스트립 및 PR 재작업 (rework) 을 위해 사용될 수 있다.
프로세스 (100) 의 블록 (104) 에서, 반도체 기판의 배면 및/또는 베벨 에지를 세정하기 위해 선택 가능한 (optional) 세정 프로세스가 수행된다. 배면 및/또는 베벨 에지 세정은 기판 배면 및 베벨 에지 상의 다양한 레벨들의 산화 또는 교차 결합 (crosslinking) 을 갖는 막을 균등하게 제거하도록 EUV 레지스트 막을 비선택적으로 에칭할 수도 있다. 습식 증착 프로세싱 또는 건식 증착 프로세싱에 의한 EUV-패터닝 가능한 막의 도포 동안, 기판 베벨 에지 및/또는 배면 상에 레지스트 재료의 의도되지 않은 증착이 있을 수도 있다. 의도되지 않은 증착은 바람직하지 않은 입자들이 나중에 반도체 기판의 상단 표면으로 이동하고 입자 결함들이 된다. 더욱이, 이 베벨 에지 및 배면 증착은 패터닝 (스캐너) 및 현상 툴들의 오염을 포함하는 다운스트림 프로세싱 문제들을 유발할 수 있다. 통상적으로, 이 베벨 에지 및 배면 증착의 제거는 습식 세정 기법들에 의해 이루어진다. 스핀 코팅된 포토레지스트 재료의 경우, 이 프로세스는 EBR (edge bead removal) 이라고 하고 기판이 스피닝하는 동안 베벨 에지 위 및 아래로부터 용매 스트림을 지향시킴으로써 수행된다. 동일한 프로세스가 기상 증착 기법들에 의해 증착된 용해성 (soluble) 유기 주석 옥사이드계 레지스트들에 적용될 수 있다. 기판 베벨 에지 및/또는 배면 세정은 또한 건식 세정 프로세스일 수도 있다.
프로세스 (100) 의 블록 (150) 에서, 본 개시의 건식 챔버 세정 동작은 베벨 에지 및/또는 배면 세정 동작 후에 수행될 수도 있다. 이는 베벨 및/또는 배면 세정 및 건식 챔버 세정이 동일한 프로세스 챔버 내에서 수행되게 한다. 그러나, 건식 챔버 세정은 일부 실시 예들에서 베벨 에지 및/또는 배면 세정 동작과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
프로세스 (100) 의 블록 (106) 에서, 선택 가능한 도포 후 소성 (post-application bake; PAB) 이 EUV-패터닝 가능한 막의 증착 후 그리고 EUV 노출 전에 수행된다. PAB 처리는 열 처리, 화학적 노출, 및 EUV-패터닝 가능한 막의 EUV 감도 (sensitivity) 를 상승시키기 위한 수분의 조합을 수반할 수도 있어, EUV-패터닝 가능한 막에서 패턴을 현상하기 위해 EUV 도즈를 감소시킨다.
프로세스 (100) 의 블록 (150) 에서, 본 개시의 건식 챔버 세정 동작은 PAB 처리 후에 수행될 수도 있다. 이는 소성 및 건식 챔버 세정이 동일한 프로세스 챔버 내에서 수행되게 한다. 그러나, 건식 챔버 세정은 일부 실시 예들에서 PAB 처리 동작과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
프로세스 (100) 의 블록 (108) 에서, 금속-함유 EUV 레지스트 막은 패턴을 현상하기 위해 EUV 방사선에 노출된다. 일반적으로 말하면, EUV 노출은 화학적 조성의 변화 및 금속-함유 EUV 레지스트 막의 교차 결합에서 변화를 유발하여, 후속 현상을 위해 이용될 (exploit) 수 있는 에칭 선택도의 콘트라스트를 생성한다. EUV 광에 대한 금속-함유 EUV 레지스트 막의 노출에 이어서, 포토패터닝된 금속-함유 EUV 레지스트가 제공된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV-노출된 영역 및 노출되지 않은 영역을 포함한다.
프로세스 (100) 의 블록 (110) 에서, 선택 가능한 노출 후 소성 (post-exposure bake; PEB) 는 포토패터닝된 금속-함유 EUV 레지스트의 에칭 선택도의 콘트라스트를 더 증가시키도록 수행된다. 포토패터닝된 금속-함유 EUV 레지스트는 포토패터닝된 금속-함유 EUV 레지스트의 EUV-노출된 영역들의 교차 결합을 용이하게 하도록 다양한 화학 종의 존재 하에 열적으로 처리될 수 있거나, 대기 (ambient air) 에서 핫 플레이트 상에서 단순히 소성될 수 있다.
프로세스 (100) 의 블록 (150) 에서, 본 개시의 건식 챔버 세정 동작은 PEB 처리 후에 수행될 수도 있다. 이는 소성 및 건식 챔버 세정이 동일한 프로세스 챔버 내에서 수행되게 한다. 그러나, 건식 챔버 세정은 일부 실시 예들에서 PEB 처리 동작과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다.
프로세스 (100) 의 블록 (112) 에서, 포토패터닝된 금속-함유 EUV 레지스트가 레지스트 마스크를 형성하도록 현상된다. 다양한 실시 예들에서, 노출된 영역들이 제거되거나 (포지티브 톤) 노출되지 않은 영역들이 제거된다 (네거티브 톤). 일부 실시 예들에서, 현상은 포토패터닝된 금속-함유 레지스트의 노출된 영역 또는 노출되지 않은 영역 상에 선택적인 증착, 이어서 에칭 동작을 포함할 수도 있다. 다양한 실시 예들에서, 이들 프로세스들은 건식 프로세스들 또는 습식 프로세스들일 수도 있다. 현상을 위한 프로세스들의 예들은 EUV 노출 도즈 및 노출 후 소성 (post-exposure bake) 을 겪은 다음 현상되는, 유기 주석 옥사이드 함유 EUV-감응 포토레지스트 박막 (예를 들어, 10 내지 30 ㎚ 두께, 예컨대 20 ㎚) 을 수반한다. 포토레지스트 막은 예를 들어, 이소프로필(트리스)(디메틸아미노)주석과 같은 유기 주석 전구체와 수증기의 가스상 (gas phase) 반응에 기초하여 증착될 수도 있고, 또는 유기 매트릭스 내에 주석 클러스터들을 포함하는 스핀-온 막일 수도 있다. 포토 패터닝된 금속-함유 레지스트는 현상 화학 물질로의 노출에 의해 현상된다. 일부 실시 예들에서, 현상 화학 물질은 할라이드-함유 화학 물질을 포함한다.
프로세스 (100) 의 블록 (150) 에서, 본 개시의 건식 챔버 세정 동작이 현상 후에 수행될 수도 있다. 이는 현상 및 건식 챔버 세정이 동일한 프로세스 챔버 내에서 수행되게 한다. 그러나, 건식 챔버 세정은 일부 실시 예들에서 현상 동작과 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다. 게다가, 건식 챔버 세정은 일부 실시 예들에서 에칭 동작과 동일하거나 상이한 프로세스 챔버에서 수행될 수도 있다는 것이 이해될 것이다. 에칭 동작은 반도체 기판의 기판 하부 층 (underlayer) 을 에칭하도록 적용될 수도 있다.
동작들 (102 내지 112) 각각은 이하에 더 설명된다 (elucidate). 다양한 실시 예들에서, 본 기술의 방법들은 기상 증착, (EUV) 리소그래픽 광패터닝 (photopatterning) 및 건식 현상에 의해 막 형성의 완전 (all) 건식 단계들을 조합한다. 다른 실시 예들에서, 본 기술의 방법들은 습식 증착 및 건식 현상, 또는 건식 증착 및 습식 현상을 포함한다. 일부 프로세스들에서, 기판은 EUV 스캐너의 포토패터닝에 이어서 건식 현상/에칭 챔버로 직접 이동할 수도 있다. 이러한 프로세스들은 습식 현상과 연관된 재료 및 생산성 비용들을 방지할 수도 있다. 대안적으로, 노출된 영역들이 보다 치밀한 SnO-유사 네트워크를 형성하기 위해 추가 교차 결합을 겪는 동안의 노출 후 소성 단계는 현상 챔버, 또는 또 다른 챔버에서 수행될 수도 있다.
일부 실시 예들에서, 기판은 동작들 (102 내지 112) 각각을 수행하도록 다양한 프로세싱 환경들에 제공될 수도 있다. 예를 들어, PR 증착 환경, PAB 및/또는 PEB를 위한 하나 이상의 열 처리 환경들, 웨이퍼 세정 환경, 스캐닝 환경, 및 PR 현상 환경이 있을 수도 있다. 이하에 기술될 바와 같이, 일부 실시 예들에서, 이들 환경들 중 하나 이상은 챔버 내 스테이션/페데스탈, 하나 이상의 페데스탈들을 갖는 챔버, 및/또는 하나 이상의 챔버들을 갖는 멀티-챔버 클러스터 툴일 수도 있다. 일부 실시 예들에서, 복수의 동작들이 단일 프로세싱 환경에서 수행될 수도 있고, 예를 들어, 열 처리 환경 및 웨이퍼 세정 환경은 동일한 프로세싱 환경일 수도 있고, 여기서 두 동작들은 웨이퍼를 상이한 페데스탈, 챔버, 또는 툴로 이동시키지 않고 순차적으로 수행될 수도 있다. 일부 실시 예들에서, 복수의 프로세싱 환경들은 동일한 챔버 또는 툴 내에 있을 수도 있고, 예를 들어, 열 처리 환경 및 웨이퍼 세정 환경은 쿼드-스테이션 모듈 챔버 내의 상이한 스테이션들에 있을 수도 있다. 일부 실시 예들에서, 예를 들어 멀티-동작 웨이퍼 프로세싱에서 일 프로세싱 동작이 또 다른 프로세싱 동작보다 훨씬 느린 상황들에서 쓰루풋을 향상시키거나 최적화하기 위해, 동일한 타입의 복수의 프로세싱 환경들이 동일한 툴에 제공될 수도 있다. 상기 규정된 환경들의 다른 조합들은 본 개시의 범위 내에 있는 것으로 이해되어야 한다.
본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 본 기술의 건식 프로세스들은 당업계에 공지된 습식 현상 프로세스들에 대해 다양한 이점들을 제공할 수도 있다. 예를 들어, 본 명세서에 기술된 건식 기상 증착 기법들은 습식 스핀-코팅 기법들을 사용하여 도포될 수 있는 것보다 박형이고 보다 결함이 없는 막들을 증착하도록 사용될 수 있고, 증착된 막의 정확한 두께는 증착 단계 또는 시퀀스의 길이를 증가시키거나 감소시킴으로써 단순히 조절되고 제어될 수 있다. 따라서, 건식 프로세스는 보다 많은 튜닝 가능성을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및 스컴 (scum) 제거를 제공할 수도 있다. 건식 현상은 성능을 개선하고 (예를 들어, 습식 현상에서 표면 장력으로 인한 라인 붕괴 방지), (예를 들어, 습식 현상 트랙을 방지함으로써) 쓰루풋을 향상시킬 수 있다. 다른 장점들은 유기 용매 현상제들의 사용을 제거하는 것, 접착 문제들에 대한 감소된 감도, 습식 레지스트 제제 (formulation) 을 도포하고 제거할 필요성을 방지하는 것 (예를 들어, 스커밍 및 패턴 왜곡을 방지함), 라인 에지 거칠기를 개선하는 것, 디바이스 토포그래피 (device topography) 바로 위에 패터닝하는 것, 특정한 기판 및 반도체 디바이스 설계에 대해 하드 마스크 화학 물질을 튜닝하는 능력을 제공하는, 및 다른 용해도-기반 제한들의 결여를 포함할 수도 있다.
일부 예들에서, 습식 프로세싱과 건식 프로세싱의 조합이 적합하거나 최적일 수도 있고, 예컨대 습식 프로세싱 웨이퍼 프로세싱 장치에 상당한 자본 투자가 이루어졌고, 이 장치의 성능은 통합된 건식 프로세싱 및 멀티-동작 프로세스의 일부 동작들을 위해 연관된 장치에 의해 향상될 수 있다.
따라서, 본 개시는 EUV 레지스트 마스크 형성 프로세스의 일부로서 EUV-포토패터닝된 금속 및/또는 금속 옥사이드-함유 레지스트의 건식 현상을 위해 구성된 프로세스 및 장치를 제공한다. 다양한 실시 예들은 기상 증착, (EUV) 리소그래피 포토패터닝 및 건식 현상에 의한 막 형성의 완전 건식 단계들을 조합하는 것을 포함한다. 다양한 다른 실시 예들은 유리하게 습식 프로세싱 동작들과 조합된 본 명세서에 기술된 건식 프로세싱 동작들을 포함하고, 예를 들어, Inpria Corp.로부터 입수 가능한 스핀-온 EUV 포토레지스트 (습식 프로세스) 는 본 명세서에 기술된 건식 현상 프로세스 또는 다른 습식 프로세스 또는 건식 프로세스와 조합될 수도 있다. 다양한 실시 예들에서, 웨이퍼 세정은 본 명세서에 기술된 바와 같이 습식 프로세스일 수도 있지만, 다른 프로세스들은 건식 프로세스들이다. 다른 실시 예들에서, 습식 현상 프로세스는 PR 막의 (건식) 기상 증착과 조합하여 사용될 수도 있다.
리소그래피 프로세싱
도 3a 내지 도 3e는 금속 포토레지스트 하드 마스크 형성 프로세스에 대한 또 다른 대표적인 프로세스 플로우를 예시한다. 일반적으로, 막이 이들 종들 중 하나로의 노출에 의해 패터닝될 수 있도록, 광자, 전자들, 양성자들, 이온들 또는 중성 종들과 같은 패터닝제 (patterning agent) 에 민감한 금속-함유 막이 반도체 기판 상에 증착된다. 이어서 금속-함유 막은 금속 마스크를 형성하도록 진공 분위기에서 패터닝제로의 노출에 의해 패터닝된다. 이 기술 (description) 은 주로 EUV 리소그래피 (extreme ultraviolet lithography; EUVL), 특히 여기된 Sn 액적들을 사용하는 EUV 소스를 갖는 EUVL에 의해 패터닝되는, 특히 금속이 Sn인 금속-함유 막들을 참조한다. 이러한 막들은 본 명세서에서 EUV-감응 막들로 지칭된다. 그러나, 상이한 금속-함유 막들 및 패터닝제들/기법들을 포함하는 다른 구현 예들이 가능하다는 것이 이해되어야 한다.
도 3a를 참조하면, 패터닝될 반도체 기판 (100) 이 도시된다. 통상적인 예에서, 반도체 기판 (100) 은 부분적으로 형성된 집적 회로들을 포함하는 실리콘 웨이퍼이다.
도 3b는 반도체 기판 (100) 상에 증착된 패터닝제에 민감한 금속-함유 막 (102) 을 예시한다. 금속-함유 막은 금속-함유 막이 비금속 (base metal) 으로 분해되거나 후속 현상 프로세스에 민감하게 되도록 금속 염, 예를 들어 금속 할라이드, 또는 패터닝제에 대한 노출에 민감한 유기 금속 화합물일 수도 있다. 적합한 패터닝제들은 금속-함유 막 (102) 이 비금속으로의 분해에 의해 이들 종들 중 하나에 노출함으로써 패터닝될 수 있거나 후속하는 현상 프로세스에 민감하게 되도록 광자, 전자들, 양성자들, 이온들 또는 중성 종일 수도 있다. 일반적으로, 증착 전에, 반도체 기판 (100) 은 진공 하에서 금속-함유 막 증착을 위해 반응기 챔버 내에 배치된다.
금속-함유 막 (102) 의 블랭킷은 적합한 전구체로부터의 응결에 의해 형성될 수 있다 (예를 들어, 비플라즈마 CVD 반응기, 예컨대, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한, Altus® CVD 툴 또는 Aether® GP CVD 툴에서). 응결을 통한 이 증착에 적합한 프로세스 조건들은 약 0 내지 250 ℃, 예를 들어 약 주변 온도 (예를 들어, 23 ℃ 내지 150 ℃ 및 예를 들어 60 ℃에서 0.5 내지 2 Torr에서 유지되는 20 Torr 미만의 반응기 압력을 포함한다. 약 100 내지 1000 sccm의 전구체 플로우 레이트를 유지하는 것은 증착 레이트의 제어를 허용한다.
수증기로 인한 열화 (degradation) 를 방지하기 위해, Sn-함유 막들의 형성 및 이송은 진공 분위기 (vacuum-ambient) 에서 수행된다. 이어서 형성된 막은 도 3c 및 도 3d에 예시된 바와 같이, 포토레지스트의 사용 없이, 직접 노출을 통해 EUV 패터닝 툴로 전사되고 패터닝된다.
EUVL 툴이 통상적으로 증착 툴보다 보다 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판 및 증착된 금속-함유 막으로 하여금 패터닝 툴 내로 진입하기 전에 탈기되게 (degas) 하도록 패터닝 툴로의 증착으로부터 이송 동안 기판의 진공 분위기 (vacuum environment) 를 상승시키는 것이 바람직하다. 이는 패터닝 툴의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록 하기 위한 것이다.
도 3c에 도시된 바와 같이, 패터닝은 형성된 금속 마스크 (102a) 의 노출된 금속-함유 막 영역들 및 패턴 현상에 의해 제거될 재료의 노출되지 않은 영역들 (102b) 을 발생시킨다.
도 3d를 참조하면, 이어서 패턴이 현상될 수 있다. 개발은 본 명세서의 다른 곳에서 더 논의된다. 일부 실시 예들에서, 패턴의 현상은 노출된 영역들 (102a) 만이 완전히 형성된 금속 마스크로서 남도록, 금속-함유 막의 노출되지 않은 영역들 (102b) 을 휘발시키도록 기판을 가열함으로써 발생할 수 있다. 이 패턴 현상 동작은 열적으로 그리고 환경적으로 안정한 패터닝된 금속 마스크가 형성될 수도 있기 때문에 진공 통합 (vacuum integration) 을 필요로 하지 않을 수도 있다는 것을 주의해야 한다. 금속-함유 막 분해의 임의의 양립할 수 없는 부산물들로 툴 광학계를 오염시키는 것을 방지하기 위해 패터닝 툴 외부에서 패턴 현상을 수행하는 것이 또한 바람직할 수도 있다.
도 3e를 참조하면, 선택 가능한 단계로서, 패턴 증폭이 행해질 수 있다. 예를 들어, 선택적인 ALD 또는 무전해 디포지션 (ELD) 이 부가적인 선택적으로 증착된 금속 (106) 을 사용하여 금속 마스크의 두께를 구축하기 위해 도 3c 및/또는 도 3d에 도시된 동작들에 따라 패터닝된 기판 상에서 수행될 수도 있다. 이는 마스크의 광학적 투과를 감소시키거나 마스크를 보다 기계적으로 견고하게 (robust) 만드는데 도움이 될 수도 있다. 이러한 증폭은, 예를 들어, 미국 특허 제 5,532,385 호, 제 6,911,067 호, 제 6,794,288 호, 제 6,902,605 호 및 제 4,935,312 호에 기술된 바와 같은 무전해 디포지션 프로세스의 적응에 의해 달성될 수도 있고, 이들의 개시들은 본 명세서에 참조로서 인용된다.
예를 들어, 최초 1 ㎚ 시드는 이러한 방식으로 10 ㎚로 증폭될 수 있다. 도 3d를 참조하여 논의된 패턴 현상과 같이, 이 동작은 열적으로 그리고 환경적으로 안정한 패터닝된 금속 마스크가 증폭 전에 형성될 것이기 때문에 진공 통합을 필요로 하지 않을 수도 있다.
완전 건식 또는 부분적으로 건식 막 형성 동작의 일 장점은 건식 동작들이 원-패스 튜닝 가능한 (one-pass tunable) 프로세스들일 수도 있다는 것이다. (이하에 기술된 바와 같이) 다양한 프로세스 조건들을 변화시킴으로써, 동작이 최적화될 수 있다. 예를 들어, 하부 층 및 PR 증착을 위한 건식 프로세스는 2 개의 동작들로 수행될 수도 있는 한편, 습식 프로세스들은 PR 막의 증착 전에 세정 동작 및 소성 동작을 필요로 할 수도 있다. 대조적으로, 습식 프로세스들은 프로세스 파라미터들, 뿐만 아니라 열 처리, 냉각, 및 스핀 건조의 부가적인 프로세스들을 제약하는 (constrain) 미리 제제된 (pre-formulate) 용액의 사용을 필요로 할 수도 있다. 건식 프로세스는 모든 액체 용액 건조 단계들을 방지할 수도 있고 PR 박리 또는 라인 기울임 (leaning)/붕괴를 감소시킬 수도 있다.
완전 건식 또는 부분적으로 건식 막 형성 동작의 또 다른 장점은 프로세싱 환경에 대한 향상된 제어이다. 습식, 스핀-온 프로세스는 미리 제제된 용액들을 사용할 수도 있고 주변 압력에서 수행될 수도 있다. 건식 프로세스는 예를 들어, 압력, 습도, 산소, 및 온도의 제어와 함께 진공 하에서 수행될 수도 있다. 통합된 프로세스들이 동일한 툴, 챔버, 또는 스테이션 상에서 수행되는 실시 예들에서, 진공이 건식 프로세스들 사이에 유지될 수도 있고, 이는 프로세싱 동작들 동안 그리고 프로세싱 동작들 사이에 웨이퍼의 환경을 제어하는데 유리하다. 더욱이, 통합된 프로세스들은 프로세스들이 동일한 툴, 챔버, 또는 스테이션 내에서 수행될 때 감소된 큐 시간을 허용할 수도 있다.
포토레지스트 (PR) 의 증착
상기 논의된 바와 같이, 본 개시는 EUV 또는 다른 차세대 리소그래피 기법들을 사용하여 패터닝될 수도 있는, 반도체 기판들 상에 이미징 층들을 제조하기 위한 방법들을 제공한다. 이러한 방법들은 중합된 유기금속 재료들이 증기로 생성되고, 기판 상에 증착되는 것을 포함한다. 다른 실시 예들에서, 스핀-온 제제가 사용될 수도 있다.
본 기술은 EUV-감응 박막들이 기판 상에 증착되는 방법들을 제공하고, 이러한 막들은 후속 EUV 리소그래피 및 프로세싱에 대한 레지스트들로서 동작 가능하다. 이러한 EUV-감응 막들은 EUV에 노출 시, 보다 치밀한 M-O-M 결합된 (bond) 금속 옥사이드 재료들에 이들의 교차 결합 (crosslinking) 을 허용하여, 저밀도 M-OH 풍부 재료들의 금속 원자들에 결합된 벌키 (bulky) 펜던트 치환들의 손실과 같은 변화들을 겪는 재료들을 포함한다. EUV 패터닝을 통해, 비노출 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출된 영역 또는 비노출 영역을 용해시키도록, 또는 노출된 영역 또는 비노출 영역 상에 재료들을 선택적으로 증착하도록 이용될 수도 있다. 일부 실시 예들에서, 이러한 후속 프로세싱이 수행되는 조건들 하에 비노출 막은 소수성 표면을 갖고, 노출된 막은 친수성 표면을 갖는다 (노출 영역 및 비노출 영역의 친수성 특성들이 서로 상대적인 것이 인식된다). 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이를 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 이하에 더 기술된 바와 같이 습식 프로세싱 또는 건식 프로세싱에 의한 것일 수도 있다.
기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 0.5 ㎚ 내지 100 ㎚ 범위일 수도 있고, 바람직하게 EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기 충분한 두께이다. 예를 들어, 레지스트 막의 전체 흡수는 레지스트 막의 하단의 레지스트 재료가 충분히 노출되도록 30 % 이하 (예를 들어, 10 % 이하, 또는 5 % 이하) 일 수도 있다. 일부 실시 예들에서, 막 두께는 10 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성을 제한하지 않고, 당업계의 습식, 스핀-코팅 프로세스들과 달리, 본 개시의 프로세스들은 기판의 표면 접착 특성들에 대해 보다 적은 제한들을 갖고, 따라서 폭넓고 다양한 범위의 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
기판들
본 기술 (technology) 의 방법들에 유용한 기판들은 리소그래픽 프로세싱, 특히 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함할 수도 있다. 일부 실시 예들에서, 기판들은 실리콘 웨이퍼들이다. 기판들은 그 위에 불규칙한 표면 토포그래피 (topography) 를 갖는 피처들 ("하부 토포그래픽 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다. (본 명세서에 참조된 바와 같이, "표면"은 상부에 본 기술의 막이 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다.) 이러한 하부 토포그래픽 피처들은 이 기술의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 기술의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 일부 실시 예들에서, 본 기술의 방법들은 포토리소그래픽 막이 스핀 캐스팅 방법들을 사용하여 기판들의 표면 상에 증착되는 당업계에 공지된 방법들 중의 방법들에 대한 이점들을 제공하는 것으로 여겨진다. 이러한 이점들은 하부 피처들을 "충진 (filling in)" 또는 달리 이러한 피처들을 평탄화하지 않고 하부 피처들에 대한 본 기술의 막들의 컨포먼스 (conformance) 로부터, 그리고 폭넓고 다양한 범위의 재료 표면들 상에 막들을 증착하는 능력으로부터 유도될 수도 있다.
일부 실시 예들에서, 인입 웨이퍼는 목표된 재료의 기판 표면으로 준비될 수 있고, 최상부 재료는 레지스트 패턴이 전사되는 층이다. 재료 선택은 집적에 따라 가변할 수도 있지만, 일반적으로 EUV 레지스트 또는 이미징 층에 대해 높은 선택도로 (즉, 훨씬 보다 빨리) 에칭될 수 있는 재료를 선택하도록 목표된다. 적합한 기판 재료들은 다양한 탄소계 막들 (예를 들어, AHM (ashable hardmask)), 실리콘계 막들 (예를 들어, SiOx, SiOxNy, SiOxCyNz, a-Si:H, 폴리-Si, 또는 SiN), 또는 패터닝 프로세스를 용이하게 하도록 도포된 임의의 다른 (일반적으로 희생) 막을 포함할 수 있다.
일부 실시 예들에서, 기판은 하부 반도체 재료의 리소그래피 에칭에 사용되는 하드 마스크이다. 하드 마스크는 비정질 탄소 (a-C), SnOx, SiO2, SiOxNy, SiOxC, Si3N4, TiO2, TiN, W, W-도핑된 C, WOx, HfO2, ZrO2, 및 Al2O3를 포함하는 임의의 다양한 재료들을 포함할 수도 있다. 예를 들어, 기판은 바람직하게 SnO2와 같은 SnOx를 포함할 수도 있다. 다양한 실시 예들에서, 층은 1 ㎚ 내지 100 ㎚ 두께, 또는 2 ㎚ 내지 10 ㎚ 두께일 수도 있다.
일부 실시 예들에서, 기판은 하부 층을 포함한다. 본 명세서에 기술된 바와 같이, 하부 층은 하드 마스크 또는 다른 층 상에 증착될 수도 있고 일반적으로 이미징 층 아래에 (underneath) 있다. 하부 층은 PR의 감도를 개선하고, EUV 흡수율을 증가시키고, 그리고/또는 PR의 패터닝 성능을 증가시키도록 사용될 수도 있다. 상당한 토포그래피 (topography) 를 생성하는 패터닝될 기판 상에 디바이스 피처들이 존재하는 경우들에서, 하부 층의 또 다른 중요한 기능은 후속하는 패터닝 단계가 포커싱된 패턴의 모든 영역들을 갖는 편평한 표면 상에서 수행될 수도 있도록 기존의 토포그래피를 오버 코팅하고 (overcoat) 평탄화하는 것일 수 있다. 이러한 적용 예들에 대해, 하부 층 (또는 복수의 하부 층들 중 적어도 하나) 은 스핀-코팅 기법들을 사용하여 도포될 수도 있다. 채용되는 포토레지스트 재료가 상당한 무기 컴포넌트를 가질 때, 예를 들어, 이는 주로 금속 옥사이드 구조 (framework) 를 나타낼 때, 하부 층은 유리하게 스핀-코팅 프로세스 또는 건식 진공-기반 증착 프로세스에 의해 도포된, 탄소계 막일 수도 있다. 층은 탄소계 조성 및 수소계 조성을 갖는 다양한 AHM (ashable hardmask) 막들을 포함할 수도 있고, 텅스텐, 붕소, 질소, 또는 불소와 같은 부가적인 원소들로 도핑될 수도 있다. 이러한 프로세스들에서 유용한 방법들 및 장치들은 2019 년 10 월 2 일 출원된 미국 특허 출원 제 62,909,430 호에 기술되고, 방법들 및 장치의 개시를 위해 본 명세서에 참조로서 인용된다.
막 증착
다양한 실시 예들에서, EUV-패터닝 가능한 막들이 제조되고, 당업계에 공지된 기상 증착 장비 및 프로세스들을 사용하여 기판 상에 증착된다. 이러한 프로세스들에서, 중합된 유기금속 재료는 증기 상으로 또는 기판의 표면 상에 인 시츄 (in situ) 형성된다.
일반적으로, 방법들은 중합된 유기금속 재료를 형성하기 위해 유기금속 전구체의 증기 스트림을 대응-반응 물질 (counter-reactant) 의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속 재료를 증착하는 단계를 포함한다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다. 이러한 프로세스들에서 유용한 방법들 및 장치는 방법들 및 장치, 특히 다양한 유기 금속 전구체들의 개시를 위해 참조로서 본 명세서에 인용된, 2019 년 5 월 9 일 출원된 출원 PCT/US2019/031618 및 2019 년 11 월 11 일 출원된 출원 PCT/US2019/060742에 기술된다.
EUV-감응 박막들이 반도체 기판 상에 증착될 수도 있고, 이러한 막들은 후속 EUV 리소그래피 및 프로세싱에 대한 레지스트들로서 동작 가능하다. 이러한 EUV-감응 박막들은 EUV에 노출 시, 보다 치밀한 M-O-M 결합된 금속 옥사이드 재료들에 이들의 교차 결합 (crosslinking) 을 허용하여, 저밀도 M-OH 풍부 재료들의 금속 원자들에 결합된 벌키 (bulky) 펜던트 치환들의 손실과 같은 변화들을 겪는 재료들을 포함한다. EUV 패터닝을 통해, 비노출 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출된 영역 또는 비노출 영역을 용해시키도록, 또는 노출된 영역 또는 비노출 영역 상에 재료들을 선택적으로 증착하도록 이용될 수도 있다. 일부 실시 예들에서, 노출되지 않은 막은 이러한 후속 프로세싱이 수행되는 조건들 하에서 노출된 막보다 보다 소수성인 표면을 갖는다. 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이를 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 이하에 더 기술된 바와 같이 습식 프로세싱 또는 건식 프로세싱에 의한 것일 수도 있다.
다양한 실시 예들에서, 박막들은 유기금속 재료들, 예를 들어 주석 옥사이드를 포함하는 유기 주석 재료들, 또는 다른 금속 옥사이드 재료들/모이어티들 (moieties) 이다. 유기금속 화합물들은 대응-반응 물질 (counter-reactant) 과 유기금속 전구체의 증기상 반응으로 제조될 수도 있다. 다양한 실시 예들에서, 유기금속 화합물들은 대응-반응 물질들과 벌키 알킬기들 또는 플루오로알킬기들을 갖는 유기금속의 특정한 조합의 혼합 및 반도체 기판 상에 증착하는 저밀도, EUV-감응 재료를 생성하기 위해 증기상의 혼합물을 중합을 통해 형성된다.
다양한 실시 예들에서, 유기금속 전구체들은 증기-상 반응에서 살아남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위결합된 다른 리간드들 (ligands) 또는 이온들은 대응-반응 물질에 의해 대체될 수 있다. 유기금속 전구체들은 화학식
MaRbLc
(화학식 1) 을 포함하고,
여기에서: M은 고 EUV 흡수 단면을 갖는 금속이고; R은 CnH2n+1과 같은 알킬이고, 바람직하게 여기에서 n ≥ 2이고; L은 대응-반응 물질과 반응하는 리간드, 이온 또는 다른 모이어티이고; a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다.
다양한 실시 예들에서, M은 1x107 ㎠/mol 이상의 원자 흡수 단면을 갖는다. M은 예를 들어, 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 게르마늄, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시 예들에서, M은 주석이다. R은 플루오르화될 수도 있고, 예를 들어, 화학식 CnFxH(2n+1)을 갖는다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 에틸, i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다. L은 아민들 (예컨대 디알킬아미노, 모노알킬아미노), 알콕시, 카르복실레이트들, 할로겐들, 및 이들의 혼합물들로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응 물질에 의해 용이하게 치환된 임의의 모이어티일 수도 있다.
대응-반응 물질들은 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 상기 화학식 1의 L) 을 대체하는 능력을 갖는다. 대응-반응 물질들은 물, 과산화물들 (예를 들어, 과산화수소), 디하이드록시 알코올들 또는 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올들 또는 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 및 하이드록실 모이어티들의 다른 소스들을 포함할 수 있다. 다양한 실시 예들에서, 대응-반응 물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 유기금속 전구체와 반응한다.
다른 잠재적인 대응-반응 물질들은 황 브리지들을 통해 금속 원자들을 교차 결합하는 수소 설파이드 및 수소 디설파이드를 포함한다. 다른 예들에서, Te-함유 전구체들은 예를 들어, Te-Sn-Te-Sn 교차 결합들을 형성하기 위해 물과 함께 또는 물 대신 대응-반응 물질들이 사용될 수도 있다. Sn(NMe2)x 타입 전구체들과 함께 사용하기 적합한 텔루륨 공-반응 물질들은 R = 알킬기, 특히 t-부틸 또는 이소프로필, 예컨대 t-부틸TeD를 갖는, RTeH 또는 RTeD (D = 중수소 (deuterium)) 및 R2Te 전구체들이다. M(OR)x 타입 전구체들과 함께 사용하기 위해, 예를 들어, 비스(트리메틸실실)텔루륨 (Bis(trimethylsilyl)Te) 이 사용될 수도 있다.
박막들은 막의 화학적 또는 물리적 특성들을 수정하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 유기금속 전구체 및 대응-반응 물질들에 더하여 선택 가능한 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 반도체 기판 상에 증착 전, 박막의 증착 후, 또는 둘 모두에 증기상 형성 동안 도핑에 의해서와 같이 도입될 수도 있다. 일부 실시 예들에서, 순한 (gentle) 리모트 H2 플라즈마는 일부 Sn-L 결합들을 Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 증가시킬 수 있다.
다양한 실시 예들에서, EUV-패터닝 가능한 막들이 제조되고, 당업계에 공지된 기상 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에 증착된다. 이러한 프로세스들에서, 중합된 유기금속 재료는 증기상으로 또는 반도체 기판의 표면 상에 인 시츄 (in situ) 형성된다. 적합한 프로세스들은 금속 전구체들 및 대응-반응 물질들이 시간 또는 공간에서 분리되는 예를 들어, CVD, ALD, 및 불연속적인, ALD-유사 프로세스와 같은, CVD 컴포넌트를 사용하는 ALD를 포함한다.
일반적으로, 방법들은 중합된 유기금속 재료를 형성하기 위해 유기금속 전구체의 증기 스트림을 대응-반응 물질 (counter-reactant) 의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속 재료를 증착하는 단계를 포함한다. 일부 실시 예들에서, 2 개 이상의 유기금속 전구체가 증기 스트림에 포함된다. 일부 실시 예들에서, 2 개 이상의 대응-반응 물질이 증기 스트림에 포함된다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다.
일 예시적인 연속 CVD 프로세스에서, (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들을 형성하기 위해 개별적인 유입구 경로들 내의, 유기금속 전구체의 2 개 이상의 가스 스트림들 및 대응-반응 물질의 소스는, 이들이 가스상으로 혼합되고 반응하는, CVD 장치의 증착 챔버에 도입된다. 스트림들은 예를 들어, 개별적인 주입 유입구들 또는 듀얼-플레넘 (dual-plenum) 샤워헤드를 사용하여 도입될 수도 있다. 장치는 유기금속 전구체의 스트림들 및 대응-반응 물질이 챔버에서 혼합되도록 구성되고, 중합된 유기금속 재료를 형성하기 위해 유기금속 전구체 및 대응-반응 물질로 하여금 반응하게 한다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 금속 원자들이 대응-반응 물질에 의해 교차 결합되기 때문에 이러한 기상 반응으로부터의 생성물이 분자량이 보다 무거워지고, 이어서 응결되거나 그렇지 않으면 반도체 기판 상에 증착되는 것으로 여겨진다. 다양한 실시 예들에서, 벌키 알킬기들의 입체 장애 (steric hindrance) 는 치밀하게 패킹된 네트워크의 형성을 방지하고, 평활한, 비정질, 저밀도 막들을 생성한다.
CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 10 mTorr 내지 10 Torr로 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 일부 실시 예들에서, 반도체 기판의 온도는 반응 물질 스트림들의 온도 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주변 온도 (예를 들어, 23 ℃ 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에 중합된 유기금속 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생한다.
반도체 기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 0.5 ㎚ 내지 100 ㎚ 범위일 수도 있고, EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기 충분한 두께일 수도 있다. EUV-패터닝 가능한 막은, EUV-패터닝 가능한 막의 하단부를 향해 사용 가능한 상당히 보다 적은 EUV 광자들을 갖도록, 30% 이상의 흡수를 수용할 수도 있다. 보다 높은 EUV 흡수는 EUV-노출된 막의 하단부와 비교하여 EUV-노출된 막의 상단부 근방에서 보다 많은 교차 결합 및 치밀화를 야기한다. EUV 광자들의 효율적인 활용은 보다 높은 전체 흡수율을 갖는 EUV-패터닝 가능한 막들을 사용하여 발생할 수도 있지만, 일부 예들에서, EUV-패터닝 가능한 막은 약 30 % 미만일 수도 있다는 것이 이해될 것이다. 비교를 위해, 대부분의 다른 레지스트 막들의 최대 전체 흡수는 레지스트 막의 하단부의 레지스트 재료가 충분히 노출되도록 30 % 미만 (예를 들어, 10 % 이하, 또는 5 % 이하) 이다. 일부 실시 예들에서, 막 두께는 5 ㎚ 내지 40 ㎚ 또는 10 ㎚ 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성을 제한하지 않고, 당업계의 습식, 스핀-코팅 프로세스들과 달리, 본 개시의 프로세스들은 기판의 표면 접착 특성들에 대해 보다 적은 제한들을 갖고, 따라서 폭넓고 다양한 범위의 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
본 명세서에 논의된 일부 실시 예들에서, PR 막에서 EUV 감도를 더 개선할 수 있는 또 다른 전략은 막 조성이 수직으로 등급화된 (graded) 막을 생성하여 깊이-종속 EUV 감도를 발생시키는 것이다. 고 흡수 계수를 갖는 균질 PR에서, 막 깊이 전체에 걸쳐 감소하는 광 강도는 하단부가 충분히 노출된다는 것을 보장하기 위해 보다 고 EUV 도즈를 필요로 한다. 막의 상단부에 대해 막의 하단부에서 고 EUV 흡수율을 갖는 원자들의 밀도를 증가시킴으로써 (즉, 증가하는 EUV 흡수를 갖는 경사 (gradient) 를 생성함으로써) 보다 고 흡수성 막들의 하단부를 향하여 흡수 (및 2 차 전자들의 효과들) 를 보다 균일하게 분배하는 동안 가용한 EUV 광자들을 보다 효율적으로 활용하는 것이 가능해진다.
PR 막에서 수직 조성 경사를 엔지니어링하는 전략은 특히 CVD 및 ALD와 같은 건식 증착 방법들에 적용 가능하고 증착 동안 상이한 반응 물질들 사이의 플로우 비들을 튜닝함으로써 실현될 수 있다. 엔지니어링될 수 있는 조성 경사들의 타입은: 상이한 고 흡수성 금속들 사이의 비, EUV-절단 가능한 벌키기들을 갖는 금속 원자들의 백분율, 고-흡수성 원소들 (예를 들어, Te 및 I) 을 함유하는 벌키기들 또는 대응-반응 물질들의 백분율들 및 이들의 조합들을 포함한다. 수직으로 등급화된 막들의 추가 논의는 방법들 및 장치의 개시를 위해 본 명세서에 참조로서 인용된, 2020 년 6 월 24 일 출원된 출원 PCT/US2020/070172에서 발견된다.
배면/베벨 세정
본 명세서에 기술된 바와 같이 종래의 습식, 예를 들어, 스핀-온, 프로세싱 또는 건식 증착에 의해 기판에 EUV 포토레지스트 막의 도포 동안, 웨이퍼 베벨 에지 및/또는 배면 상에 레지스트 재료의 의도되지 않은 일부 증착이 있을 수도 있다. 이 베벨 에지 및 배면 증착은 패터닝 (스캐너) 및 현상 툴들의 오염을 포함하는 다운스트림 프로세싱 문제들을 유발할 수 있다. 통상적으로, 이 베벨 에지 및 배면 증착의 제거는 습식 세정 기법들에 의해 이루어진다.
스핀-코팅된 금속-유기 포토레지스트들을 세정하기 위한 현재의 최신 기술은 습식 세정 프로세싱에 의한 것이다. 에지 비드 제거 (edge bead removal; EBR) 는 웨이퍼의 전면 및 배면 모두 상의 습식 트랙 상에서 수행된다. 노즐은 웨이퍼의 전면 및 배면 모두에서 웨이퍼의 에지 위에 포지셔닝되고 (position), 웨이퍼가 회전하는 (rotate) 동안 용매가 디스펜싱된다. 유기 용매 (예를 들어: PGME, PGMEA, 2-헵타논 (2-heptanone)) 은 에지 상의 포토레지스트를 용해시키고, 베벨 에지 영역을 세정한다. 배면이 오염되면, 웨이퍼는 웨이퍼의 배면 세정을 위해 또 다른 습식 세정 스테이션으로 가야 한다. 스핀-코팅을 위해, 척과 콘택트하는 웨이퍼 영역은 통상적으로 청정한 (clean) 상태를 유지하고 별도의 배면 세정이 항상 사용되는 것은 아니다. 묽은 불산 (dHF), 묽은 염산 (dHCl), 묽은 황산, 또는 표준 세정 1 (SC-1) 과 같은 부가적인 세정이 금속 오염을 감소시키기 위해 필요할 수도 있다. EUV 스캐너에 들어가기 전에, 배면 스크럽이 일반적으로 수행된다.
습식 세정 프로세싱에 사용된 용매들은 본질적으로 획득 및 폐기 모두에 고 비용 문제들을 갖는다. 이러한 용매들은 환경에 유해할 수도 있고 건전성 우려들 (health concerns) 을 제시할 수도 있다. 습식 세정 프로세싱은 베벨 에지 영역들 상의 EUV 레지스트 재료의 제거의 균일성에 의해 제한될 수도 있다. 표면 장력 및 증기 우려들로 인해, 제거는 종종 물결 모양이고 베벨 에지 영역들에서 EUV 레지스트 재료의 선명한 (crisp) 제거를 발생시키지 않는다. 부가적으로, 유기 용매들을 사용하는 백스플래시들 (backsplashes) 은 웨이퍼의 전면 상에 결함들을 생성할 수 있다. 습식 세정 프로세싱은 통상적으로 독립형 (stand-alone) 툴/챔버에서 수행되고, 따라서 웨이퍼들은 증착 후 툴들/챔버들 사이에서 이송되어야 한다. 이는 배면 및/또는 베벨 에지 세정에 사용된 툴들/챔버들의 오염을 발생시킬 수 있다.
반도체 기판의 배면 및/또는 베벨 에지를 세정하기 위한 세정 프로세스가 본 명세서에 기술된다. 배면 및/또는 베벨 에지 세정은 기판 배면 및 베벨 에지 상의 다양한 레벨들의 산화 또는 교차 결합 (crosslinking) 을 갖는 막을 균등하게 제거하도록 EUV 레지스트 막을 비선택적으로 에칭할 수도 있다. 습식 증착 프로세싱 또는 건식 증착 프로세싱에 의한 EUV-패터닝 가능한 막의 도포 동안, 기판 베벨 에지 및/또는 배면 상에 레지스트 재료의 의도되지 않은 증착이 있을 수도 있다. 의도되지 않은 증착은 바람직하지 않은 입자들이 나중에 반도체 기판의 상단 표면으로 이동하고 입자 결함들이 된다. 더욱이, 이 베벨 에지 및 배면 증착은 패터닝 (스캐너) 및 현상 툴들의 오염을 포함하는 다운스트림 프로세싱 문제들을 유발할 수 있다. 통상적으로, 이 베벨 에지 및 배면 증착의 제거는 습식 세정 기법들에 의해 이루어진다. 스핀 코팅된 포토레지스트 재료의 경우, 이 프로세스는 EBR (edge bead removal) 이라고 하고 기판이 스피닝하는 동안 베벨 에지 위 및 아래로부터 용매 스트림을 지향시킴으로써 수행된다. 동일한 프로세스가 기상 증착 기법들에 의해 증착된 용해성 (soluble) 유기 주석 옥사이드계 레지스트들에 적용될 수 있다.
기판 베벨 에지 및/또는 배면 세정은 또한 건식 세정 프로세스일 수도 있다. 일부 실시 예들에서, 건식 세정 프로세스는 다음 가스들: HBr, HCl, HI, BCl3, SOCl2, Cl2, BBr3, H2, O2, PCl3, CH4, 메탄올, 암모니아, 포름산, NF3, HF 중 하나 이상을 갖는 증기 및/또는 플라즈마를 수반한다. 일부 실시 예들에서, 건식 세정 프로세스는 본 명세서에 기술된 건식 현상 프로세스와 동일한 화학 물질들을 사용할 수도 있다. 예를 들어, 베벨 에지 및 배면 세정은 수소 할라이드 현상 화학 물질을 사용할 수도 있다. 배면 및 베벨 에지 세정 프로세스를 위해, 증기 및/또는 플라즈마는 기판의 전면 상의 어떠한 막 열화도 없이, 배면 및 베벨만이 제거되는 것을 보장하도록 기판의 특정한 영역으로 제한되어야 한다.
프로세스 조건들은 베벨 에지 및 배면 세정을 위해 최적화될 수도 있다. 일부 실시 예들에서, 보다 높은 온도, 보다 높은 압력, 및/또는 보다 높은 반응 물질 플로우는 상승된 에칭 레이트를 야기할 수도 있다. 건식 베벨 에지 및 배면 세정을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라 100 sccm 내지 10000 sccm (예를 들어, 500 sccm의 HCl, HBr, HI, 또는 H2 및 Cl2 또는 Br2, BCl3 또는 H2) 의 반응 물질 플로우, 20 ℃ 내지 140 ℃ (예를 들어, 80 ℃) 의 온도, 20 mTorr 내지 1000 mTorr (예를 들어, 100 mTorr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 0 내지 500 W의 플라즈마 전력, 그리고 약 10 초 내지 20 초의 시간 동안일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
베벨 에지 및 배면 세정의 추가 논의는 모든 목적을 위해 참조로서 본 명세서에 인용된 2020 년 6 월 25 일 출원된 출원 PCT/US2020/070187, 및/또는 2020 년 6 월 25 일 출원된 출원 PCT/US2020/039615에서 발견된다.
PR 재작업 (rework)
건식-세정 동작은 대안적으로, 원본 (original) 포토레지스트가 손상되었거나 결함이 있을 때와 같이, 도포된 EUV 포토레지스트가 제거되고 포토레지스트 재도포를 위해 반도체 기판이 준비되는, 전체 포토레지스트 제거 또는 포토레지스트 "재작업"으로 확장될 수도 있다. 포토레지스트 재작업은 하부 반도체 기판을 손상시키지 않고 달성되어야 하고, 따라서 산소계 에칭이 방지되어야 한다. 대신, 본 명세서에 기술된 바와 같은 할라이드-함유 화학 물질들의 변형들이 사용될 수도 있다. 포토레지스트 재작업 동작은 프로세스 (100) 동안 임의의 스테이지에서 적용될 수도 있다는 것이 이해될 것이다. 따라서, 포토레지스트 재작업 동작은 포토레지스트 증착 후, 베벨 에지 및 배면 세정 후, PAB 처리 후, EUV 노출 후, PEB 처리 후, 또는 현상 후 적용될 수도 있다. 일부 실시 예들에서, 포토레지스트 재작업은 포토레지스트의 노출된 영역 및 노출되지 않은 영역의 비선택적 제거를 위해 수행될 수도 있지만, 하부 층에 대해서는 선택적이다.
일부 실시 예들에서, 포토레지스트 재작업 프로세스는 다음 가스들: HBr, HCl, HI, BCl3, Cl2, BBr3, H2, PCl3, CH4, 메탄올, 암모니아, 포름산, NF3, HF 중 하나 이상을 갖는 증기 및/또는 플라즈마를 수반한다. 일부 실시 예들에서, 포토레지스트 재작업 프로세스는 본 명세서에 기술된 건식 현상 프로세스와 동일한 화학 물질들을 사용할 수도 있다. 예를 들어, 포토레지스트 재작업은 수소 할라이드 현상 화학 물질을 사용할 수도 있다.
프로세스 조건들은 포토레지스트 재작업을 위해 최적화될 수도 있다. 일부 실시 예들에서, 보다 높은 온도, 보다 높은 압력, 및/또는 보다 높은 반응 물질 플로우는 상승된 에칭 레이트를 야기할 수도 있다. 포토레지스트 재작업을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라, 100 sccm 내지 500 sccm (예를 들어, 500 sccm의 HCl, HBr, HI, BCl3 또는 H2 및 Cl2 또는 Br2) 의 반응 물질 플로우, 20 ℃ 내지 140 ℃ (예를 들어, 80 ℃) 의 온도, 20 mTorr 내지 1000 mTorr (예를 들어, 300 mTorr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 300 내지 800 W의 플라즈마 전력, 0 내지 200 Vb의 웨이퍼 바이어스 (보다 높은 바이어스가 보다 단단한 하부 기판 재료들과 함께 사용될 수도 있음) 그리고 EUV 포토레지스트를 완전히 제거하기 충분한 약 20 초 내지 3 분의 시간 동안일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
베벨 에지 및 배면 세정, 또는 PR 재작업의 추가 논의는 모든 목적들을 위해 본 명세서에 참조로서 인용된, 2020 년 6 월 25 일 출원된 출원 PCT/US2020/039615에서 발견된다.
PAB/소프트 소성 (softbake)
포토리소그래피 프로세스들은 통상적으로 포토레지스트의 노출 영역과 비노출 영역 사이의 화학적 콘트라스트를 생성하도록 요구되는 화학적 반응들을 용이하게 하도록, 하나 이상의 소성 단계들을 수반한다. 대량 제작 (High Volume Manufacturing; HVM) 을 위해, 이러한 소성 단계들은 통상적으로 웨이퍼들이 주변 공기 또는 일부 경우들에서 N2 플로우 하에서 미리 설정된 온도의 핫-플레이트 상에서 소성되는 트랙들 상에서 수행된다. 이들 소성 단계들 동안 분위기에 부가적인 반응성 가스 컴포넌트의 도입뿐만 아니라 소성 분위기의 보다 신중한 제어는 도즈 요건을 더 감소시키고 그리고/또는 패턴 충실도 (fidelity) 를 개선하는 것을 도울 수 있다.
본 개시의 다양한 양태들에 따라, 증착 후 (예를 들어, PAB (post-applicationbake)) 및/또는 노출 후 (예를 들어, PEB (post-exposure bake)), 금속 및/또는 금속 옥사이드계 포토레지스트들에 대한 하나 이상의 후 처리들은 노출된 포토레지스트와 비노출 포토레지스트 사이의 재료 특성 차들을 증가시킬 수 있고 따라서 후속 건식 현상 후 DtS (dose to size) 를 감소시키고, PR 프로파일을 개선하고, 라인 에지 및 폭 거칠기 (LER/LWR) 를 개선할 수 있다. PAB 처리는 열 처리, 화학적 노출, 및 EUV-패터닝 가능한 막의 EUV 감도 (sensitivity) 를 상승시키기 위한 수분의 조합을 수반할 수도 있어, EUV-패터닝 가능한 막에서 패턴을 현상하기 위해 EUV 도즈를 감소시킨다. PAB 처리 온도는 EUV-패터닝 가능한 막의 감도를 상승시키기 위해 튜닝되고 최적화될 수도 있다. 예를 들어, 처리 온도는 약 90 ℃ 내지 약 200 ℃ 또는 약 150 ℃ 내지 약 190 ℃일 수도 있다. 일부 실시 예들에서, PAB 처리는 대기압과 진공 사이의 압력, 및 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간으로 수행될 수도 있다. 일부 실시 예들에서, PAB 처리는 약 100 ℃ 내지 200 ℃의 온도에서 약 1 분 내지 2 분 동안 수행된다.
프로세스 (100) 의 블록 (108) 에서, 금속-함유 EUV 레지스트 막은 패턴을 현상하기 위해 EUV 방사선에 노출된다. 일반적으로 말하면, EUV 노출은 화학적 조성의 변화 및 금속-함유 EUV 레지스트 막의 교차 결합에서 변화를 유발하여, 후속 현상을 위해 이용될 (exploit) 수 있는 에칭 선택도의 콘트라스트를 생성한다.
이어서 금속-함유 EUV 레지스트 막은 통상적으로 상대적으로 고 진공 하에서 막의 영역을 EUV 광에 노출시킴으로써 패터닝될 수도 있다. 본 명세서에 유용한 것들 중 EUV 디바이스들 및 이미징 (imaging) 방법들은 당업계에 공지된 방법들을 포함한다. 특히, 상기 논의된 바와 같이, 막의 노출된 영역들은 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 EUV 패터닝을 통해 생성된다. 예를 들어, 노출된 영역들에서, 금속-탄소 결합 분열 (cleavage) 이 베타-하이드라이드 (beta-hydride) 제거를 통해 발생할 수도 있어서, 후속 노출 후 소성 (post-exposure bake; PEB) 단계 동안 금속-산소 브리지들을 통해 하이드록사이드 및 교차 결합된 금속 옥사이드 모이어티들로 변환될 수 있는 반응성 및 액세스 가능 금속 하이드라이드 작용기들을 남긴다. 이 프로세스는 네거티브 톤 레지스트로서 현상을 위해 화학적 콘트라스트를 생성하도록 사용될 수 있다. 일반적으로, 알킬기의 보다 큰 수의 베타-H는 보다 민감한 막을 발생시킨다. 이는 또한 보다 많은 분기들을 갖는 보다 약한 Sn-C 결합으로 설명될 수 있다. 노출에 이어, 금속 옥사이드 막의 부가적인 교차 결합을 유발하도록 금속-함유 EUV 레지스트 막은 소성될 수도 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는 노출되지 않은 영역들을 용해시키고 또는 노출된 영역들 상에 재료들을 증착하는 것과 같은 후속 프로세싱에 이용될 수도 있다. 예를 들어 패턴은 금속 옥사이드-함유 마스크를 형성하기 위해 건식 방법을 사용하여 현상될 수 있다.
특히, 다양한 실시 예들에서, 표면 상에 존재하는 하이드로카빌-종단된 주석 옥사이드는, 특히 노출이 EUV를 사용하여 진공에서 수행될 때 이미징 층의 노출된 영역(들)에서 수소-종단된 주석 옥사이드로 변환된다. 그러나, 진공으로부터 공기로 노출된 이미징 층들을 제거하거나, 산소, 오존, H2O2, 또는 물의 제어된 도입은 표면 Sn-H의 Sn-OH로의 산화를 발생시킬 수 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는, 예컨대 이미징 층에 재료를 선택적으로 첨가하거나 이미징 층으로부터 재료를 제거하도록 하나 이상의 시약들과 조사된 영역, 조사되지 않은 영역, 또는 둘 모두를 반응시킴으로써 후속 프로세싱에서 이용될 수도 있다.
본 기술 (technology) 의 메커니즘, 기능 또는 실용성을 제한하지 않고, 예를 들어 10 mJ/㎠ 내지 100 mJ/㎠의 도즈들에서 EUV 노출은 Sn-C 결합들의 분열을 발생시키고 이는 알킬 치환기의 손실, 입체 장애를 완화시키고, 저 밀도 막으로 하여금 붕괴되게 한다. 또한, 베타-하이드라이드 제거 반응들에서 생성된 반응성 금속-H 결합은 막의 하이드록실들과 같은 이웃하는 활성기들과 반응할 수 있어서, 추가 교차 결합 및 치밀화로 이어지고, 노출된 영역(들)과 비노출 영역(들) 사이의 화학적 콘트라스트를 생성한다.
EUV 광에 대한 금속-함유 EUV 레지스트 막의 노출에 이어서, 포토패터닝된 금속-함유 EUV 레지스트가 제공된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV-노출된 영역 및 노출되지 않은 영역을 포함한다.
일부 실시 예들에서, PEB (post-exposure bake) 는 포토패터닝된 금속-함유 EUV 레지스트의 에칭 선택도의 콘트라스트를 더 증가시키도록 수행된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV-노출된 영역들의 교차 결합을 용이하게 하도록 다양한 화학 종의 존재 하에 열적으로 처리될 수 있거나, 대기 (ambient air) 에서 예를 들어 1 분 내지 5 분 동안 150 ℃ 내지 250 ℃ (예를 들어, 2 분 동안 190 ℃) 의 핫 플레이트 상에서 단순히 소성될 수 있다.
다양한 실시 예들에서, 소성 전략은 소성 분위기의 신중한 제어, 반응성 가스들의 도입, 및/또는 소성 온도의 램핑 (ramping) 레이트의 신중한 제어를 수반한다. 유용한 반응성 가스들의 예들은 예를 들어, 공기, H2O, H2O2 증기, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, 알코올, 아세틸 아세톤, 포름산, Ar, He, 또는 이들의 혼합물들을 포함한다. PEB 처리는 (1) EUV 노출 동안 생성되는 유기 단편들의 완전한 증발을 유도하고 (2) EUV 노출에 의해 생성된 모든 Sn-H, Sn-Sn, 또는 Sn 라디칼 종을 금속 하이드록사이드로 산화시키고, 그리고 (3) 보다 치밀하게 교차 결합된 SnO2-유사 네트워크를 형성하도록 이웃하는 Sn-OH 기들 사이의 교차 결합을 용이하게 하도록 설계된다. 소성 온도는 최적의 EUV 리소그래피 성능을 달성하도록 신중하게 선택된다. 너무 낮은 PEB 온도는 불충분한 교차 결합을 야기할 것이고, 결과적으로 주어진 도즈에서 현상을 위한 보다 적은 화학적 콘트라스트를 야기할 것이다. 너무 높은 PEB 온도는 또한 노출되지 않은 영역 (이 예에서 마스크를 형성하기 위해 패터닝된 막의 현상에 의해 제거되는 영역) 에서 심각한 산화 및 막 수축을 포함하여 유해한 영향들을 가질 뿐만 아니라, 포토패터닝된 금속-함유 EUV 레지스트와 하부 층 사이의 계면에서 목표되지 않은 상호확산 (interdiffusion) 을 가질 것이고, 이들 모두는 화학적 콘트라스트들의 손실 및 불용성 스컴 (scum) 으로 인한 결함 밀도의 상승에 원인이 될 수 있다. PEB 처리 온도는 약 100 ℃ 내지 약 300 ℃, 약 170 ℃ 내지 약 290 ℃ 또는 약 200 ℃ 내지 약 240 ℃일 수도 있다. 일부 실시 예들에서, PEB 처리는 대기압과 진공 사이의 압력, 및 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간으로 수행될 수도 있다. 일부 실시 예들에서, PEB 열 처리는 에칭 선택도를 더 상승시키도록 반복될 수도 있다.
부가적으로, PAB 또는 PEB 처리들에서 소성 온도의 램핑 레이트는 교차 결합/에칭 선택도 결과들을 미세-튜닝하도록 조작될 수 있는 또 다른 유용한 프로세스 파라미터이다. 대안적으로, PAB 및 PEB 열적 프로세스는 PR 특성들을 조절하고 따라서 상이한 에칭 선택도를 튜닝하기 위해, 동작 각각에 대해 주변 가스들 또는 혼합물들, 온도들, 압력들, 등과 같은 상이한 프로세스 조건들을 사용하여 단일 동작 또는 복수의 동작들로 이루어질 수 있다.
대안적인 실시 예에서, 도포-후 및 노출-후 처리들 중 하나 또는 모두는 금속-함유 포토레지스트와 반응하여 그 재료 특성들을 개질하기 위한 라디칼들을 생성하기 위해, 열적 프로세싱과 함께, 또는 열적 프로세싱 대신에 리모트 플라즈마 프로세스를 수반할 수도 있다. 이러한 구현 예들에서, 라디칼들은 동일하거나 상이한 가스 종으로부터 생성될 수도 있다.
다양한 실시 예들에서, 금속-함유 포토레지스트의 재료 특성들을 개질하기 위해 금속-함유 포토레지스트를 처리하는 것은 금속-함유 포토레지스트를 EUV 리소그래피 (예를 들어, PAB 및 PEB) 에 노출하기 전과 후 모두이다. PAB 및 PEB 동작들의 추가 논의는 2020 년 2 월 4 일 출원된 미국 특허 출원 제 62/970,020 호 및 2020 년 6 월 24 일 출원된 출원 PCT/US2020/070171에서 발견되고, 모든 목적들을 위해 참조로서 인용된다.
EUV 스캐너
극 자외선 (EUV) 리소그래피는 작은 임계 치수 피처들을 패터닝하기 위해 현재 포토리소그래피 방법들로 달성 가능한 보다 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술을 광학적 한계들을 넘어 확장할 수 있다. 대략 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 방사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
본 기술은 또한 EUV, DUV 또는 e-빔과 같은 조사 (irradiation) 에 이미징 층의 영역을 노출함으로써 이미징 층이 패터닝되는 방법들을 제공한다. 이러한 패터닝에서, 방사선은 이미징 층의 하나 이상의 영역들 상에 포커싱된다. 노출은 통상적으로 이미징 층 막이 방사선에 노출되지 않은 하나 이상의 영역들을 포함하도록 수행된다. 발생하는 이미징 층은 반도체 디바이스의 트랜지스터 또는 다른 피처들의 생성과 일치하는 패턴을 생성하고, 기판의 후속 프로세싱에서 기판으로부터 재료의 추가 또는 제거에 의해 형성된, 복수의 노출 영역 및 비노출 영역을 포함할 수도 있다. 본 명세서에서 유용한 EUV, DUV 및 e-빔 방사 방법들 및 장비는 당업계에 공지된 방법들 및 장비를 포함한다.
막 형성 (증착/응결) 및 광학 리소그래피를 결합하여 크게 개선된 EUV 리소그래피 (EUVL) 성능-예를 들어 감소된 라인 에지 거칠기-의 결과를 갖는 진공-통합된 금속 하드 마스크 프로세스 및 관련된 진공-통합된 하드웨어가 본 명세서에 개시된다.
본 명세서에 기술된 다양한 실시 예들에서, 증착 (예를 들어, 응결) 프로세스 (예를 들어, Lam Vector®와 같은 PECVD 툴에서 수행된 ALD 또는 MOCVD) 가 (예를 들어, 대략 10 ㎚ 내지 20 ㎚의 파장들의) EUV에서, 예를 들어 EUVL 광원의 파장 (예를 들어, 13.5 ㎚ = 91.8 eV) 에서 강한 흡수와 함께, 감광성 금속 염 또는 금속-함유 유기 화합물 (유기금속 화합물) 과 같은, 금속-함유 막의 박막을 형성하도록 사용될 수 있다. 이 막은 EUV 노출시 광분해되고 후속 에칭 동안 (예를 들어, 도전체 에칭 툴, 예컨대 Lam 2300® Kiyo®에서) 패턴 전사 층인 금속 마스크를 형성한다.
증착 후에, EUV-패터닝 가능한 박막은 통상적으로 상대적으로 고 진공 하에서, EUV 광의 빔으로 노출에 의해 패터닝된다. EUV 노출을 위해, 금속-함유 막은 리소그래피 플랫폼 (예를 들어, NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE:3300B® 플랫폼과 같은 웨이퍼 스텝퍼) 과 통합된 챔버 내에 증착될 수 있고 노출 전에 반응하지 않도록 진공 하에서 이송된다. 리소그래피 툴과 통합은 EUVL이 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다. 다른 실시 예들에서, 감광성 금속 막 증착 및 EUV 노출은 동일한 챔버에서 수행될 수도 있다.
본 개시는 주로 패터닝 기법으로서 EUVL을 참조하지만, 대안적인 실시 예들은 패턴을 블랭킷 마스크 상에 직접 기록하기 위해 전자들, 이온들 또는 중성 종의 포커싱된 빔을 사용할 수 있고, 이들 단계들은 또한 진공에서 수행된다는 것을 주의해야 한다. EUVL 시스템의 반사 광학계 상에 부산물이 응결된다면 인 시츄 챔버 세정이 사용될 수도 있다.
특히, 상기 논의된 바와 같이, 막의 영역들은 비노출 영역들에 대해 물리적 또는 화학적 특성들이 변경된 EUV 패터닝을 통해 생성된다. 예를 들어, 노출된 영역들에서, 금속-탄소 결합 분열 (cleavage) 이 베타-하이드라이드 (beta-hydride) 제거를 통해 발생할 수도 있어서, 금속-산소 브리지들을 통해 하이드록사이드 및 교차 결합된 금속 옥사이드 모이어티들로 변환될 수 있는 반응성 및 접근 가능한 금속 하이드라이드 기능성들을 남기고, 이는 네거티브 톤 레지스트 (negative tone resist) 또는 하드 마스크에 대한 템플릿 (template) 으로서 화학적 콘트라스트 (contrast) 를 생성하도록 사용될 수 있다. 일반적으로, 알킬기의 보다 큰 수의 베타-H는 보다 민감한 막을 발생시킨다. 노출에 이어, 막은 금속 옥사이드 막의 부가적인 교차 결합을 유발하도록 소성될 수도 있다.
본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 예를 들어, 10 mJ/㎠ 내지 100 mJ/㎠의 도즈들로, EUV 노출은 입체 장애를 완화하고, 저 밀도 막이 붕괴하는 공간을 제공할 수도 있다. 또한, 베타-하이드라이드 제거 반응들에서 생성된 반응성 금속-H 결합은 막의 하이드록실들과 같은 이웃하는 활성기들과 반응할 수 있어서, 추가 교차 결합 및 치밀화로 이어지고, 노출된 영역과 비노출 영역 사이의 화학적 대비를 생성한다.
본 명세서에 기술된 막 증착 및 리소그래피 프로세스들 및 장치의 진공-통합은 EUV-감응 금속 막 증착 및 후속하여 이들의 분해 또는 열화를 방지하기 위해 진공 분위기에서 직접 EUV 노출에 의해 직접 패터닝을 제공한다. EUVL은 주변 가스들의 광 흡수에 의한 입사 13.5 ㎚ 광속 (light flux) 의 열화를 방지하도록 진공에서 행해진다. 기술된 진공-통합 하드 마스크 프로세스들의 장점들은 다음과 같다: EUV 시스템의 진공 동작은 산소와 수분에 민감한 화합물들을 사용할 가능성을 열어 주고; 장치 내에서 증착 시스템과 EUV 시스템의 진공 통합은 이들 재료들의 사용을 가능하게 한다. 금속 전구체의 광 분해는 금속 막의 증가된 흡착에 의해 광 분해가 강화되는 비선형 반응을 생성한다. 금속들은 포토레지스트보다 고 에너지 2 차 전자들의 열중성화 (thermalization) 시 보다 우수하고, 따라서 콘트라스트 또는 LER을 개선한다. 마스크들로서 또는 패턴 증폭과 함께 금속 막을 직접 사용하는 것은 훨씬 보다 얇은 막을 허용하고 필요한 노출 시간들을 감소시킨다. 금속 막들은 에칭을 위해 보다 우수한 하드 마스크들을 만들고 마스크 관점에서 필요한 두께를 감소시킨다. 더욱이, EUV 진공 및 광학계와 호환 가능한 재료들, 금속 증착을 위한 적절한 도즈 문턱 값들을 갖는 유기 금속 전구체들, 및 주어진 공간에서 핵 생성 사이트를 제거하기 위한 복수의 광 분해 이벤트들을 갖는 핵 생성 막들의 추가의 현상 및 최적화는 본 명세서에 기술된 프로세스들에 따라 진행될 수도 있다.
리소그래피 조사 동작들의 추가 논의는 모든 목적들을 위해 본 명세서에 참조로서 인용된, 2015 년 1 월 30 일 출원된 미국 특허 출원 제 14/610,038 호에서 발견된다.
노출 후 소성 (Post-Exposure Bake; PEB)
상기 기술된 바와 같이, 포토리소그래피 프로세스들은 통상적으로 포토레지스트의 노출 영역과 비노출 영역 사이의 화학적 콘트라스트를 생성하기 위해 필요한 화학적 반응들을 용이하게 하도록, 하나 이상의 소성 단계들을 수반한다. 소성은 이미징 층을 증착한 후/EUV 노출 (예를 들어, PAB (post-application)) 및/또는 EUV에 대한 노출 (예를 들어, PEB (post-exposure bake)) 전에 수행될 수도 있다.
다양한 실시 예들에서, 소성 전략은 소성 분위기의 신중한 제어, 반응성 가스들의 도입, 및/또는 소성 온도의 램핑 (ramping) 레이트의 신중한 제어를 수반한다. 일부 실시 예들에서, 상기 논의된 PEB 전략들이 사용될 수도 있다. 이러한 전략들은 금속 옥사이드계 EUV 포토레지스트 (PR) 에 특히 유용할 수 있다.
통상적인 EUV 리소그래피 워크 플로우 (work flow) 에서, 스핀-온 금속 옥사이드 레지스트 재료들은 통상적으로 두 번의 소성 단계들을 경험한다: 하나는 막에 흡수된 모든 잔류 용매를 증발시키기 위해 레지스트를 도포한 후, 그리고 또 다른 하나는 EUV 노출 후이다. 일반적으로 PEB로 지칭되는 제 2 소성은 다양한 목적들: 1) EUV 노출 동안 생성되는 유기 단편들의 완전한 증발을 구동하고; 2) 금속 하이드라이드 종 (EUV 노출 동안 베타-H 제거 반응으로부터의 다른 생성물) 을 금속 하이드록사이드로 산화시키고; 그리고 3) 이웃하는 -OH기들 사이의 교차 결합을 용이하게 하고 교차 결합된 금속 옥사이드 네트워크를 형성하도록 설계된다. 소성 온도는 최적의 EUV 리소그래피 성능을 달성하도록 신중하게 선택된다. 너무 낮은 PEB 온도는 불충분한 교차 결합뿐만 아니라 유기 단편들의 불완전한 제거를 초래할 것이고, 결과적으로 주어진 도즈에서 현상을 위한 보다 적은 화학적 콘트라스트를 야기할 것이다. 너무 높은 PEB 온도는 또한 노출되지 않은 영역 (이 예에서 마스크를 형성하기 위해 패터닝된 막의 현상에 의해 제거되는 영역) 에서 심각한 산화 및 막 수축을 포함하여 유해한 영향들을 가질 뿐만 아니라, PR과 하부 층 사이의 계면에서 목표되지 않은 상호확산 (interdiffusion) 을 가질 것이고, 이들 모두는 화학적 콘트라스트들의 손실 및 불용성 스컴 (scum) 으로 인한 결함 밀도의 상승에 기여할 것이다. 유일한 노브들 (knobs) 로서 소성 온도 및 소성 시간을 갖는, 튜닝 가능성 및 프로세스 윈도우는 종종 매우 제한된다.
상기 논의된 바와 같이, PEB 프로세스 동안 소성 분위기 및 반응성 가스 종의 도입에 대한 신중한 제어는 교차 결합 프로세스를 미세-튜닝하기 (fine-tune) 위한 부가적인 화학 물질 노브를 제공한다. PR 재료들에서 교차 결합 거동의 동역학을 튜닝하는 능력은 상호확산 및 다른 관련된 결함 형성 메커니즘들을 최소화함으로써 리소그래피 성능의 추가 최적화를 허용하는, 보다 넓은 프로세스 윈도우를 제공할 것이다. 부가적으로, 소성 온도의 램핑 레이트 및 압력의 제어 (대기압 이하) 는 교차 결합 프로세스를 미세-튜닝하도록 조작될 수 있는 또 다른 유용한 프로세스 파라미터들이다.
PAB 및 PEB 동작들의 추가 논의는 2020 년 2 월 4 일 출원된 미국 특허 출원 제 62/970,020 호 및 2020 년 6 월 24 일 출원된 출원 PCT/US2020/070171에서 발견되고, 모든 목적들을 위해 참조로서 인용된다.
EUV 노출 후 패턴 현상
EUV 노출 및 잠재적으로 PEB에 이어서, 이미징 층의 노출된 영역과 노출되지 않은 영역 사이의 선택도는 건식 현상, 습식 현상, 또는 영역-선택적인 ALD에 의해 이용된다. 예를 들어 습식 현상 프로세스 또는 건식 현상 프로세스는 비노출 영역들을 제거하고 노출된 영역들을 남길 수도 있다. EUV 노출에 이어서, 이미징 층의 후속 프로세싱은 기판 재료들 및 기판을 사용하여 제조된 반도체 디바이스의 목표된 피처들에 종속될 것이다. 예를 들어, 피처들은 패터닝된 노출 툴에 의해 규정된 노출된 (포지티브 톤) 영역 또는 노출되지 않은 (네거티브 톤) 영역에서 건식 또는 액체 현상액들에 선택적으로 용해되는 막들과 같은 다양한 리소그래피 기법들에 의해 기판 상에 생성될 수도 있다.
건식 현상은 성능을 개선하고 (예를 들어, 습식 현상에서 표면 장력으로 인한 라인 붕괴 방지), (예를 들어, 습식 현상 트랙 필요성을 방지함으로써) 쓰루풋을 향상시킬 수 있다. 건식 현상 및/또는 완전 건식 프로세싱의 다른 이점들은 유기 용매 현상액들의 사용을 제거하는 것, 접착 문제들에 대한 감소된 감도, 개선된 도즈 효율에 대해 상승된 EUV 흡수 및 용해도-기반 제한들의 결여를 포함할 수도 있다.
EUV 포토레지스트 건식 현상
상기 논의된 바와 같이, 막의 노출된 영역들은 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 EUV 패터닝을 통해 생성된다. 예를 들어, 노출된 영역들에서, 금속-탄소 결합 분열 (cleavage) 이 베타-하이드라이드 (beta-hydride) 제거를 통해 발생할 수도 있어서, 금속-산소 브리지들을 통해 하이드록사이드 및 교차 결합된 금속 옥사이드 모이어티들로 변환될 수 있는 반응성 및 접근 가능한 금속 하이드라이드 기능성들을 남기고, 이는 네거티브 톤 레지스트 (negative tone resist) 또는 하드 마스크에 대한 템플릿 (template) 으로서 화학적 콘트라스트 (contrast) 를 생성하도록 사용될 수 있다. 일반적으로, 알킬기의 보다 큰 수의 베타-H는 보다 민감한 막을 발생시킨다. 노출에 이어, 막은 금속 옥사이드 막의 부가적인 교차 결합을 유발하도록 소성될 수도 있다.
노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는 노출되지 않은 영역들을 용해시키고 또는 노출된 영역들 상에 재료들을 증착하는 것과 같은 후속 프로세싱에 이용될 수도 있다. 예를 들어 패턴은 금속 옥사이드-함유 마스크를 형성하기 위해 건식 방법을 사용하여 현상될 수 있다. 이러한 프로세스들에서 유용한 방법들 및 장치는 방법들 및 장치의 개시를 위해 본 명세서에 참조로서 인용된 2019 년 12 월 19 일에 출원된 출원 PCT/US2019/067540, 2020 년 6 월 25 일 출원된 출원 PCT/US2020/039615, 및 2019 년 10 월 8 일 출원된 미국 특허 제 62/912,330 호에 기술된다.
열 현상 프로세스들에서, 포토패터닝된 금속-함유 EUV 레지스트는 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도를 위해 최적화된 온도에서 현상 화학 물질에 노출된다. 온도가 낮을수록 에칭 선택도의 콘트라스트를 상승시킬 수도 있는 한편, 온도가 보다 높을수록 에칭 선택도의 콘트라스트를 감소시킬 수도 있다. 일부 실시 예들에서, 온도는 약 -60 ℃ 내지 약 120 ℃, 약 -20 ℃ 내지 약 60 ℃ 또는 약 -20 ℃ 내지 약 20 ℃, 예컨대 약 -10 ℃일 수도 있다. 챔버 압력이 튜닝될 수도 있고, 챔버 압력은 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 챔버 압력은 상대적으로 낮고 희석없이 동반될 수도 있고, 챔버 압력은 약 0.1 mTorr 내지 약 300 mTorr, 약 0.2 mTorr 내지 약 100 mTorr, 또는 약 0.5 mTorr 내지 약 50 mTorr일 수도 있다. 일부 실시 예들에서, 챔버 압력은 약 20 mTorr 내지 약 800 mTorr, 또는 약 20 mTorr 내지 약 500 mTorr, 예컨대 약 300 mTorr일 수도 있다. 일부 실시 예들에서, 챔버 압력은 고 플로우와 함께 상대적으로 높고 희석이 동반될 수도 있고, 챔버 압력은 약 100 Torr 내지 약 760 Torr, 약 200 Torr 내지 약 760 Torr일 수도 있다. 반응 물질 플로우 레이트는 튜닝될 수도 있고, 반응 물질 플로우는 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 반응 물질 플로우는 약 50 sccm 내지 약 2000 sccm, 약 100 sccm 내지 약 2000 sccm, 또는 약 100 sccm 내지 약 1000 sccm, 예컨대 약 500 sccm일 수도 있다. 고 플로우의 예들에서, 반응 물질 플로우는 약 1 L 내지 약 10 L일 수도 있다. 노출의 지속 기간은 열 현상 프로세스에서 튜닝될 수도 있다. 노출의 지속 기간은 다른 요인들 중에서도, 얼마나 많은 레지스트가 제거되어야 하는지, 현상 화학 물질, 레지스트의 교차 결합량, 및 레지스트의 조성 및 특성들에 종속될 수도 있다. 일부 실시 예들에서, 노출의 지속 기간은 약 5 초 내지 약 5 분, 약 10 초 내지 약 3 분, 또는 약 10 초 내지 약 1 분일 수도 있다.
열 현상 프로세스들은 증기 또는 액체상의 특정한 할라이드-함유 화학 물질들에 포토패터닝된 금속-함유 EUV 레지스트를 노출시킬 수도 있다. 일부 실시 예들에서, 현상 화학 물질은 수소 할라이드, 수소 및 할로겐 가스, 붕소 트리클로라이드, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 혼합물들을 포함한다. 수소 할라이드는 HF, HCl, HBr, 및 HI를 포함할 수 있지만 이로 제한되지 않는다. 예를 들어, 수소 할라이드는 HCl 또는 HBr일 수 있다. 수소 및 할로겐 가스는 이로 제한되지 않지만 F2, Cl2, Br2, 또는 I2와 혼합된 수소 가스 (H2) 를 포함할 수 있다. 붕소 트리클로라이드 (BCl3) 는 임의의 전술한 수소 할라이드들 또는 수소 및 할로겐 가스들과 조합하여 사용될 수도 있다. 유기 할라이드는 이로 제한되지 않지만 CxHyFz, CxHyClz, CxHyBrz, 및 CxHyIz를 포함할 수 있고, 여기서 x, y, 및 z는 0 이상의 값들이다. 아실 할라이드는 이로 제한되지 않지만 CH3COF, CH3COCl, CH3COBr, 및 CH3COI를 포함할 수 있다. 카르보닐 할라이드는 이로 제한되지 않지만 COF2, COCl2, COBr2, 및 COI2를 포함할 수 있다. 티오닐 할라이드는 이로 제한되지 않지만 SOF2, SOCl2, SoBr2, 및 SOI2를 포함할 수 있다. 일부 실시 예들에서, 할라이드-함유 화학 물질은 He, Ne, Ar, Xe, 및 N2와 같은 불활성/캐리어 가스와 함께 또는 불활성/캐리어 가스 없이 흐를 수도 있다.
열 현상 프로세스는 플라즈마 없이 행해질 수도 있다. 비플라즈마 열적 접근법을 적용함으로써, 저 비용 열적 진공 챔버/오븐에서 동시에 복수의 웨이퍼들이 배치 (batch) 현상될 수 있기 때문에 생산성이 상당히 개선될 수 있다. 그러나, 일부 실시 예들에서, 열적 현상 프로세스는 플라즈마에 대한 노출이 이어질 수도 있다. 플라즈마에 대한 후속 노출은 탈착, 디스커밍 (descumming), 평활화, 또는 다른 프로세싱 동작들을 위해 발생할 수도 있다.
플라즈마 현상 프로세스들에서, 포토패터닝된 금속-함유 EUV 레지스트는 하나 이상의 가스들의 라디칼들/이온들을 포함하는 현상 화학 물질에 노출된다. 반도체 기판을 프로세싱하기 위한 프로세스 챔버는 플라즈마 생성 챔버일 수도 있고 또는 프로세스 챔버로부터 리모트의 플라즈마 생성 챔버에 커플링될 수도 있다. 건식 현상은 일부 실시 예들에서 리모트 플라즈마에 의해 발생할 수도 있다. 플라즈마 생성 챔버는 당업계에 공지된 장비 및 기법들을 채용하는, ICP (inductively-coupled plasma) 반응기, TCP (transformer-coupled plasma) 반응기, 또는 CCP (capacitively-coupled plasma) 반응기일 수도 있다. 전자기장이 플라즈마 생성 챔버 내에서 플라즈마를 생성하도록 하나 이상의 가스들에 작용한다. 리모트 플라즈마로부터의 이온들 및/또는 라디칼들은 포토패터닝된 금속-함유 EUV 레지스트와 상호 작용할 수도 있다. 일부 실시 예들에서, 진공 라인은 압력 제어를 위해 프로세스 챔버에 커플링되고, 현상 화학 물질 라인은 플라즈마 생성 챔버 내로 하나 이상의 가스들의 전달을 위해 플라즈마 생성 챔버에 커플링될 수도 있다. 프로세스 챔버는 온도 제어를 위한 하나 이상의 히터들, 예컨대 기판 온도 제어를 위해 프로세스 챔버 내의 기판 지지부에 커플링된 히터들을 포함할 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내부는 유기 폴리머들 또는 무기 코팅들과 같은 부식 내성 막들로 코팅될 수 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌 (PTFE), 예를 들어, TeflonTM이다. 이러한 재료들은 플라즈마 노출에 의한 제거 위험 없이 이 개시의 열 프로세스들에서 사용될 수 있다.
플라즈마 현상 프로세스들에서, 포토패터닝된 금속-함유 EUV 레지스트는 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도를 위해 최적화된 조건들 하에서 리모트 플라즈마에 노출된다. 조건들은 순한 플라즈마를 생성하기 위해 최적화될 수도 있고, 순한 플라즈마는 고압 및 저 전력을 특징으로 할 수 있다. 챔버 압력이 튜닝될 수도 있고, 챔버 압력은 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 챔버 압력은 약 5 mTorr 이상, 또는 약 15 mTorr 이상일 수도 있다. 일부 실시 예들에서, 챔버 압력은 고 플로우와 함께 상대적으로 높고 희석이 동반될 수도 있고, 챔버 압력은 약 100 Torr 내지 약 760 Torr, 약 200 Torr 내지 약 760 Torr일 수도 있다. RF 전력 레벨들은 튜닝될 수도 있고, RF 전력은 에칭 선택도, 거칠기, 디스커밍, 및 다른 현상 특성들에 영향을 줄 수도 있다. 일부 실시 예들에서, RF 전력은 약 1000 W 이하, 약 800 W 이하, 또는 약 500 W 이하일 수도 있다. 온도가 튜닝될 수도 있고, 여기서 온도는 에칭 선택도와 같은 현상의 다양한 양태들에 영향을 줄 수도 있다. 일부 실시 예들에서, 온도는 약 -60 ℃ 내지 약 300 ℃, 약 0 ℃ 내지 약 300 ℃ 또는 약 30 ℃ 내지 약 120 ℃일 수도 있다. 가스 플로우 레이트는 튜닝될 수도 있고, 가스 플로우는 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 가스 플로우 레이트는 약 50 sccm 내지 약 2000 sccm, 약 100 sccm 내지 약 2000 sccm, 또는 약 200 sccm 내지 약 1000 sccm, 예컨대 약 500 sccm이다. 노출의 지속 기간은 플라즈마 현상 프로세스에서 튜닝될 수도 있다. 노출의 지속 기간은 다른 요인들 중에서도, 얼마나 많은 레지스트가 제거되어야 하는지, 현상 화학 물질, 레지스트의 교차 결합량, 및 레지스트의 조성 및 특성들에 종속될 수도 있다. 일부 실시 예들에서, 노출의 지속 기간은 약 1 초 내지 약 50 분, 약 3 초 내지 약 20 분, 또는 약 10 초 내지 약 6 분일 수도 있다.
플라즈마 현상 프로세스들은 포토패터닝된 금속-함유 EUV 레지스트를 특정한 할라이드-함유 가스들의 라디칼들에 노출시킬 수도 있다. 일부 실시 예들에서, 라디칼들은 리모트 플라즈마 소스로부터 생성된다. 예를 들어, 플라즈마 현상은 포토패터닝된 금속-함유 EUV 레지스트를 리모트 플라즈마 소스로부터 생성된 수소 및 할라이드 가스들의 라디칼들에 노출시킬 수도 있다. 일부 실시 예들에서, 할라이드-함유 가스는 수소 할라이드, 수소 및 할로겐 가스, 붕소 트리클로라이드, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 혼합물들을 포함한다. 수소 할라이드는 이로 제한되지 않지만 수소 플루오라이드 (HF), 수소 클로라이드 (HCl), 수소 브로마이드 (HBr), 또는 수소 아이오다이드 (HI) 를 포함할 수 있다. 예를 들어, 수소 할라이드는 HCl 또는 HBr일 수도 있다. 수소 및 할로겐 가스는 이로 제한되는 것은 아니지만 불소 가스 (F2), 염소 가스 (Cl2), 브롬 가스 (Br2), 또는 요오드 가스 (I2) 와 혼합된 수소 가스 (H2) 를 포함할 수 있다. 유기 할라이드는 이로 제한되지 않지만 CxHyFz, CxHyClz, CxHyBrz, 및 CxHyIz를 포함할 수 있고, 여기서 x, y, 및 z는 0 이상의 값들이다. 아실 할라이드는 이로 제한되지 않지만 CH3COF, CH3COCl, CH3COBr, 및 CH3COI를 포함할 수 있다. 카르보닐 할라이드는 이로 제한되지 않지만 COF2, COCl2, COBr2, 및 COI2를 포함할 수 있다. 티오닐 할라이드는 이로 제한되지 않지만 SOF2, SOCl2, SoBr2, 및 SOI2를 포함할 수 있다. 일부 실시 예들에서, 할라이드-함유 가스는 He, Ne, Ar, Xe, 및 N2와 같은 불활성/캐리어 가스와 함께 또는 불활성/캐리어 가스 없이 흐를 수도 있다.
디스컴/평활화/경화
일부 예들에서, 스컴 (클러스터들과 같이 고 금속 농도들을 가질 가능성이 가장 높은, 예를 들어, 현상 후 EUV 레지스트의 노출되지 않은 영역들의 개방 부분들의 재료), 또는 거칠기 (동일한 조성, 그러나 현상된 패턴의 에칭된 피처들의 측벽들 상) 가 있을 수도 있다. 이들 과제들 모두는 대체로 확률론들 및 레지스트가 노출되지 않은 채로 유지되어야 하는 영역들에서 부분적으로 또는 완전히 노출된 재료를 발생시키거나 그 반대로 광의 비최적 가우스 분포에 크게 기인할 수 있다.
디스커밍 및 평활화 동작들을 위한 프로세스 조건들은 현상 동안 또는 현상 후에 제어될 수도 있다. 일부 실시 예들에서, 반응 물질 플로우는 약 50 sccm 내지 약 1000 sccm 또는 약 100 sccm 내지 약 500 sccm, 예컨대 약 500 sccm의 He일 수도 있다. 일부 실시 예들에서, 온도는 약 -60 ℃ 내지 약 120 ℃, 약 -20 ℃ 내지 약 60 ℃ 또는 약 20 ℃ 내지 약 40 ℃, 예컨대 약 20 ℃일 수도 있다. 일부 실시 예들에서, 챔버 압력은 약 1 mTorr 내지 약 300 mTorr, 또는 약 5 mTorr 내지 약 100 mTorr, 약 5 mTorr 내지 약 20 mTorr, 예컨대 약 10 mTorr일 수도 있다. 플라즈마 전력은 고 이온 에너지로 상대적으로 낮을 수도 있다. 일부 실시 예들에서, 플라즈마 전력은 약 50 W 내지 약 1000 W, 약 100 W 내지 약 500 W, 또는 약 100 W 내지 약 300 W, 예컨대 약 300 W일 수도 있다. 일부 실시 예들에서, 웨이퍼 바이어스는 약 10 V 내지 약 500 V, 약 50 V 내지 약 300 V, 예컨대 약 200 V이다. 플라즈마는 고 RF 주파수를 사용하여 생성될 수도 있다. 일부 실시 예들에서, RF 주파수는 13.56 ㎒이다. 불활성 가스 플라즈마에 대한 노출의 지속 기간은 플라즈마 노출 동안 UV 방사에 대한 과도한 노출을 방지하도록 상대적으로 짧을 수도 있다. 일부 실시 예들에서, 노출 지속 기간은 약 0.5 초 내지 약 5 초, 약 1 초 내지 약 3 초, 예컨대 약 2 초이다.
He 디스컴 및 노출되지 않은 레지스트 잔여물의 세정은 노출된 레지스트를 경화하여 강화함으로써 하부 기판을 에칭하기 위한 후속 동작들에서 하드 마스크 기능을 향상시키는 부수적인 이점을 가질 수 있다. 이 레지스트 강화는 바이어스가 턴 오프된 상태에서 디스컴/평활화가 완료된 후 계속될 수도 있는, He 플라즈마에 의해 생성된 UV 방사에 EUV 노출된 레지스트의 노출에 의해 달성된다. He 플라즈마 경화는 디스컴/평활화가 필요하지 않거나 수행되지 않으면 대안적으로 수행될 수도 있다.
일부 실시 예들에서, He 플라즈마 디스컴/평활화는 상기 기술된 바와 같이, 향상된 결과들을 위해 건식 현상과 순환될 수도 있다. 이러한 방식으로, 예를 들어 패턴의 노출되지 않은 영역들의 대부분의 유기 컴포넌트는 건식 현상에 의해 제거되고, 이어서 짧은 He 플라즈마 동작은 표면에서 농축된 금속의 일부를 제거할 수 있고, 이어서 후속하는 건식 현상 동작/사이클에서 제거될 수 있는 남아 있는 하부 유기 재료에 대한 액세스를 개방한다. He 플라즈마의 또 다른 사이클은 남아 있는 모든 금속을 제거하여 청정하고 평활한 피처 표면을 남기도록 사용될 수도 있다. 사이클링은 모든 또는 실질적으로 모든 스컴 및 거칠기 잔여물이 청정하고 평활한 피처 표면을 남기도록 제거될 때까지 계속될 수 있다.
일부 실시 예들에서, He 가스 플라즈마 탈착 디스컴 및 평활화가 습식 현상 프로세스와 함께 사용될 수도 있다. 습식 현상은 매우 높은 선택도를 갖고, 실수로 부분적으로 또는 완전히 노출된 영역들을 제거하는 습식 현상 프로세스의 불능을 발생시키는 명확한 온/오프 거동을 나타내는 것을 보였다. 이어서 남아 있는 잔여물들은 습식 현상 프로세스 후에 남고, 스커밍 및 높은 라인 에지 및 폭 거칠기를 발생시킨다. 흥미롭게도, 에칭 레이트 및 선택도가 복수의 노브들 (예를 들어, 시간, 온도, 압력, 가스/플로우) 에 기초하여 튜닝될 수 있는 건식 현상 프로세스의 튜닝 가능성으로 인해, 이들 부분적으로 노출된 잔여물들을 제거함으로써 디스컴 및 평활한 금속 함유 레지스트 라인들에 더 적용될 수 있다.
장치
현재 EUV 레지스트 코팅 기술은 통상적으로 대기 중에 도포되는 스핀-온 레지스트를 사용한다. 이 기법은 대기 제어 또는 영향을 허용하지 않고 단일 화학 혼합물만이 전체 막 스택에 도포되게 한다.
이하의 도 4 내지 도 9에 도시된 바와 같은 챔버는 +/-0.5 ℃로 웨이퍼 기판의 온도 제어를 전달하기 위한 4-존 정전 척을 포함하는 EUV 레지스트의 건식 증착을 가능하게 하는 다수의 엘리먼트들, 및 듀얼 플레넘 가스 분배 플레이트에 플럼빙된 4 개의 전구체 증기 전달 시스템을 포함한다.
다양한 실시 예들에서, 챔버, 가스 분배 플레이트 및 관련된 전달 플럼빙은 모두 모든 전구체들의 응결을 방지하도록 가열된다.
다양한 실시 예들에서, 필요한 가스 성분들을 챔버로 전달하기 위해 가스 박스가 또한 포함된다.
다양한 실시 예들에서, ESC는 챔버의 세정 능력을 향상시키도록 RF 전력 공급된다.
다양한 실시 예들에서, 게이트 밸브 및 모든 내부 챔버 컴포넌트들은 웨이퍼 표면 상에서 이외의 증착을 방지하거나 최소화하도록 가열된다.
다양한 실시 예들에서, 가스 배제 링이 배면 및 상단 에지 베벨 상의 증착을 방지하도록 웨이퍼의 주변부 둘레에 사용된다.
이들 설계들의 혁신들 중에는 목표된 증착 성능을 달성하기 위해 상이한 기술적 엘리먼트들을 하나의 챔버 내로 커플링하는 것이다. 웨이퍼 온도의 균일성, 가스 분배 플레이트, 증착 배제 링, 및 가열된 챔버 표면들을 통한 화학적 구성 성분들의 단계적 분포 (gradiated distribution) 는 놀라운 막 특성들을 달성하도록 다양한 실시 예들에서 함께 작용한다.
일부 실시 예들에서, DPF (dual plenum fractal) 샤워헤드가 본 명세서에 기술된 동작들 동안 사용될 수도 있다. 본 명세서에 논의된 듀얼-플레넘 프랙탈 샤워헤드들은 반도체 프로세싱 챔버에서 반도체 웨이퍼 위에 위치된 프로세싱 볼륨으로 프로세싱 가스들을 고르게 분배하도록 구성될 수도 있다. 이는 예를 들어, 프로세스 가스가 샤워헤드의 주변에서 흐르기 전에 일정 시간 동안 샤워헤드의 중심으로부터 흐르는 샤워헤드와 반대로 전체 웨이퍼 표면이 일반적으로 이러한 프로세스 가스에 동시에 노출되기 때문에, 보다 균일한 웨이퍼 프로세싱을 촉진하는 것을 도울 수도 있다. DPF 샤워헤드의 추가 상세들은 명칭이 DUAL PLENUM SHOWERHEAD인 미국 특허 제 62/914,616 호에 기술되어 있고, 이의 개시는 적어도 프로세스 챔버의 구성과 관련하여 본 명세서에 인용된다.
도 4는 기술된 건식 현상, 세정, 재작업, 디스컴 및 평활화 실시 예들의 구현에 적합한 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (402) 를 갖는 프로세스 스테이션 (400) 의 실시 예의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (400) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 5는 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 VECTOR® 프로세싱 툴과 같은 멀티-스테이션 프로세싱 툴 (500) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (400) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 7은 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 6 및 도 7을 참조하여 상기 및 이하에 더 기술된 바와 같이, 레지스트 증착, 레지스트 노출 (EUV 스캐너), 레지스트 건식 현상 및 에칭 모듈들을 포함할 수 있다.
일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 모듈에서, 예를 들어 건식 현상 및 에칭이 연속적으로 수행될 수 있다. 본 개시의 실시 예들은 본 명세서에 기술된 바와 같이, 에칭될 층 또는 층 스택 상에 배치된 포토패터닝된 EUV 레지스트 박막 층을 포함하는 웨이퍼를 EUV 스캐너에서 포토패터닝에 이어서 건식 현상/에칭 챔버로 수용하고, 포토패터닝된 EUV 레지스트 박막 층을 건식 현상하고, 그리고 이어서 패터닝된 EUV 레지스트를 마스크로서 사용하여 아래에 있는 층을 에칭하기 위한 방법들 및 장치에 관한 것이다.
도 4를 다시 참조하면, 프로세스 스테이션 (400) 은 분배 샤워헤드 (406) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (401a) 과 유체로 연통한다. 반응 물질 전달 시스템 (401a) 은 샤워헤드 (406) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (404) 를 선택 가능하게 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (420) 은 프로세스 가스들의 혼합 용기 (404) 로의 도입을 제어할 수도 있다. 플라즈마 노출이 사용되면, 플라즈마는 또한 샤워헤드 (406) 로 전달될 수도 있고 또는 프로세스 스테이션 (400) 에서 생성될 수도 있다. 상기 주지된 바와 같이, 적어도 일부 실시 예들에서, 비플라즈마 열 노출이 유리하다.
도 4는 혼합 용기 (404) 로 공급될 액체 반응 물질을 기화시키기 위한 선택 가능한 기화 지점 (403) 을 포함한다. 일부 실시 예들에서, 기화 지점 (403) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세스 스테이션 (400) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (thermal mass flow meter) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (406) 는 기판 (412) 을 향해 프로세스 가스들을 분배한다. 도 4에 도시된 실시 예에서, 기판 (412) 은 샤워헤드 (406) 밑에 위치되고, 페데스탈 (408) 상에 놓인 것으로 도시된다. 샤워헤드 (406) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (412) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (408) 은 기판 (412) 과 샤워헤드 (406) 사이의 볼륨에 기판 (412) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (450) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (408) 은 히터 (410) 를 통해 온도 제어될 수도 있다. 일부 실시 예들에서, 페데스탈 (408) 은 개시된 실시 예들에 기술된 바와 같이, HBr, HCl, 또는 BCl3와 같은 건식 현상 화학 물질에 대한 포토패터닝된 레지스트의 비플라즈마 열 노출 동안, 0 ℃ 초과 및 최대 300 ℃ 이상, 예를 들어 약 65 내지 80 ℃와 같은, 50 내지 120 ℃의 온도로 가열될 수도 있다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (400) 에 대한 압력 제어가 버터플라이 밸브 (418) 에 의해 제공될 수도 있다. 도 4의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (418) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (400) 의 압력 제어는 또한 프로세스 스테이션 (400) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 실시 예들에서, 샤워헤드 (406) 의 위치는 기판 (412) 과 샤워헤드 (406) 사이의 볼륨을 가변하도록 페데스탈 (408) 에 대해 조정될 수도 있다. 또한, 페데스탈 (408) 및/또는 샤워헤드 (406) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (408) 은 기판 (412) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (450) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마가 사용될 수도 있는 경우, 예를 들어 동일한 챔버에서 수행된 순한 플라즈마-기반 건식 현상 실시 예들 및/또는 에칭 동작들에서, 샤워헤드 (406) 및 페데스탈 (408) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (Radio Frequency; RF) 전력 공급부 (414) 및 매칭 네트워크 (416) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (414) 및 매칭 네트워크 (416) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 최대 약 500 W이다.
일부 실시 예들에서, 제어기 (450) 에 대한 인스트럭션들은 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 레시피 페이즈는 HBr 또는 HCl과 같은 건식 현상 화학 물질 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 일부 실시 예들에서, 제어기 (450) 는 도 5의 시스템 제어기 (550) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 5는 인바운드 로드 록 (502) 및 아웃바운드 로드 록 (504) 을 갖는 멀티-스테이션 프로세싱 툴 (500) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (502) 및 아웃바운드 로드 록 (504) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (506) 은, 카세트로부터 포드 (508) 를 통해 로딩된 웨이퍼들을 인바운드 로드 록 (502) 으로 대기 포트 (510) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (502) 내의 페데스탈 (512) 상에 로봇 (506) 에 의해 배치되고, 대기 포트 (510) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (502) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (514) 내로 도입되기 전에 로드 록 내에서 실리콘 나이트라이드 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (502) 내에서 또한 가열될 수도 있다. 다음에, 프로세싱 챔버 (514) 로의 챔버 이송 포트 (516) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 5에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (514) 는 도 5에 도시된 실시 예에서 1부터 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 518로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 건식 현상 모드와 에칭 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (514) 는 건식 현상 스테이션 및 에칭 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (514) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 5는 프로세싱 챔버 (514) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (590) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (590) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 5는 또한 프로세스 툴 (500) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (550) 의 실시 예를 도시한다. 시스템 제어기 (550) 는 하나 이상의 메모리 디바이스들 (556), 하나 이상의 대용량 저장 디바이스들 (554), 및 하나 이상의 프로세서들 (552) 을 포함할 수도 있다. 프로세서 (552) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (550) 는 프로세스 장치 (500) 의 모든 액티비티들을 제어한다. 시스템 제어기 (550) 는 대용량 저장 디바이스 (554) 에 저장되고 메모리 디바이스 (556) 내로 로딩되어 프로세서 (552) 상에서 실행되는 시스템 제어 소프트웨어 (558) 를 실행한다. 대안적으로, 제어 로직은 제어기 (550) 에 하드코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (558) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판, 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (500) 에 의해서 수행되는 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하도록 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (558) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (558) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (550) 와 연관된 대용량 저장 디바이스 (554) 및/또는 메모리 디바이스 (556) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (518) 상에 기판을 로딩하고 기판과 프로세스 툴 (500) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 다양한 가스 조성들 (예를 들어, 본 명세서에 기술된 바와 같은 HBr 또는 HCl 가스) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (550) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (550) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자들에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (550) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (500) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (550) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 건식 현상 및/또는 에칭 프로세스들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (550) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 담는 머신-판독가능 매체가 시스템 제어기 (550) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (550) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치들과 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (550) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (550) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (550) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (550) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (550) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (550) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (550) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 시스템 제어기 (550) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (550) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시 예들에서, 일부 실시 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 ICP (Inductively Coupled Plasma) 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 커플링 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 6은 건식 현상 및/또는 에칭과 같은 특정한 실시 예들 또는 실시 예들의 양태들을 구현하기 위해 적절한 유도 커플링 플라즈마 장치 (600) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo® 반응기이다. 다른 실시 예들에서, 본 명세서에 기술된 건식 현상 프로세스 및/또는 에칭 프로세스를 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 커플링 플라즈마 장치 (600) 는 챔버 벽들 (601) 및 윈도우 (611) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (624) 를 포함한다. 챔버 벽들 (601) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (611) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (650) 가 전체 프로세스 챔버를 상부 서브챔버 (602) 및 하부 서브챔버 (603) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (650) 는 제거될 수도 있고, 이에 따라 서브챔버들 (602 및 603) 로 이루어진 챔버 공간을 활용한다. 척 (617) 이 하단 내측 표면 근방의 하부 서브챔버 (603) 내에 위치된다. 척 (617) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (619) 를 수용하고 홀딩하도록 구성된다. 척 (617) 은 존재한다면 웨이퍼 (619) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (617) 을 둘러싸고, 척 (617) 위에 존재한다면 웨이퍼 (619) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (617) 은 또한 웨이퍼 (619) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (617) 으로부터 웨이퍼 (619) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (617) 은 RF 전력 공급부 (623) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (623) 는 연결부 (627) 를 통해 매칭 회로 (621) 에 접속된다. 매칭 회로 (621) 는 연결부 (625) 를 통해 척 (617) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (623) 는 척 (617) 에 접속된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 V 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (611) 위에 위치된 코일 (633) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (633) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 6에 도시된 코일 (633) 의 예는 3 개의 턴들을 포함한다. 코일 (633) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (633) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (641) 를 포함한다. 일반적으로, RF 전력 공급부 (641) 는 연결부 (645) 를 통해 매칭 회로 (639) 에 접속된다. 매칭 회로 (639) 는 연결부 (643) 를 통해 코일 (633) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (641) 는 코일 (633) 에 접속된다. 선택 가능한 패러데이 차폐부 (649a) 가 코일 (633) 과 윈도우 (611) 사이에 위치된다. 패러데이 차폐부 (649a) 는 코일 (633) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (649a) 는 윈도우 (611) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부 (649b) 는 윈도우 (611) 와 척 (617) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부 (649b) 는 코일 (633) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (649b) 는 갭 없이 윈도우 (611) 바로 아래에 있을 수도 있다. 코일 (633), 패러데이 차폐부 (649a), 및 윈도우 (611) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (649a) 는 금속 또는 다른 종이 프로세스 챔버 (624) 의 윈도우 (611) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (602) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (660) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (670) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 커플링 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (640) 가, 프로세스 챔버 (624) 로부터 프로세스 가스들을 인출하고 프로세스 챔버 (624) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 ALD의 퍼지 동작 동안 하부 서브챔버 (603) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (624) 에 유체적으로 연결하도록 (fluidically connect) 사용될 수도 있다. 이는 동작 중인 (operational) 플라즈마 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 커플링된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (600) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (660 및/또는 670) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (660) 를 통해서만, 또는 측면 가스 플로우 유입구 (670) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (649a) 및/또는 선택 가능한 그리드 (650) 는 프로세스 챔버 (624) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (649a) 및 선택 가능한 그리드 (650) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (660 및/또는 670) 를 통해 프로세스 챔버 (624) 내로 도입되도록, 프로세스 챔버 (624) 의 업스트림에 놓일 수도 있다.
RF (radio frequency) 전류로 하여금 코일 (633) 을 통해 흐르게 하도록, RF 전력 공급부 (641) 로부터 코일 (633) 로 RF 전력이 공급된다. 코일 (633) 을 통해 흐르는 RF 전류는 코일 (633) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (602) 내에 유도 전류를 생성한다. 웨이퍼 (619) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (619) 의 피처들을 에칭하고 웨이퍼 (619) 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (602) 및 하부 서브챔버 (603) 모두가 있도록 플라즈마 그리드 (650) 가 사용된다면, 유도 전류는 상부 서브챔버 (602) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (602) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (650) 는 하부 서브챔버 (603) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (600) 는 하부 서브챔버 (603) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 보다 큰 음이온들 대 양이온들 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (622) 를 통해 하부 서브챔버 (603) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (617) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (600) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 플럼빙 (plumbing) 을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (600) 에 커플링된다. 부가적으로, 장치 (600) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (600) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (630) 가 프로세스 챔버 (624) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (630) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (600) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (600) 는 최대 약 600 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (630) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치들과 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (630) 로 통합될 수도 있다. 시스템 제어기는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (630) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (630) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현재 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 메트릭들을 조사하고, 현재 프로세싱의 파라미터들을 변경하고, 현재 프로세싱에 후속하는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하도록 시스템에 대한 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (630) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (630) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 보다 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 7은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, EUV 패터닝 및 건식 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 구현 예들에서 유리할 수도 있다.
도 7은 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 및 패터닝 모듈들은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 것과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (738) 이 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (720a 내지 720d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (720a 내지 720d) 은 증착, 증발, ELD, 건식 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (720a) 은 본 명세서에 기술된 바와 같이 비플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector 툴과 같은 ALD 반응기일 수도 있다. 그리고 모듈 (720b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드 록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (742 및 746) 은 VTM (738) 및 패터닝 모듈 (740) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 7을 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 보다 통상적인 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (742) 은 증착 모듈 (720a) 을 서비스하는 VTM (738) 으로부터 패터닝 모듈 (740) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드 록일 수도 있고, 에어록 (746) 은 패터닝 모듈 (740) 로부터 VTM (738) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드 록일 수도 있다. 인입 로드 록 (746) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (738) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (720a) 은 패싯 (736) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (726) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (740) 및 에어록들 (742 및 746) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (722) 은 에어록들 (742 및 746) 을 포함하는 모듈들 사이에서 웨이퍼 (726) 를 이송한다. 일 실시 예에서, 로봇 (722) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (722) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (726) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (724) 를 갖는다. 프론트 엔드 로봇 (744) 은 인출 에어록 (742) 으로부터 패터닝 모듈 (740) 내로, 패터닝 모듈 (740) 로부터 인입 에어록 (746) 내로 웨이퍼들 (726) 을 이송하도록 사용된다. 프론트 엔드 로봇 (744) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드 록과 툴의 외부 사이에서 웨이퍼들 (726) 을 이송할 수도 있다. 인입 에어록 모듈 (746) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (726) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 보다 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 하도록 EUVL 툴로의 증착 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (742) 은 패터닝 툴 (740) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (740) 내의 압력보다 높지 않은, 보다 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
도 8은 패터닝 모듈 (740) 을 갖지 않는 도 7에 대한 대안적인 반도체 프로세스 클러스터 툴 아키텍처를 제시한다. 대신, ATM (Atmospheric Transfer Module) (840) 의 프론트-엔드 (front-end) 로봇 (832) 은 카세트 또는 LPM (Load Port Module) (842) 의 FOUP (Front Opening Unified Pod) (834) 로부터 에어록 (830) 으로 웨이퍼들 (826) 을 이송하도록 사용된다. 프로세스 모듈 (820) 내부의 모듈 중심 (828) 은 웨이퍼 (826) 를 배치하기 위한 일 위치이다. ATM (840) 내의 얼라이너 (aligner) (844) 가 웨이퍼들을 정렬하도록 사용된다.
예시적인 프로세싱 방법에서, 웨이퍼가 LPM (842) 내의 FOUP들 (834) 중 하나에 배치된다. 프론트-엔드 로봇 (832) 은 FOUP (834) 로부터 웨이퍼 (826) 가 에칭되거나 프로세싱되기 전에 적절하게 센터링되게 하는, 얼라이너 (844) 로 웨이퍼를 이송한다. 정렬된 후, 웨이퍼 (826) 는 프론트-엔드 로봇 (832) 에 의해 에어록 (830) 내로 이동된다. 에어록 모듈들이 ATM과 VTM 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (826) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다. 에어록 모듈 (830) 로부터, 웨이퍼 (826) 는 로봇 (822) 에 의해 VTM (838) 을 통해 그리고 프로세스 모듈들 (820a 내지 820d) 중 하나 내로 이동된다. 이 웨이퍼 이동을 달성하기 위해, 로봇 (822) 은 암들 각각 상의 엔드 이펙터들 (824) 을 사용한다. 일단 웨이퍼 (826) 가 프로세싱되면, 웨이퍼는 로봇 (822) 에 의해 프로세스 모듈들 (820a 내지 820d) 로부터 에어록 모듈 (830) 로 이동된다. 여기서부터, 웨이퍼 (826) 는 프론트-엔드 로봇 (832) 에 의해 FOUP들 (834) 중 하나 또는 얼라이너 (844) 로 이동될 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 9는 인바운드 로드 록 (902) 및 아웃바운드 로드 록 (904) 을 갖는 멀티-스테이션 프로세싱 툴 (900) 의 실시 예의 개략도를 도시하고, 인바운드 로드 록 (902) 및 아웃바운드 로드 록 (904) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서, 로봇 (906) 이 카세트로부터 포드 (908) 를 통해 로딩된 기판들 또는 웨이퍼들을 대기 포트 (910) 를 통해 인바운드 로드 록 (902) 으로 이동시키도록 구성된다. 기판은 인바운드 로드 록 (902) 내의 페데스탈 (912) 상에 로봇 (906) 에 의해 배치되고, 대기 포트 (910) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (902) 이 리모트 플라즈마 소스를 포함하는, 기판은 프로세싱 챔버 (914A 내지 914C) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 기판은 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (902) 내에서도 또한 가열될 수도 있다. 다음에, 프로세싱 챔버들 (914A 내지 914C) 중 하나로의 챔버 이송 포트 (916) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 기판을 배치한다. 도 9에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 기판의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다. 다양한 실시 예들에서, 기판이 로봇 (906) 에 의해 페데스탈 (912) 상에 배치될 때 소크 가스가 스테이션으로 도입된다.
도시된 프로세싱 챔버 (914b) 는 도 9에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 918로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 ALD 프로세스 모드와 PEALD 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (914) 는 ALD 및 PEALD 프로세스 스테이션들의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (914) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다. 게다가, 도 5a는 3 개의 프로세스 챔버들을 갖는 멀티-스테이션 프로세싱 툴 (900) 을 도시하지만, 일부 실시 예들에서, 멀티-스테이션 프로세싱 툴은 도 9b에 도시된 바와 같이, 4 개의 프로세스 챔버들을 가질 수도 있다. 일부 실시 예들에서, 멀티-스테이션 프로세싱 툴은 4 개 이상의 프로세스 챔버들을 가질 수도 있는 한편, 다른 실시 예들에서 멀티-스테이션 프로세싱 툴은 1, 2, 또는 3 개의 프로세스 챔버들을 가질 수도 있다.
도 9는 프로세싱 챔버 (914) 내에서 기판들을 이송하기 위한 웨이퍼 핸들링 시스템 (990) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (990) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 기판들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 9는 또한 프로세스 툴 (900) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (950) 의 실시 예를 도시한다. 시스템 제어기 (950) 는 하나 이상의 메모리 디바이스들 (956), 하나 이상의 대용량 저장 디바이스들 (954), 및 하나 이상의 프로세서들 (952) 을 포함할 수도 있다. 프로세서 (952) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다. 일부 실시 예들에서, 시스템 제어기 (950) 는 본 명세서에 기술된 것과 같은 동작들을 수행하기 위한 머신 판독 가능 인스트럭션들을 포함한다.
일부 실시 예들에서, 시스템 제어기 (950) 는 프로세스 툴 (900) 의 액티비티들을 제어한다. 시스템 제어기 (950) 는 대용량 저장 디바이스 (954) 에 저장되고 메모리 디바이스 (956) 내로 로딩되어 프로세서 (952) 상에서 실행되는 시스템 제어 소프트웨어 (958) 를 실행한다. 대안적으로, 제어 로직은 제어기 (950) 에 하드코딩될 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (958) 는 타이밍, 가스들의 혼합물, 가스 플로우 양, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 기판 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (900) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (958) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하도록 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (958) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
다양한 실시 예들에서, 챔버들 (914A 내지 914C) 은 동일하거나 상이한 동작들을 수행할 수도 있다. 부가적으로, 3 개의 챔버들 (914A 내지 914C) 이 도시되지만, 일부 실시 예들에서 4 개 이상의 챔버들, 또는 3 개 이하의 챔버들이 있을 수도 있다. 일부 실시 예들에서, 챔버들 (914A 내지 914C) 각각은 포토리소그래피 층을 증착하기 위해 건식 증착 프로세스를 수행할 수도 있다. 일부 실시 예들에서, 챔버들 (914A 내지 914C) 중 하나 이상이 건식 증착 프로세스를 위해 사용될 수도 있는 한편, 챔버들 (914A 내지 914C) 중 상이한 하나 이상이 본 명세서에 기술된 바와 같이 건식 현상 프로세스를 위해 사용될 수도 있다. 일부 실시 예들에서, 일 챔버는 건식 증착 프로세스를 위해 사용될 수도 있고, 일 챔버는 PAB 프로세스를 위해 사용될 수도 있고, 그리고 일 챔버는 건식 현상 프로세스를 위해 사용될 수도 있다. 일부 실시 예들에서, PAB 프로세스를 위해 사용된 챔버는 또한 PEB 프로세스를 위해 사용될 수도 있고 또는 대안적으로 PEB 프로세스를 위해 사용될 수도 있다. 4 개의 챔버들이 있는 실시 예들에서, 하나의 챔버는 건식 증착 프로세스를 위해 사용될 수도 있고, 하나의 챔버는 PAB 프로세스를 위해 사용될 수도 있고, 하나의 챔버는 PEB 프로세스를 위해 사용될 수도 있고, 그리고 하나의 챔버는 건식 현상 프로세스를 위해 사용될 수도 있다. 일부 실시 예들에서, 동일하지 않은 프로세스 시간들은 상이한 모듈 비들에 의해 처리될 수 있다 (예를 들어, PR 증착 시간이 PAB 시간의 두 배이면, 툴은 PAB 모듈들로서 두 배의 PR 증착 모듈들로 구성될 수 있다).
일부 실시 예들에서, 멀티-스테이션 프로세싱 툴 (900) 은 본 명세서에 논의된 실시 예들을 용이하게 하도록 챔버 각각에서 상이한 모듈들을 가질 수도 있다. 예를 들어, VECTOR® 프로세싱 모듈은 증착 프로세스들을 위해 사용될 수도 있고, KIYO® 프로세싱 모듈은 PAB, PEB, 또는 건식 현상 프로세스들을 위해 사용될 수도 있다. 일부 실시 예들에서, 상이한 수들의 모듈의 타입 각각이 있을 수도 있다. 예를 들어, 하나의 VECTOR 모듈 및 하나 이상의 KIYO 모듈이 있을 수도 있다.
일부 실시 예들에서, 복수의 동작들은 동일한 툴의 동일한 모듈(들) 내의 상이한 페데스탈들 상에서 구현될 수도 있다. 일부 실시 예들에서, VECTOR 모듈은 KIYO 모듈과 동일한 모듈에 통합될 수도 있다. 예를 들어, 챔버 (914) 의 페데스탈 1 및 페데스탈 3은 건식 증착 프로세스를 위해 사용될 수도 있고, 페데스탈 2 및 페데스탈 4는 웨이퍼 세정, PAB, PEB, 또는 건식 현상 프로세스와 같은 상이한 프로세스를 위해 사용될 수도 있다. 웨이퍼는 PR 막을 증착하기 위해 일 페데스탈 상에서 프로세싱될 수도 있고, 이어서 로봇은 본 명세서에 기술된 바와 같이 후속 프로세스를 위해 챔버 내에서 웨이퍼를 또 다른 페데스탈로 이동시키도록 구성될 수도 있다. 이러한 방식으로, 진공이 유지될 수도 있고 페데스탈로부터 페데스탈로 웨이퍼를 인덱싱하는 것이 프로세스 모듈들 사이에서 웨이퍼들을 이송하는 것보다 빠르다. 그 결과, 기술적인 성능을 실질적으로 희생시키지 않고 효율 (처리량) 이 향상되고, 교차 오염이 제어되거나 최소화되어, 우수한 막 특성들을 발생시킨다.
이에 더하여, 스테이션/페데스탈 각각은 동작 각각에 대해 구성되거나 최적화될 수 있고, 페데스탈들은 예를 들어 증착, 세정, 소성, 조사, 또는 현상 프로세스들 사이의 교차-오염/크로스토크 (cross-talk) 를 감소시키거나 최소화하도록 예를 들어, 미국 특허 출원 공보 제 2015/0004798 호 및 미국 특허 출원 공보 제 2017/0101710 호에 기술된 바와 같이, 가스 커튼들 또는 가스 시일들과 같은 다른 스테이션-특정 배리어들에 의해, 서로 격리될 수 있고, 이와 관련한 개시는 본 명세서에 참조로서 인용된다. 범위들 내에서, 페데스탈 프로세스 각각은 상이한 온도 및 압력에 있을 수 있다. 그리고 스테이션의 페데스탈, 샤워헤드, 벽, 등 각각의 온도는 순환할 필요 없이, 프로세스 각각에 대해 최적으로 독립적으로 설정될 수 있다. 스테이션 각각으로의 가스 분배는 별도로 유지될 수 있다. 복수의 쓰로틀 밸브들을 추가함으로써 압력들이 독립적으로 유지될 수 있다. 일부 실시 예들에서, 배기부가 가스들의 교차 혼합/오염없이 독립적으로 유지될 수 있도록, 스테이션 각각에 대한 배기부는 국부적이고 공유되지 않을 수 있다.
일부 실시 예들에서, 통합된 동작들은 동일한 페데스탈 상에서 구현될 수도 있다. 예를 들어, 웨이퍼 세정 및 PAB/PEB 동작들은 동일한 페데스탈 상에서 구현될 수도 있다. 이는 웨이퍼 세정 동작과 소성 동작 사이의 이송 또는 큐 시간을 감소시킴으로써 향상된 쓰루풋을 제공할 수도 있다.
도 10a 내지 도 10e는 툴 상에서 또는 툴 외부에서 수행될 수도 있는 동작들을 예시하는 프로세스 플로우 차트와 함께, 프로세싱 동작들이 툴의 상이한 챔버들 내에서 수행될 수도 있는 다양한 실시 예들을 예시한다. 다양한 실시 예들에서, 도 10a 내지 도 10e에 도시된 동작들은 (동일한 참조 번호들의 사용에 의해 암시된 바와 같이) 상기 도 1에 기술된 동작들과 동일할 수도 있다. 세정 동작이 도 10a 내지 도 10e에 도시되지 않지만, 일부 실시 예들에서 세정 동작이 수행될 수도 있다. 도 1을 참조하여 더 설명된 바와 같이, 일부 동작들이 수행되지 않을 수도 있다는 것이 또한 이해되어야 한다. 도 10a의 (i) 는 클러스터 툴의 챔버 각각이 도 10a의 (ii) 에 제시된 프로세스 플로우에 도시된 바와 같이 건식 증착 프로세스를 수행하는 실시 예를 예시한다. 리소그래피 프로세스의 다른 동작들, 예컨대 PAB, EUV 노출, PEB, 및 현상 프로세스는 상이한 툴 또는 클러스터 상에서 수행될 수도 있다.
도 10b의 (i) 는 건식 증착 및 건식 현상 프로세스가 도 10b의 (ii) 에 제시된 프로세스 플로우에 도시된 바와 같이 단일 툴 내에서 수행될 수도 있는 실시 예를 예시한다. 다양한 실시 예들에서, 2 개의 챔버들이 건식 증착 프로세스에 사용될 수도 있는 한편, 단일 챔버가 건식 현상 프로세스를 위해 사용된다. 다른 동작들은 상이한 툴 상에서 수행될 수도 있다.
도 10c의 (i) 는 건식 증착, PAB, 및 건식 현상이 각각 도 10c의 (ii) 에 제시된 프로세스 플로우에 도시된 바와 같이 단일 툴 내에서 수행되는 실시 예를 예시한다. 다양한 실시 예들에서, 프로세스 각각에 대해 하나의 챔버가 사용될 수도 있다. 일부 실시 예들에서, 기판은 프로세스들 사이에 큐 시간을 가질 수도 있다. 일부 실시 예들에서, 큐 시간은 예를 들어 FOUP 또는 다른 제어된 환경의, 툴 외부에서 (off-tool) 소비될 수도 있다. 다른 실시 예들에서, 웨이퍼는 큐 시간 없이 건식 EUV 증착 챔버로부터 PAB 챔버로 직접 이동될 수도 있고, 또는 프로세스 챔버 중 하나에서 큐 시간을 소비할 수도 있다. 이는 큐 시간을 감소시키고 그리고/또는 진공을 유지하는데 유리할 수도 있고, 둘다 발생되는 PR 막의 품질을 개선할 수도 있다.
도 10d의 (i) 는 건식 증착, PEB, 및 건식 현상 프로세스가 도 10d의 (ii) 에 제시된 프로세스 플로우에 도시된 바와 같이 단일 툴 내에서 수행되는 실시 예를 예시한다. 일부 실시 예들에서, PAB 챔버 및 PEB 챔버는 동일한 챔버일 수도 있지만, 다른 실시 예들에서 이들은 상이한 챔버들이다. 상기 주지된 바와 같이, 일부 실시 예들에서 큐 시간은 제어된 환경의 툴 외부에서 소비될 수도 있는 한편, 다른 실시 예들에서 웨이퍼는 PEB 챔버로부터 현상 챔버로 바로 이송될 수도 있다. 이는 큐 시간을 감소시키고 그리고/또는 진공을 유지하는데 유리할 수도 있고, 둘다 발생되는 PR 막의 품질을 개선할 수도 있다.
도 10e의 (i) 는 건식 증착, PAB, PEB, 및 건식 현상이 도 10e의 (ii) 에 제시된 프로세스 플로우에 도시된 바와 같이 단일 툴 내에서 수행되는 실시 예를 예시한다. 일부 실시 예들에서, 방사선 노출은 별도의 스캐너 챔버에서 툴 외부에서 수행될 수도 있다. 상기 주지된 바와 같이, 일부 실시 예들에서 큐 시간은 제어된 환경에서 툴 외부에서 소비될 수도 있지만, 다른 실시 예들에서 기판은 건식 증착 챔버로부터 PAB 챔버로, 또는 PEB 챔버에서 건식 현상 챔버로 바로 이동될 수도 있다. 이러한 실시 예들에서 큐 시간은 툴 상 (on-tool) 에서 소비될 수도 있다. 상이한 동작들을 위해 동일한 툴 상의 챔버들 사이에서 기판들의 이송은 큐 시간을 감소시키고 진공/환경 제어를 유지하는데 유리할 수도 있다.
도 10f의 (i) 는 스캐너가 증착 챔버 및/또는 습식 또는 건식 현상 챔버와 통합될 수도 있는 실시 예를 예시한다. 유기 금속 주석 전구체 앰플 (1000) 및 EUV 증착 모듈 (1010) 이 EUV PR을 건식 증착하도록 사용될 수도 있다. EUV 노출 모듈 (1020) 은 노출된 부분들을 화학적으로 변경하기 위해 방사선에 EUV PR의 부분들을 노출시키도록 사용될 수도 있다. EUV 현상 모듈 (1030) 은 PR을 네거티브 톤 레지스트 또는 포지티브 톤 레지스트로서 처리할 수도 있는 EUV PR의 부분들을 제거하기 위해 습식 프로세스 또는 건식 프로세스를 사용할 수도 있다. 이어서 패턴 전사 모듈 (1040) 은 예를 들어, 현상된 EUV PR 패턴에 기초하여 라인들을 형성하는 에칭 프로세스에 의해 하부 층으로 EUV PR의 패턴을 전사할 수도 있다. 일부 실시 예들에서, 다양한 프로세싱 환경들로부터의 부산물들은 단일 또는 복수의 배기 저감 시스템들 (1050) 로 공유되거나 흐를 수도 있다. 일부 실시 예들에서, 도 10f의 (ii) 에 제시된 바와 같은 프로세스 플로우는 도 10f의 (i) 에 예시된 툴들에서 수행될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 (phase) 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
다양한 실시 예들에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및 에칭을 위한 프로세싱 챔버, 및 네거티브 패턴 마스크를 형성하기 위한 인스트럭션들을 포함하는 제어기를 포함할 수도 있다. 인스트럭션들은 프로세싱 챔버에서, 기판의 표면을 노출하도록 EUV 노출에 의해 반도체 기판 상의 CAR (chemically amplified) 레지스트의 피처를 패터닝하고, 포토패터닝된 레지스트를 건식 현상하고, 그리고 패터닝된 레지스트를 마스크로서 사용하여 하부 층 또는 층 스택을 에칭하기 위한 코드를 포함할 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 6 또는 도 7에 대해 상기 기술된 바와 같은 제어기는 도 1 내지 도 7 중 어느 하나에서 구현될 수도 있다. 도 4, 도 5, 도 6, 도 7, 도 8, 도 9, 또는 도 10a 내지 도 10f 중 어느 하나, 뿐만 아니라 도 11, 도 12 및 도 13에 기술된 툴 아키텍처들에서 구현될 수도 있다.
도 11, 도 12 및 도 13a 및 도 13b는 일부 실시 예들에서 사용될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 EOS 또는 Sens.i 제품들에서 적어도 부분적으로 구현될 수도 있는, 대안적인 프로세싱 툴 아키텍처들을 위한 구성들을 제공한다.
도 11에서 시작하여, 멀티-스테이션 프로세싱 툴 (1100) 은 선택 가능하게 FOUP를 통해 기판들을 수용하기 위한 EFEM (equipment front end module) 을 가질 수도 있다. 멀티-스테이션 프로세싱 툴 (1100) 의 절단도인 도 12에 도시된 바와 같이, EFEM 로봇 (1204) 은 EFEM (1104) 으로부터 로드 포트 (1206) 를 통해 기판들을 이동할 수도 있다. 기판들은 선형 트랙들 (1214) 또는 리프터들 (1212) 상의 기판들을 이동시킬 수도 있는 하나 이상의 셔틀들 (1208) 을 통해 이동될 수도 있다. 이어서 웨이퍼 핸들링 로봇 (1210) 은 기판들을 프로세스 챔버 (1108) 내로 이동할 수도 있다 (도 12에서, 멀티-스테이션 프로세싱 툴 (1100) 내 총 16 개의 프로세스 챔버들에 대해 8 개의 챔버들이 도시된다). 프로세스 챔버 각각은 본 명세서에 논의된 바와 같이 다양한 프로세스 모드들 사이에서 스위칭 가능할 수도 있다.
도 11을 다시 참조하면, 멀티-스테이션 프로세싱 툴 (1100) 은 또한 프로세스 가스 및 다른 유체들을 프로세스 챔버 각각으로 전달하기 위한 유체 전달 시스템들 (fluid delivery systems; FDS) (1112), 뿐만 아니라 FDS 제어기들 (1114) 을 가질 수도 있다. 팬 필터 유닛들 (1102 및 1106) 은 각각 통로 부분뿐만 아니라 프로세스 챔버들 내에서 청정 환경을 보장하도록 사용될 수도 있다. 일부 실시 예들에서, 도 11 및 도 12에 도시된 바와 같이, 레지스트 프로세싱 트랙들은 건식 증착된 EUV PR의 습식 현상의 구현을 위해 사용될 수도 있다.
도 13a는 포드 (1308) 를 통해 로딩된 카세트로부터 웨이퍼들을 이동시키도록 구성되는 로봇 (1304) 을 갖는 또 다른 멀티-스테이션 프로세싱 툴 (1300) 의 상면도 (top-down view) 를 제시한다. 제 2 로봇 (1306) 은 본 명세서에 기술된 바와 같은 동작들을 수행하기 위해 다양한 프로세스 챔버들 (1302) 중 하나로 웨이퍼들을 이동시킬 수도 있다. 도 13b는 멀티-스테이션 프로세싱 툴 (1300) 의 측면도를 제시한다. 본 명세서에 기술된 다양한 실시 예들은 도 11, 도 12 및 도 13에 도시된 바와 같이 클러스터 아키텍처를 사용하여 수행될 수도 있다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 일부 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 명세서에 개시된 실시 예들은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 또한, 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 특정한 실시 예들은 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 세부 사항들로 제한되지 않을 것이다.

Claims (21)

  1. 통합된 리소그래피 시스템에 있어서,
    클러스터 내의 복수의 반응 챔버들로서, 상기 복수의 반응 챔버들 중 적어도 하나는 기판을 건식 현상 화학 물질에 노출시키도록 구성된 현상 챔버를 포함하는, 상기 복수의 반응 챔버들; 및
    하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기로서, 상기 하나 이상의 메모리 디바이스들은,
    상기 건식 현상 챔버 내에 상기 기판을 수용하고―상기 기판은 그 위에 형성된 EUV-노출된 포토레지스트 (photoresist; PR) 를 포함함―; 그리고
    PR 마스크를 형성하기 위해 상기 건식 현상 화학 물질에 대한 노출을 통해 상기 EUV-노출된 PR의 노출된 부분 또는 노출되지 않은 부분을 제거함으로써 상기 EUV-노출된 PR을 건식 현상하도록 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상기 제어기를 포함하는, 통합된 리소그래피 시스템.
  2. 제 1 항에 있어서,
    상기 복수의 반응 챔버들은 상기 기판의 표면 상에 하부 층을 증착하도록 구성된 하부 층 증착 챔버를 더 포함하고, 상기 하부 층은 상기 기판에 대한 상기 EUV-노출된 PR의 접착을 증가시키고 그리고/또는 상기 EUV-노출된 PR의 도즈 요건을 감소시키는, 통합된 리소그래피 시스템.
  3. 제 2 항에 있어서,
    상기 하부 층 증착 챔버는 상기 기판의 상기 표면 상의 상기 하부 층을 처리하도록 더 구성되는, 통합된 리소그래피 시스템.
  4. 제 1 항에 있어서,
    상기 복수의 반응 챔버들은 상기 EUV-노출된 PR의 재료 특성들을 개질하기 위해 상기 EUV-노출된 PR을 처리하도록 구성된 소성 챔버 (bake chamber) 를 더 포함하는, 통합된 리소그래피 시스템.
  5. 제 1 항에 있어서,
    상기 복수의 반응 챔버들은 상기 기판 상에 PR을 증착하도록 구성된 PR 증착 챔버를 더 포함하는, 통합된 리소그래피 시스템.
  6. 제 1 항에 있어서,
    이송 모듈을 더 포함하고, 상기 이송 모듈은 상기 통합된 리소그래피 시스템으로부터 분리된 상기 기판 상에 PR을 증착하도록 구성된 증착 툴과 인터페이싱하도록 구성되는, 통합된 리소그래피 시스템.
  7. 제 1 항에 있어서,
    이송 모듈을 더 포함하고, 상기 이송 모듈은 상기 통합된 리소그래피 시스템으로부터 분리된 EUV 방사선에 상기 기판을 노출하도록 구성된 EUV 스캐너 툴과 인터페이싱하도록 구성되는, 통합된 리소그래피 시스템.
  8. 제 1 항에 있어서,
    상기 현상 화학 물질은 수소 할라이드, 수소 및 할로겐 가스, 붕소 트리클로라이드, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 혼합물들을 포함하는, 통합된 리소그래피 시스템.
  9. 제 1 항에 있어서,
    상기 건식 현상 챔버는 -60 ℃ 내지 120 ℃의 온도에 상기 기판을 노출시키도록 구성되는, 통합된 리소그래피 시스템.
  10. 제 1 항에 있어서,
    상기 클러스터 내 상기 복수의 반응 챔버들 중 하나 이상의 주변 환경이 제어되는, 통합된 리소그래피 시스템.
  11. 제 1 항에 있어서,
    상기 복수의 반응 챔버들은 에지 비드 제거 (edge bead removal; EBR) 를 수행하도록 구성된 베벨 에지 및/또는 배면 세정 챔버를 더 포함하는, 통합된 리소그래피 시스템.
  12. 통합된 리소그래피 시스템에 있어서,
    클러스터 내의 복수의 반응 챔버들로서, 상기 복수의 반응 챔버들은,
    기판 상에 하부 층을 증착하도록 구성된 하부 층 증착 챔버;
    상기 기판 상에 EUV-감응 (sensitive) 포토레지스트 (PR) 를 증착하도록 구성된 PR 증착 챔버; 및
    건식 현상 화학 물질에 대한 노출에 의해 상기 EUV-감응 PR의 부분들을 제거하도록 구성된 건식 현상 챔버를 포함하는, 상기 복수의 반응 챔버들을 포함하는, 통합된 리소그래피 시스템.
  13. 제 12 항에 있어서,
    하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기로서, 상기 하나 이상의 메모리 디바이스들은,
    상기 하부 층 증착 챔버 내에서 상기 기판의 표면 상에 하부 층을 증착하고;
    상기 PR 증착 챔버 내에서 상기 하부 층 상에 상기 EUV-감응 PR을 증착하고; 그리고
    PR 마스크를 형성하기 위해 상기 건식 현상 화학 물질에 대한 노출을 통해 상기 EUV-감응 PR의 노출된 부분 또는 노출되지 않은 부분을 제거함으로써 상기 EUV-감응 PR을 건식 현상하도록 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상기 제어기를 더 포함하는, 통합된 리소그래피 시스템.
  14. 제 12 항에 있어서,
    상기 건식 현상 화학 물질은 수소 할라이드, 수소 및 할로겐 가스, 붕소 트리클로라이드, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 혼합물들을 포함하고, 그리고 상기 건식 현상 챔버는 -60 ℃ 내지 120 ℃의 온도에 상기 기판을 노출시키도록 구성되는, 통합된 리소그래피 시스템.
  15. 통합된 리소그래피 시스템에 있어서,
    클러스터 내의 복수의 반응 챔버들로서, 상기 복수의 반응 챔버들은,
    기판 상에 EUV-감응 (sensitive) 포토레지스트 (PR) 를 증착하도록 구성된 PR 증착 챔버;
    상기 기판 상의 상기 EUV-감응 PR의 재료 특성들을 개질하도록 구성된 소성 챔버; 및
    건식 현상 화학 물질에 대한 노출을 통해 상기 EUV-감응 PR의 부분들을 제거하도록 구성된 건식 현상 챔버를 포함하는, 상기 복수의 반응 챔버들을 포함하는, 통합된 리소그래피 시스템.
  16. 제 15 항에 있어서,
    하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기로서, 상기 하나 이상의 메모리 디바이스들은,
    상기 PR 증착 챔버 내의 상기 기판의 표면 상에 EUV-감응 PR을 증착하고;
    상기 EUV-감응 PR의 재료 특성들을 개질하기 위해 상기 EUV-감응 PR을 제어된 환경에 노출시키고; 그리고
    PR 마스크를 형성하기 위해 상기 건식 현상 화학 물질에 대한 노출을 통해 상기 EUV-감응 PR의 노출된 부분 또는 노출되지 않은 부분을 제거함으로써 상기 EUV-감응 PR을 건식 현상하도록 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 상기 제어기를 더 포함하는, 통합된 리소그래피 시스템.
  17. 제 15 항에 있어서,
    상기 건식 현상 화학 물질은 수소 할라이드, 수소 및 할로겐 가스, 붕소 트리클로라이드, 유기 할라이드, 아실 할라이드, 카르보닐 할라이드, 티오닐 할라이드, 또는 이들의 혼합물들을 포함하고, 그리고 상기 건식 현상 챔버는 -60 ℃ 내지 120 ℃의 온도에 상기 기판을 노출시키도록 구성되는, 통합된 리소그래피 시스템.
  18. 제 15 항에 있어서,
    상기 복수의 반응 챔버들에서 수행된 프로세스들은 습식 프로세스 및 건식 프로세스를 포함하는, 통합된 리소그래피 시스템.
  19. 제 15 항에 있어서,
    상기 클러스터 내 상기 복수의 반응 챔버들 중 하나 이상의 주변 환경이 제어되는, 통합된 리소그래피 시스템.
  20. 제 15 항에 있어서,
    상기 복수의 반응 챔버들은,
    에지 비드 제거 (edge bead removal; EBR) 를 수행하도록 구성된 베벨 에지 및/또는 배면 세정 챔버를 더 포함하는, 통합된 리소그래피 시스템.
  21. 제 15 항에 있어서,
    상기 복수의 반응 챔버들은,
    상기 기판에 대한 PR 접착을 개선하도록 상기 기판의 표면 상에 더 많은 화학적 작용기들을 유발하도록 상기 기판의 표면을 처리하도록 구성된 전처리 챔버를 더 포함하는, 통합된 리소그래피 시스템.
KR1020237038357A 2020-07-07 2021-07-02 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스 KR20230159618A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062705616P 2020-07-07 2020-07-07
US62/705,616 2020-07-07
KR1020227026649A KR20220122745A (ko) 2020-07-07 2021-07-02 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
PCT/US2021/040381 WO2022010809A1 (en) 2020-07-07 2021-07-02 Integrated dry processes for patterning radiation photoresist patterning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020227026649A Division KR20220122745A (ko) 2020-07-07 2021-07-02 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스

Publications (1)

Publication Number Publication Date
KR20230159618A true KR20230159618A (ko) 2023-11-21

Family

ID=79552053

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237011840A KR102601038B1 (ko) 2020-07-07 2021-07-02 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
KR1020237038357A KR20230159618A (ko) 2020-07-07 2021-07-02 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
KR1020227026649A KR20220122745A (ko) 2020-07-07 2021-07-02 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237011840A KR102601038B1 (ko) 2020-07-07 2021-07-02 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227026649A KR20220122745A (ko) 2020-07-07 2021-07-02 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스

Country Status (6)

Country Link
US (3) US20230045336A1 (ko)
EP (2) EP4235757A3 (ko)
JP (3) JP7382512B2 (ko)
KR (3) KR102601038B1 (ko)
CN (2) CN115004110A (ko)
WO (1) WO2022010809A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58108744A (ja) * 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6112653U (ja) * 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS642330A (en) 1987-06-25 1989-01-06 Nippon Mining Co Ltd Film carrier and manufacture thereof
JPH0637050A (ja) * 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) * 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
JPH1041206A (ja) * 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
KR100265766B1 (ko) * 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
WO2000003058A1 (en) * 1998-07-10 2000-01-20 Ball Semiconductor, Inc. Cvd photo resist and deposition
JP2002015971A (ja) * 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP2002100558A (ja) * 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
DE10138105A1 (de) 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
KR100443509B1 (ko) 2001-12-21 2004-08-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US6911067B2 (en) 2003-01-10 2005-06-28 Blue29, Llc Solution composition and method for electroless deposition of coatings free of alkali metals
JP2004259786A (ja) * 2003-02-24 2004-09-16 Canon Inc 露光装置
US6902605B2 (en) 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
US6794288B1 (en) 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
JP4459666B2 (ja) * 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
JP2006310681A (ja) * 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
US7691559B2 (en) * 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
JP4428717B2 (ja) * 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
JP4966922B2 (ja) * 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP5572560B2 (ja) * 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
WO2013078211A1 (en) 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
KR102306612B1 (ko) * 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9451614B2 (en) 2014-07-21 2016-09-20 Qualcomm Incorporated System and methods for improving performance of a multi-SIM wireless device operating in single-SIM or multi-SIM standby mode
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
JP6805244B2 (ja) 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
NL2018040A (en) * 2015-12-23 2017-06-28 Asml Netherlands Bv Method for removing photosensitive material on a substrate
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10096477B2 (en) * 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
JP7101036B2 (ja) * 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
KR20200144580A (ko) * 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
WO2019222320A1 (en) * 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic layer self aligned substrate processing and integrated toolset
FI129480B (en) * 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
US20220020584A1 (en) * 2018-12-20 2022-01-20 Lam Research Corporation Dry development of resists
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺

Also Published As

Publication number Publication date
CN115004110A (zh) 2022-09-02
US20230045336A1 (en) 2023-02-09
JP7502545B2 (ja) 2024-06-18
JP7382512B2 (ja) 2023-11-16
KR20220122745A (ko) 2022-09-02
KR20230052991A (ko) 2023-04-20
JP2023507677A (ja) 2023-02-24
EP4235757A3 (en) 2023-12-27
US20230290657A1 (en) 2023-09-14
TW202215162A (zh) 2022-04-16
EP4078292A1 (en) 2022-10-26
US20240145272A1 (en) 2024-05-02
WO2022010809A1 (en) 2022-01-13
JP2023093567A (ja) 2023-07-04
EP4235757A2 (en) 2023-08-30
JP2024010120A (ja) 2024-01-23
CN116626993A (zh) 2023-08-22
EP4078292A4 (en) 2023-11-22
KR102601038B1 (ko) 2023-11-09

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
KR102601038B1 (ko) 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
KR20210095218A (ko) 레지스트들의 건식 현상 (dry development)
JP2022538554A (ja) フォトレジスト膜のチャンバ乾式洗浄
KR102673863B1 (ko) 포토레지스트의 건식 제거를 위한 프로세스 툴
KR20220031647A (ko) 복수의 패터닝 복사-흡수 엘리먼트들 및/또는 수직 조성 경사 (composition gradient) 를 갖는 포토레지스트
JP2023531102A (ja) フォトレジストの乾式裏面及びベベルエッジ洗浄
TWI845848B (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
KR102676684B1 (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
TW202422244A (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상
KR20240095473A (ko) 금속 함유 포토레지스트로부터 금속성 오염의 제어
KR20230159895A (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
KR20240108547A (ko) 금속-함유 포토레지스트의 재작업
WO2023215136A1 (en) Post-development treatment of metal-containing photoresist
TW202417971A (zh) 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal