JP2024010120A - 照射フォトレジストパターニングのための統合乾式プロセス - Google Patents

照射フォトレジストパターニングのための統合乾式プロセス Download PDF

Info

Publication number
JP2024010120A
JP2024010120A JP2023184334A JP2023184334A JP2024010120A JP 2024010120 A JP2024010120 A JP 2024010120A JP 2023184334 A JP2023184334 A JP 2023184334A JP 2023184334 A JP2023184334 A JP 2023184334A JP 2024010120 A JP2024010120 A JP 2024010120A
Authority
JP
Japan
Prior art keywords
chamber
metal
substrate
dry
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2023184334A
Other languages
English (en)
Other versions
JP7502545B2 (ja
Inventor
ユ・ジェンギ
Jengyi Yu
タン・サマンサ・エス.エイチ.
S H Tan Samantha
アルヴィ・モハメッド・ハルーン
Haroon Alvi Mohammed
ワイズ・リチャ-ド
Wise Richard
パン・ヤン
Yang Pan
ゴットショー・リチャード・エイ.
A Gottscho Richard
ラボア・エイドリアン
Lavoie Adrien
カナカサバパシー・シバナンダ・クリシュナン
Krishnan Kanakasabapathy Sivananda
ワイドマン・ティモシー・ウィリアム
William Weidman Timothy
リン・キンファン
Qinghuang Lin
フバーチェク・ジェローム
Hubacek Jerome
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2024010120A publication Critical patent/JP2024010120A/ja
Application granted granted Critical
Publication of JP7502545B2 publication Critical patent/JP7502545B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Vapour Deposition (AREA)
  • Materials For Photolithography (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

【課題】EUVを使用してパターニング可能な半導体基板上に薄膜を形成するための方法を提供する。【解決手段】半導体基板の表面上に有機金属ポリマー様材料を堆積させ、表面をEUVに露光してパターンを形成し、後の下地層への転写のためにパターンを現像することを含む。堆積処理には、化学気相成長(CVD)法、原子層堆積(ALD)、及びCVD成分を有するALD、例えば、金属前駆体及びカウンター反応物が時間又は空間のいずれかで分離される不連続ALD様プロセスが使用できる。【選択図】図1

Description

<参照による援用>
PCTの願書様式は、本願の一部として本明細書と同時に提出される。本出願が、同時に提出されたPCT願書様式において特定する利益又は優先権を主張する各出願は、参照によりその全体があらゆる目的のために本明細書に組み込まれる。
ここで提供される背景技術の説明は、本技術の背景を大まかに説明することを目的とする。現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、この背景技術の項で説明される範囲内において、明示又は暗示を問わず、本技術に対抗する先行技術として認められない。
集積回路などの半導体デバイスの製造は、フォトリソグラフィーを含む複数ステップのプロセスである。一般に、このプロセスは、ウェハ上に材料を堆積させ、リソグラフィー技術によって材料をパターニングして、半導体デバイスの構造的フィーチャ(例えば、トランジスタ及び回路)を形成することを含む。当技術分野で知られている典型的なフォトリソグラフィープロセスの工程には、基板を準備する工程、スピンコーティングなどによりフォトレジストを塗布する工程、所望のパターンでフォトレジストを露光し、フォトレジストの露光領域を現像液中である程度可溶とする工程、現像液を塗布して現像し、フォトレジストの露光領域又は非露光領域のいずれかを除去する工程、続いて、エッチング又は材料堆積などにより、フォトレジストが除去された基板の領域にフィーチャを形成する処理とが含まれる。
半導体デザインの発展によって、半導体基板材料上にこれまでよりも小さなフィーチャを作成する必要性が生じ、またそのための技量によってさらなる発展が遂げられてきた。こういった技術の進歩は、高密度集積回路のトランジスタ密度が2年ごとに倍増するという「ムーアの法則」によって特徴づけられてきた。実際、チップの設計及び製造は進歩しており、近年のマイクロプロセッサは、一枚のチップ上に何十億単位のトランジスタ及び他の回路フィーチャを含むことができる。そのようなチップ上における個々のフィーチャは、約22ナノメートル(nm)又はそれより小さく、場合によっては10nm未満であり得る。
このような小さなフィーチャを有するデバイスを製造する際の課題の1つは、十分な解像度を有するフォトリソグラフィーマスクを、高い信頼性と再現性で作成する技能である。現在のフォトリソグラフィープロセスは、典型的には、フォトレジストの露光に193nmの紫外線(UV)光を使用している。光は、半導体基板上に生成される所望のフィーチャのサイズよりも著しく大きい波長を有しており、これによって固有の問題が引き起こされる。光の波長よりも小さいフィーチャサイズを実現するには、マルチパターニングなどの複雑な解像度向上技術が必要である。従って、10nmから15nm、例えば13.5nmの波長を有する極端紫外線照射(EUV)のような、より短い波長の光を用いたフォトリソグラフィー技術の開発に関心が集まっており、また鋭意研究されている。
しかしながら、EUVフォトリソグラフィープロセスは、低電力出力及びパターニング中の光の損失などの問題が起こり得る。193nm UVリソグラフィーに使用されるものに近い従来の有機化学増幅レジスト(CAR)は、EUVリソグラフィーでの使用において潜在的な欠点を有しており、特に、EUV領域において吸収係数が低く、光活性化化学種の拡散によって、ぼけ又はラインエッジラフネスを生じ得る。さらに、下のデバイス層をパターニングするのに必要なエッチング耐性の実現において、従来のCAR材料でパターニングされた小さなフィーチャは、高いアスペクト比となる可能性があり、パターン崩壊のリスクがある。したがって、厚さが減少でき、より高い吸光度やエッチング耐性などの特性を有する改良されたEUVフォトレジスト材料の開発が依然として必要である。
本明細書は、パターニングされたフォトレジストを形成するための統合プロセスのための方法及びシステムを開示する。本明細書に開示の実施形態の一態様は、統合リソグラフィシステムを提供する。前記統合リソグラフィシステムは、クラスタ内の複数の反応チャンバを含み、前記複数の反応チャンバは、フォトレジスト(PR)堆積チャンバ;塗布後ベーク(PAB)チャンバ;露光後ベーク(PEB)チャンバ;現像チャンバ;及び1つ又は複数のプロセッサ及び1つ又は複数のメモリ装置を含むコントローラーを含み、前記1つ又は複数のメモリ装置はコンピュータ実行可能命令を格納しており、前記コンピュータ実行可能命令は、前記PR堆積チャンバ内で基板を受け取り;前記PR堆積チャンバ内で前記基板の表面にPRを堆積させ;前記PABチャンバ内で前記PRを有する前記基板を受け取り;前記PABチャンバ内で前記PRを処理し、前記PRの材料特性を変更し;前記PRの処理後、前記PEBチャンバ内で前記基板を受け取り、前記PRの一部は、パターニングされたPRを作成するために、放射線への露光によって化学的に変化しており;前記PEBチャンバ内で前記パターニングされたPRを処理し、前記パターニングされたPRの材料特性を変更し;化合物への曝露を含む乾式現像プロセスによって、前記パターニングされたPRの露光部分又は非露光部分のいずれかを除去することによって、前記現像チャンバ内で前記パターニングされたPRを乾式現像させてPRマスクを形成するように前記1つ又は複数のプロセッサを制御する、統合リソグラフィシステム。
いくつかの実施形態では、前記PRは金属含有PRである。いくつかの実施形態では、前記PRはEUV PRである。いくつかの実施形態において、前記複数の反応チャンバは、基板洗浄チャンバをさらに含み、前記コンピュータ実行可能命令は、前記PABチャンバ内で前記PRを有する前記基板を受け取るための前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、前記洗浄チャンバ内で前記PRを有する前記基板を受け取り;PRを前記基板のベベルエッジ及び/又は裏面から除去する乾式洗浄プロセスを行うように制御する命令をさらに含む。いくつかの実施形態において、前記複数の反応チャンバは、下地層堆積チャンバをさらに含み、前記コンピュータ実行可能命令は、PRを堆積させる前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、乾式プロセスによって前記基板の前記表面に、前記基板への前記PRの接着性を増大させる下地層を堆積するように制御する命令をさらに含むシステム。いくつかの実施形態において、前記下地層堆積チャンバは前記PR堆積チャンバである。
いくつかの実施形態において、前記複数の反応チャンバは、前処理チャンバをさらに含み、前記コンピュータ実行可能命令は、金属含有PRを堆積させるための前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、前記基板の前記表面により多くの露出したヒドロキシル基を生じさせるために、乾式プロセスを介して前記基板の前記表面を処理するように制御する命令をさらに含むシステム。いくつかの実施形態において、前記複数の反応チャンバはそれぞれ大気圧以下であり、前記乾式現像プロセスが終了するまで前記PRは大気圧以下であり得る。いくつかの実施形態においては、前記基板の周囲環境を制御して、前記パターニングされたPRが乾式現像される前に前記フォトレジストが湿気にさらされるのを低減し得る。いくつかの実施形態において、前記コンピュータ実行可能命令は、有機金属前駆体の水蒸気流をカウンター反応物の水蒸気流と混合することを含む乾式プロセスによって前記PRを堆積させるためのコンピュータ実行可能命令をさらに含む。いくつかの実施形態において、前記複数の反応チャンバによって実行される前記プロセスは、全て乾式プロセスである。いくつかの実施形態において、前記複数の反応チャンバによって実行される前記プロセスは、湿式及び乾式プロセスを含む。
いくつかの実施形態において、前記クラスタは、複数のPR堆積チャンバを含む。いくつかの実施形態において、前記PABチャンバとPEBチャンバは同じチャンバである。いくつかの実施形態において、前記コンピュータ実行可能命令は、前記PABチャンバ内の前記基板のベベルエッジ及び/又は裏面からPRを除去するための乾式洗浄プロセスを実行するように、前記1つ又は複数のプロセッサを制御するための命令をさらに含む。いくつかの実施形態において、前記複数の反応チャンバは、リソグラフィスキャナをさらに含み、前記コンピュータ実行可能命令は、前記PEBチャンバ内で前記基板を受け取るための前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、前記リソグラフィスキャナで前記基板を受け取り;前記PRの一部を放射線に露光して、パターニングされたPRを作成するように制御するための命令をさらに含む。いくつかの実施形態において、前記複数の基板処理環境は、熱処理加工環境をさらに含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、前記金属含有PRの一部が、パターニングされたPRを作成するために放射線への露光によって化学的に変化される前及び/又は後に、前記熱処理加工環境内で前記金属含有PRを熱処理するように制御するためのコンピュータ実行可能命令をさらに含む。
本明細書に開示の実施形態の他の一態様は、統合基板処理システムを提供する。前記システムは、複数の基板処理環境を含み、前記複数の基板処理環境は、金属含有フォトレジスト(PR)堆積環境;と、以下からなる群:付加的な金属含有フォトレジスト(PR)堆積環境;金属含有PR現像環境;熱処理加工環境;から選択される1つ又は複数の他の別個の統合処理環境;及び1つ又は複数のプロセッサ及び1つ又は複数のメモリ装置を含むコントローラーを含み、前記1つ又は複数のメモリ装置は、コンピュータ実行可能命令を含み、前記コンピュータ実行可能命令は、前記1つ又は複数のプロセッサを、前記金属含有PR堆積環境内で基板を受け取り;前記金属含有PR堆積環境内で前記基板の表面に金属含有PRを堆積し;前記1つ又は複数の他の別個の統合処理環境において、追加のPR処理操作を実行するように制御するための命令を含む。
いくつかの実施形態において、前記複数の基板処理環境は、PR乾式現像処理環境をさらに含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、前記金属含有PRの一部を放射線に露光することによって化学的に変化させてパターニングされたPRを作成した後、化合物への曝露を含む乾式現像プロセスによって、前記パターニングされたPRの露光部分又は非露光部分のいずれかを除去することによって、前記現像環境内で前記パターニングされたPRを乾式現像させてPRマスクを形成するように制御するためのコンピュータ実行可能命令をさらに格納する。いくつかの実施形態において、前記複数の基板処理環境は、スキャナ環境をさらに含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、前記金属含有PRの一部をスキャナ環境内で放射線に露光して、前記露光部分を化学的に変化させるように制御するためのコンピュータ実行可能命令をさらに格納する。
いくつかの実施形態において、前記複数の基板処理環境は、クラスタ内の異なるプロセスチャンバである。いくつかの実施形態において、前記複数の基板処理環境は、チャンバ内の異なるステーションである。いくつかの実施形態において、前記複数の基板処理環境は、3つの金属含有PR堆積環境を含む。いくつかの実施形態において、前記複数の基板処理環境は、2つの金属含有PR堆積環境と、金属含有PR現像環境とを含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化された後に、前記パターニングされたPRを乾式プロセスを介して現像するように制御するためのコンピュータ実行可能命令をさらに格納する。
いくつかの実装形態において、前記複数の基板処理環境は、金属含有PR堆積環境と、熱処理加工環境と、金属含有PR現像環境を含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化される前及び/又は後に、前記熱処理加工環境内で前記金属含有PRを熱処理し;前記金属含有PRを前記熱処理加工環境で熱処理した後、前記パターニングされたPRを乾式プロセスを介して現像するように制御するためのコンピュータ実行可能命令をさらに格納する。いくつかの実装形態において、前記複数の基板処理環境は、金属含有PR堆積環境と、第1の熱処理加工環境と、第2の熱処理加工環境と、金属含有PR現像環境とを含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化される前に、前記第1の熱処理加工環境内で前記金属含有PRを熱処理し;前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化された後に、前記第2の熱処理加工環境内で前記金属含有PRを熱処理し;前記金属含有PRを前記第2の熱処理加工環境で熱処理した後、前記パターニングされたPRを乾式プロセスを介して現像するように制御するためのコンピュータ実行可能命令をさらに格納する。
開示された実施形態のこれらの、及び他の特徴は、関連する図面を参照して以下に詳細に説明される。
図1は、例示的一実施形態におけるプロセスフロー図を示す。
図2は、本技術の例示的化学反応スキームを示す。
図3Aは、例示的一実施形態の代表的なプロセスフローを示す。 図3Bは、例示的一実施形態の代表的なプロセスフローを示す。 図3Cは、例示的一実施形態の代表的なプロセスフローを示す。 図3Dは、例示的一実施形態の代表的なプロセスフローを示す。 図3Eは、例示的一実施形態の代表的なプロセスフローを示す。
図4は、開示された実施形態に従って方法を実施するためのプロセスチャンバの例を示す概略図である。 図5は、開示された実施形態に従って方法を実施するためのプロセスチャンバの例を示す概略図である。 図6は、開示された実施形態に従って方法を実施するためのプロセスチャンバの例を示す概略図である。 図7は、開示された実施形態に従って方法を実施するためのプロセスチャンバの例を示す概略図である。 図8は、開示された実施形態に従って方法を実施するためのプロセスチャンバの例を示す概略図である。 図9は、開示された実施形態に従って方法を実施するためのプロセスチャンバの例を示す概略図である。
図10Aは、開示された実施形態における様々なプロセスクラスタ構成の概略図である。 図10Bは、開示された実施形態における様々なプロセスクラスタ構成の概略図である。 図10Cは、開示された実施形態における様々なプロセスクラスタ構成の概略図である。 図10Dは、開示された実施形態における様々なプロセスクラスタ構成の概略図である。 図10Eは、開示された実施形態における様々なプロセスクラスタ構成の概略図である。 図10Fは、開示された実施形態における様々なプロセスクラスタ構成の概略図である。
図11は、開示された実施形態に従って方法を実行するために使用され得る様々なツールアーキテクチャ例を示す。 図12は、開示された実施形態に従って方法を実行するために使用され得る様々なツールアーキテクチャ例を示す。 図13Aは、開示された実施形態に従って方法を実行するために使用され得る様々なツールアーキテクチャ例を示す。 図13Bは、開示された実施形態に従って方法を実行するために使用され得る様々なツールアーキテクチャ例を示す。
本開示は、概して半導体加工の分野に関する。特定の態様において、本開示は、パターニングマスクを形成するためのEUVパターン及びEUVパターン形成膜現像に関連して、EUVフォトレジスト(例えば、EUV感光性金属及び/又は金属酸化物含有レジスト膜)を処理する方法及び装置を対象とする。
本明細書では、本開示の特定の実施形態を詳細に参照する。具体的な実施形態の例は、添付の図面に示される。本開示は、これらの具体定な実施形態に関連して説明されるが、本開示はそのような実施形態の具体例に限定されない。逆にいえば、本開示の範囲内に含まれ得る代替例、変形例、及び均等物も本開示に含まれる。以下の説明では、本開示の完全な理解のために、多数の具体的な詳細が記載される。本開示は、以下の具体的な構成の一部又は全部がない場合でも実施可能である。他の例では、本開示を不必要に曖昧にすることを避けるため、周知のプロセス操作については、詳細な説明を省略する。
半導体処理における薄膜のパターニングは、半導体の製造においてしばしば重要なステップとなる。パターニングにはリソグラフィーが含まれる。193nmフォトリソグラフィーのような従来のフォトリソグラフィーにおけるパターン印刷は、光子源からマスク上に光子を放出し、感光性フォトレジスト上にパターンを印刷することで、フォトレジストに化学反応を引き起こし、現像後、フォトレジストの特定の部分を除去してパターンを形成する。
(国際半導体技術ロードマップによって定義される)先端テクノロジーノードは、22nm、16nm、及びそれ以降のノードを含む。例えば、16nmノードにおいて、ダマシン構造における典型的なビア又はラインの幅は、典型的には約30nm以下である。高度な半導体集積回路(IC)及び他のデバイス上のフィーチャのスケールによって、リソグラフィーの解像度改善がさらに推進されている。
極端紫外線(EUV)リソグラフィーは、従来のフォトリソグラフィー法で達成可能なものよりも小さいイメージング源波長に移行することによって、リソグラフィー技術を拡張することができる。約10~20nm又は11~14nm波長、例えば13.5nm波長のEUV光源は、スキャナとも呼ばれる最先端のリソグラフィツールに使用できる。EUV照射は、石英や水蒸気を含む広範囲の固体や流体材料に強く吸収されるため、真空中で動作する。
EUVリソグラフィーは、下層をエッチングする際に使用するマスク形成のためにパターニングされたEUVレジストを活用する。EUVレジストは、液体系スピンオン技術によって製造されるポリマーベースの化学増幅レジスト(CAR)であってもよい。CARの代替品として、インプリア(Inpria)、コルバリス(Corvallis)(オレゴン州)から入手可能であり、例えば、米国特許公報US2017/0102612号、US2016/021660号、及びUS2016/0116839号に記載されているような直接光パターニングが可能な金属酸化物含有膜が使用可能であり、本公報の、少なくとも光パターニングが可能な金属酸化物含有膜の開示については、参照により本明細書に援用される。このような膜は、スピンオン技術又は乾式蒸着によって製造することができる。金属酸化物含有膜は、例えば、米国特許第9,996,004号(EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS、2018年6月12日発行)、及び/又は米国特許出願PCT/US19/31618号(METHODS FOR MAKING EUV PATTERNABLE HARD MASKS、2019年5月9日出願)に開示されるように、30nm未満のパターニング解像度が実現可能な真空環境におけるEUV露光によって、直接(つまり別個のフォトレジストを使用することなく)パターニングすることができる。本公報の開示内容は、少なくとも、EUVレジストマスクを形成するための、直接光パターニングが可能な金属酸化物膜の組成、堆積、及びパターニングに関する点において、参照により本明細書に援用される。一般に、パターニングは、EUVレジストをEUV照射によって露光してレジスト内にフォトパターンを形成し、その後現像によってフォトパターンに従ってレジストの一部を除去してマスクを形成することを含む。
本開示は、リソグラフィーパターニング技術及びEUVリソグラフィーに例示される材料に関するが、他の次世代リソグラフィー技術にも適用可能であることも理解されたい。現在使用及び開発されている標準的な13.5nm EUV波長を含むEUVに加えて、一般に248nm又は193nmエキシマレーザ源の使用を意味するDUV(deep-UV)、正式にはX線領域におけるより低いエネルギー領域のEUVを含むX線、及び広いエネルギー領域をカバーする電子ビームが、このようなリソグラフィーに最も関わりの深い放射線源である。具体的な方法は、半導体基板及び最終的な半導体デバイスにおいて使用される特定の材料及び用途に依存し得る。従って、本出願に記載される方法は、本技術において使用され得る方法及び材料の単なる例示である。
直接光パターニングが可能なEUVレジストは、有機成分内に混合された金属及び/又は金属酸化物から構成されてもよく、又はこれらを含有していてもよい。金属/金属酸化物は、EUV光子吸着を増強し、さらに二次電子を生成、及び/又は下の膜層及びデバイス層に対するエッチング選択性を向上できるという点で非常に有望である。現在まで、これらのレジストは、湿式(溶媒)アプローチを使用して現像されてきた。このアプローチでは、ウェハをトラックに移動させ、そこで現像溶媒に曝露し、乾燥し、ベーキングを行う必要があった。湿式現像は生産性を制限するだけでなく、微細フィーチャ間での溶媒の蒸発中に表面張力効果によるライン崩壊を引き起こす。
これらの問題を解決するために、基板の層間剥離や界面欠陥を解決した乾式現像技術が提案されている。湿式現像と比較した場合、乾式現像は、EUV露光レジスト材料と非露光レジスト材料との間のエッチング選択性などといった独自の課題を有し、そのため効果的なレジスト露光を行うためのサイズ対線量要件がより高くなる。選択性が最適に満たない場合、エッチングガス下でのより長い露光によってPRコーナーの円形化を引き起こすこともあり、これによって、後続の転写エッチング工程におけるライン臨界寸法(CD)変動が大きくなることがある。
図1に、いくつかの実施形態において、フォトレジストを堆積及び現像する際にドライチャンバ洗浄を実施するための例示的な方法のフロー図を示す。プロセス100の動作は、異なる順序で、及び/又は異なる、より少ない、又はより多い動作で実行されてもよい。プロセス100の1つ又は複数の動作は、本明細書に記載される様々な装置を使用して実行することができる。いくつかの実施形態において、プロセス100の動作は、少なくとも部分的に、1つ又は複数の非一時的コンピュータ可読媒体に記憶されたソフトウェアに従って実施されてもよい。
プロセス100のブロック102で、フォトレジストの層が堆積される。堆積は、蒸着プロセスのような乾式堆積プロセス又はスピンオン堆積プロセスのような湿式プロセスのいずれかであり得る。フォトレジストは、EUVレジスト又は金属含有EUVレジストであり得る。図2は、重合有機金属材料を形成し、金属含有EUVレジストを堆積するための例示的なプロセスを示す。
図1に戻って説明すると、プロセス100のブロック102において金属含有EUVレジスト薄膜を半導体基板上に堆積させることに加えて、金属含有EUVレジスト材料をプロセスチャンバの内部表面上に形成することができる。内部表面は、プロセスチャンバのチャンバ壁、床、及び天井を含み得る。他の内部表面は、シャワーヘッド、ノズル、及び基板支持表面を含み得る。金属含有EUVレジスト材料は、CVD又はALDプロセスなどの乾式堆積プロセスの結果として形成することができる。内部表面上に形成された金属含有EUVレジスト材料の厚さは、プロセスチャンバ内で実行されている追加処理(例えば堆積)動作の結果として、経時的に増加し得る。金属含有EUVレジスト材料は、剥がれ落ちたり、パーティクルを生成したり、又はプロセスチャンバの内部表面から剥がれ落ちる傾向があり、処理中に後続の半導体基板を汚染し得る。
プロセス100のブロック150では、プロセス100のブロック102において半導体基板上に金属含有EUVレジスト薄膜を堆積した後の工程として、本開示のドライチャンバ洗浄動作を実行することができる。これにより、堆積と乾式洗浄を同じプロセスチャンバ内で実行することができる。しかしながら、ドライチャンバ洗浄は、実施形態における堆積動作とは異なるプロセスチャンバ内でも実行可能であることは明らかである。実際、ドライチャンバ洗浄は、ベベル及び/又は裏面の洗浄、ベーク、現像又はエッチング動作の後に実行することができる。
除去対象である乾式堆積された金属含有EUVフォトレジスト膜は、一般的にSn、O、Cからなるが、同じ洗浄方法を他の金属酸化物レジストや材料の膜にも適用できる。またこの方法は、フィルムストリップやPRリワークにも使用できる。
プロセス100のブロック104では、任意の洗浄プロセスとして、半導体基板の裏面及び/又はベベルエッジを洗浄する。裏面及び/又はベベルエッジ洗浄では、EUVレジスト膜を非選択的にエッチングして、基板の裏面及びベベルエッジ上の、様々な度合いで酸化又は架橋された膜を等しく除去することができる。EUVパターニング可能膜の塗布中に、湿式堆積処理又は乾式堆積処理のいずれかによって、基板のベベルエッジ及び/又は裏面上に意図せずレジスト材料の堆積が生じることがある。この意図しない堆積は、パーティクルを誘発する可能性があり、パーティクルは、後に半導体基板の上面に移動してパーティクル欠陥の原因となり得る。また、このベベルエッジ及び裏面の堆積は、パターニング(スキャナ)及び現像ツールの汚染を含む下流での処理において問題を引き起こす可能性もある。従来、このベベルエッジ及び裏面の堆積の除去は、湿式洗浄技術によって行われている。スピンコーティングされたフォトレジスト材料については、このプロセスはエッジビード除去(EBR)と呼ばれ、基板が回転している間にベベルエッジの上下から溶媒の流れを誘導することによって行われる。同じプロセスを、蒸着技術によって堆積された可溶性有機スズ酸化物系のレジストにも適用することができる。基板のベベルエッジ及び/又は裏面洗浄は、乾式洗浄プロセスであってもよい。
プロセス100のブロック150において、本開示のドライチャンバ洗浄動作は、ベベルエッジ洗浄及び/又は裏面洗浄動作の後に行なわれてもよい。これにより、ベベル洗浄及び/又は裏面洗浄とドライチャンバ洗浄を同じプロセスチャンバ内で実行することが可能になる。しかしながら、いくつかの実施形態では、ドライチャンバ洗浄は、ベベルエッジ洗浄及び/又は裏面洗浄動作とは異なるプロセスチャンバ内でも実行可能であることは明らかである。
プロセス100のブロック106では、EUVパターニング可能膜の堆積後、EUV露光前に、任意の塗布後ベーク(PAB)が実行される。PAB処理は、EUVパターニング可能膜のEUV感光性を増大させ、少ないEUV線量でEUVパターニング可能膜にパターンを現像可能とするため、熱処理、化学的曝露、及び水分の組み合わせを含むことができる。
プロセス100のブロック150において、本開示のドライチャンバ洗浄動作は、PAB処理の後に実行されてもよい。これにより、ベーク及びドライチャンバ洗浄を同じプロセスチャンバ内で実行することが可能になる。しかしながら、いくつかの実施形態においては、ドライチャンバ洗浄は、PAB処理動作とは異なるプロセスチャンバ内でも実行可能であることは明らかである。
プロセス100のブロック108では、金属含有EUVレジスト膜をEUV照射によって露光してパターンを現像する。一般的に、EUVによる露光は金属含有EUVレジスト膜の化学組成と架橋を変化させ、後続の現像のためのエッチング選択性のコントラストを実現する。金属含有EUVレジスト膜をEUV光に露光した後、フォトパターニングされた金属含有EUVレジストが得られる。フォトパターニングされた金属含有EUVレジストは、EUV露光領域と非露光領域とを含む。
プロセス100のブロック110において、任意の露光後ベーク(PEB)を実行し、フォトパターニングされた金属含有EUVレジストのエッチング選択性におけるコントラストをさらに増大させる。フォトパターニングされた金属含有EUVレジストは、様々な化学種の存在下で熱処理され、フォトパターニングされた金属含有EUVレジストのEUV露光領域の架橋を促進するか、又は単に大気中においてホットプレート上でベークされてもよい。
プロセス100のブロック150において、本開示のドライチャンバ洗浄操作は、PEB処理の後に実行されてもよい。これにより、ベーク及びドライチャンバ洗浄を同じプロセスチャンバ内で実行することが可能になる。しかしながら、いくつかの実施形態においては、ドライチャンバ洗浄は、PEB処理動作とは異なるプロセスチャンバ内でも実行可能であることは明らかである。
プロセス100のブロック112では、フォトパターニングされた金属含有レジストを現像してレジストマスクを形成する。様々な実施形態において、露光領域が除去されるか(ポジ型)、あるいは非露光領域が除去される(ネガ型)。いくつかの実施形態では、現像は、フォトパターニングされた金属含有レジストの露光領域又は非露光領域のいずれかへの選択的堆積と、その後のエッチング動作を含み得る。様々な実施形態において、これらのプロセスは乾式プロセス又は湿式プロセスであり得る。現像プロセスの例としては、有機スズ酸化物含有EUV感光性フォトレジスト薄膜(例えば、厚さ10-30nm、例えば20nmなど)をEUV露光ドーズ及び露光後ベークに供し、現像することなどが挙げられる。フォトレジスト膜は、例えば、イソプロピル(トリス)(ジメチルアミノ)スズなどの有機スズ前駆体と水蒸気との気相反応に基づいて堆積されてもよく、又は有機マトリックス中にスズクラスタを含むスピンオン膜であってもよい。フォトパターニングされた金属含有レジストは、現像化学反応への曝露によって現像される。いくつかの実施形態では、現像化学反応はハロゲン化物含有化学反応を含む。
プロセス100のブロック150において、現像後に本開示のドライチャンバ洗浄動作を実行できる。これにより、現像及びドライチャンバ洗浄を同じプロセスチャンバ内で実行することが可能になる。しかしながら、いくつかの実施形態においては、ドライチャンバ洗浄は、現像動作とは異なるプロセスチャンバ内でも実行可能であることは明らかである。さらに、いくつかの実施形態においては、ドライチャンバ洗浄は、エッチング動作と同じ又は異なるプロセスチャンバ内で実行可能であることは明らかである。半導体基板の基板下層をエッチングするためのエッチング動作を行ってもよい。
以下、動作102~112のそれぞれについて、さらに詳しく説明する。様々な実施形態において、本技術の方法は、蒸着、(EUV)リソグラフィーフォトパターニング、及び乾式現像による膜形成の全ての乾式ステップを組み合わせて行う。他の実施形態では、本技術の方法は、湿式堆積及び乾式現像、又は乾式堆積及び湿式現像を含む。プロセスによっては、EUVスキャナでフォトパターニングを行った後、基板を直接乾式現像/エッチングチャンバに入れてもよい。このようなプロセスにより、湿式現像に伴う材料コストや生産コストを回避し得る。或いは、露光領域をさらに架橋し、より緻密なSnO様ネットワークが形成される露光後ベーク工程を、現像チャンバ又は別のチャンバで実施してもよい。
いくつかの実施形態では、基板は、動作102~112の各々を実行するために様々な処理環境に置かれ得る。例えば、PR堆積環境、PAB及び/又はPEBのための1つ又は複数の熱処理環境、ウェハ洗浄環境、スキャン環境、PR現像環境などが想定される。後述するように、いくつかの実施形態では、これらの環境の1つ又は複数は、チャンバ内のステーション/台座、1つ又は複数の台座を有するチャンバ、及び/又は1つ又は複数のチャンバを有するマルチチャンバクラスタツールであってもよい。いくつかの実施形態では、複数の動作が単一の処理環境で実行され得る。例えば、熱処理環境とウェハ洗浄環境が同じ処理環境であってもよく、この場合、ウェハを異なる台座、チャンバ、又はツールに移動させることなく、両方の操作を順次実行してもよい。いくつかの実施形態では、複数の処理環境が同じチャンバ又はツール内にあってもよく、例えば、熱処理環境とウェハ洗浄環境は、クワッドステーションモジュールチャンバ内の異なるステーションにあってもよい。いくつかの実施形態では、同じツール内で同じ種類の複数の処理環境が提供されてもよく、例えば、マルチ動作のウェハ処理において、ある処理動作が他の動作よりはるかに遅い状況において、スループットを強化又は最適化するために、同ツール内で同じ種類の複数の処理環境が提供されてもよい。上記のように定義された環境の他の組み合わせも、本開示の範囲内であると理解されるべきである。
本技術の乾式プロセスは、本技術のメカニズム、機能又は有用性を制限するものではないが、当業者に知られている湿式現像プロセスに対して様々な利点を提供できる。例えば、本明細書に記載された乾式蒸着技術は、湿式スピンコーティング技術を使用する場合よりも、薄く、欠陥のない膜を堆積でき、堆積工程又はシーケンスの長さを単純に延長又は短縮させることによって堆積膜の正確な厚さを調節及び制御できる。したがって、乾式プロセスは、より多くの調整可能性を実現し、さらなる臨界寸法(CD)制御とスカム除去を提供し得る。乾式現像では、性能向上(湿式現像での表面張力によるライン崩壊の防止など)、スループットの強化(湿式現像トラックの回避など)などが期待できる。その他の利点としては、有機溶剤系現像剤の使用が不要であること、接着に対する感受性を低くできること、ウェットレジスト剤を塗布・除去する必要がないこと(例えば、スカムやパターン歪みの回避)、ラインエッジラフネスの改善、デバイストポグラフィー上に直接パターニングできること、特定の基板及び半導体デバイス設計においてハードマスク化学反応を調整できること、その他の溶解性に基づく制限がないこと、などが含まれ得る。
場合によっては、湿式の処理と乾式の処理を組み合わせることが適切又は最適であり得る。例えば、湿式ウェハ処理装置にある程度の資本投資が行われている場合は、複数動作プロセス中の一部の動作において、乾式処理とその関連装置を湿式処理装置に統合することで性能の向上が図り得る。
そこで、本開示は、EUVレジストマスク形成プロセスの一環として、EUVフォトパターニングされた金属及び/又は金属酸化物含有レジストを乾式で現像するように構成されたプロセス及び装置を提供する。様々な実施形態には、蒸着による膜形成、(EUV)リソグラフィーフォトパターニング、及び乾式現像におけるすべての乾式動作を組み合わせることが含まれる。様々な他の実施形態は、本明細書に記載の乾式処理動作を湿式処理動作と効果的に組み合わせたものを含み、例えば、インプリアから入手可能なスピンオンEUVフォトレジスト(湿式プロセス)は、乾式現像又は他の本明細書に記載の湿式又は乾式プロセスと組み合わせてもよい。様々な実施形態において、ウェハ洗浄は本明細書に記載されるような湿式プロセスであってもよいが、他のプロセスは乾式である。さらなる実施形態では、PR膜(乾式)蒸着と組み合わせて、湿式現像プロセスを行ってもよい。
リソグラフィー処理:
図3A~3Eに、金属フォトレジストハードマスク形成プロセスにおける他の代表的なプロセスフローを示す。おおまかには、光子、電子、プロトン、イオン、中性種などのパターニング剤に感応性を有し、これらの種のいずれかに曝露することによりパターニングが可能な金属含有膜を、半導体基板に堆積する。その後、真空環境下で金属含有膜をパターニング剤に曝露してパターニングし、金属マスクを形成する。本説明は、主に金属含有膜、特に金属がSnである場合、極端紫外線リソグラフィー(EUVリソグラフィー(EUVL))、特に励起Sn液滴によるEUV源を有するEUVLによってパターン形成される金属含有膜を参照する。以下、このような膜をEUV感光性膜と称する。しかし、他の実装形態(異なる金属含有膜及びパターニング剤/技術など)も可能なことを理解されたい。
図3Aに、パターニングされる半導体基板100を示す。典型的な例では、半導体基板100は一部に集積回路が形成されたシリコンウェハである。
図3Bに、半導体基板100に堆積されたパターニング剤に感応性を有する金属含有膜102を示す。金属含有膜を母材に分解可能とするため、またその後の現像プロセスに感応性を有するように、金属含有膜は、パターニング剤への曝露に感応性を有する金属塩(例えば、メタルハライド)又は有機金属化合物であってもよい。適切なパターニング剤は、光子、電子、陽子、イオン、又は中性種であってよく、金属含有膜102が母材に分解されてそれらの種の1つにさらされることでパターニングされるか、又はその後の現像プロセスに感応性を有するようになる。一般に、半導体基板100は、堆積の前に、リアクタチャンバに設置され、真空下で金属含有膜堆積が行われる。
金属含有膜102のブランケットは、(例えば、カリフォルニア州フリーモントのラムリサーチ・コーポレーションから入手可能なAltus(登録商標)CVDツール、又はAether(登録商標)GP CVDツールのような非プラズマCVDリアクタにおいて)適切な前駆体からの凝結によって形成され得る。凝結によるこの堆積に適したプロセス条件は、約0°Cから250°Cの間、例えば環境温度(例えば、約23°C)から150°Cの間の堆積温度、20Torr未満のリアクタ圧力(例えば、60°Cで0.5から2Torrの間に維持された圧力)を含む。前駆体流速を約100から1000sccmの間に維持することで、堆積速度を制御することができる。
水蒸気による変質を防ぐために、Sn含有膜の形成及び搬送は真空環境で行われる。形成された膜は、その後EUVパターニングツールに搬送され、図3C~3Dに示すように、フォトレジストを用いずに直接露光によってパターニングされる。
なお、EUVLツールは、典型的には堆積ツールよりも高真空で動作する。この場合、堆積からパターニングツールへの搬送時に基板の真空環境を高め、パターニングツールへの搬入前に基板及び堆積した金属含有膜を脱ガスすることが望ましい。これは、パターニングツールの光学系が基板の脱ガスによって汚染されないようにするためである。
図3Cに示すように、このパターニングにより、形成されたメタルマスクの露光された金属含有膜領域102aと、パターン現像により除去される材料の非露光領域102bとが形成される。
図3Dに示すように、パターンはその後現像され得る。現像については、本明細書の別の箇所で詳述する。いくつかの実施形態では、パターンの現像は、露光領域102aのみが完全に形成された金属マスクとして残るように、単に基板を加熱して金属含有膜の非露光領域102bを揮発させることで生じ得る。なお、このパターン現像動作では、熱的及び環境的に安定したパターン形成金属マスクが形成されるため、真空統合を必須としなくてもよい。また、金属含有膜分解の不相溶副生成物によるパターニングツールの光学系の汚染を避けるため、パターン現像はツールの外で行われることが望ましい。
図3Eに示すように、任意のステップとして、パターン増幅を行うことができる。例えば、さらに金属106の選択的追加堆積によって金属マスクの厚みを増すために、選択性ALD又は無電解堆積(ELD)を、図3C及び/又は3Dに示す操作の後に、パターン形成された基板上で実施してもよい。これは、マスクの光透過を低減し得るか、或いは機械的強度を頑強にし得る。このような増幅は、例えば、米国特許第6,911,067号、第6,794,288号、第6,902,605号及び第4,935,312号に記載されているような無電解堆積プロセスの適用によって達成されてもよく、これらの公報は、この点に関する開示については、参照により本明細書に組み込まれる。
例えば、この方法で初期の1nmシードは10nmに増幅され得る。図3Dを参照して説明したパターン現像のように、増幅前に熱的及び環境的に安定したパターン形成金属マスクが形成可能なため、この操作は真空統合を必須としなくともよい。
全乾式又は部分乾式製膜の利点の1つは、乾式動作をワンパス調節が可能なプロセスとし得ることである。後述する様々なプロセス条件を変更することで、動作は最適化可能である。例えば、PR堆積と下地層の乾式プロセスは2回の操作で済むが、湿式プロセスはPR膜の堆積の前に洗浄とベーク動作を必要とする場合がある。また一方で、湿式プロセスでは、プロセスパラメータに準じた調合済み溶液の使用や、熱処理、冷却、スピン乾燥の追加パスが必要となる場合がある。乾式プロセスでは、液剤の乾燥工程を省くことができ、PR剥離やラインの傾き・崩壊を抑制することができる。
全乾式又は部分乾式製膜の他の利点の1つは、処理環境の制御がより強力になることである。湿式スピンオンプロセスは、調合済みの溶液を使用し、常圧で行うことが可能である。乾式プロセスは、例えば、圧力、湿度、酸素、温度などを制御しながら、真空下で行うことができる。統合されたプロセスが同じツール、チャンバ、又はステーションで実行される実施形態では、乾式プロセス間で真空を維持でき、これは処理操作中及び処理操作間のウェハの環境を制御するために有利である。さらに、統合されたプロセスは、同じツール、チャンバ、ステーション内でプロセスを実行するため、作業待ち時間を短縮できる。
フォトレジスト(PR)堆積:
上述したように、本開示は、EUV又は他の次世代リソグラフィー技術を使用してパターニング可能な半導体基板上のイメージング層を製造するための方法を提供する。方法の例としては、重合有機金属材料を水蒸気中で生成し、基板上に蒸着させる方法が挙げられる。他の実施形態では、スピンオン式が使用されてもよい。
本技術は、EUV感光性薄膜を基板上に堆積し、その後のEUVリソグラフィーや加工のためのレジストとして動作させる方法を提供するものである。このようなEUV感光膜は、EUV照射において、低密度のM-OH高含有材料の金属原子に結合した嵩高いペンダント置換基が消失して、より高密度のM-O-M結合金属酸化物材料に架橋できるような変化を起こす材料で構成される。EUVパターニングにより、非露光部に対して物理的・化学的特性が変化した膜領域を作成できる。これらの特性は、その後の処理、たとえば、非露光部や露光部を溶解したり、露光部や非露光部に選択的に材料を堆積させるなど、において利用できる。いくつかの実施形態では、かかる後続処理が行われる条件下において、非露光膜は疎水性表面を有し、露光膜は、親水性表面を有する(露光領域及び非露光領域の親水性特性は互いに相対的であると認識されている)。例えば、膜の化学組成、密度、架橋の違いを利用して材料の除去を行うことができる。除去は、以下でさらに説明するように、湿式処理又は乾式処理によって行うことができる。
基板表面に形成されるEUVパターニング可能膜の厚さは、表面特性、使用材料、加工条件によって異なり得る。様々な実施形態において、膜厚は0.5nmから100nmの範囲であってよく、EUVパターニングの条件下でEUV光の大部分を吸収するのに十分な厚さであることが好ましい。例えば、レジスト膜の底面のレジスト材料が十分に露出するように、レジスト膜全体の吸収率は30%以下(例えば、10%以下、5%以下)であってもよい。いくつかの実施形態では、膜厚は、10~20nmである。本開示のメカニズム、機能又は有用性を制限するものではないが、本開示のプロセスは、当技術分野の湿式スピンコーティングプロセスとは異なり、基板の表面付着性に対する制約が少なく、したがって、多種多様な基板に適用できると考えられる。さらに、上述したように、蒸着膜は表面のフィーチャに密接に適合可能であり、内在するフィーチャを有する基板などの基板上にマスクを形成する際に、そのフィーチャを「ふさぐ」又は平坦化することなく、利点を提供できる。
基板
本技術の方法に有用な基板は、リソグラフィー処理、特に集積回路や他の半導体デバイスの製造に適した任意の材料構成物を含むことができる。いくつかの実施形態において、基板はシリコンウェハである。基板は、不規則な表面トポグラフィーを有するフィーチャ(「内在トポグラフィーフィーチャ」)が形成されたシリコンウェハであってもよい。(本明細書でいう「表面」とは、本技術の膜が堆積される表面、又は処理中にEUV露光される表面を指す)。そのような内在するトポグラフィーフィーチャは、本技術の方法を実施する前の処理中に、材料が除去された領域(例えば、エッチングによって)又は材料が追加された領域(例えば、堆積によって)を含むことができる。このような先行処理には、2つ以上のフィーチャ層が基板上に形成される反復プロセスにおける、本技術の方法又は他の処理方法が含まれ得る。本技術のメカニズム、機能又は有用性を制限するものではないが、いくつかの実施形態において、本技術の方法は、スピンキャスト法を用いてフォトリソグラフィー膜を基板の表面に堆積させる当技術分野で既知の方法と比較して、利点を提供できると考えられる。このような利点は、本技術による膜が、フィーチャを「ふさぐ」又は平坦化することなく、基礎フィーチャに適合できること、及び多種多様な材料表面に膜を堆積できることによって得られると考えられる。
いくつかの実施形態では、搬入されるウェハは、所望の材料の基板表面を有したものとして提供され、最上部の材料はレジストパターンが転写される層である。材料の選択は集積度によって異なるが、一般的には、EUVレジストやイメージング層に対して高い選択性でエッチングできる(すなわち、より高速にエッチングできる)材料を選択することが望まれている。適切な基板材料の例としては、様々な炭素系の膜(例えば、灰化可能ハードマスク(AHM)、シリコン系膜(例えば、SiOx、SiOxNy、SiOxCyNz)、a-Si:H、ポリSi、又はSiN)、又はパターニングプロセスを容易にするために適用される他の(一般に犠牲的な)膜が含まれる。
いくつかの実施形態では、基板はハードマスクであり、これは下層の半導体材料のリソグラフィーエッチングで使用される。ハードマスクは、アモルファスカーボン(a-C)、SnOx,SiO2,SiOxy,SiOxC,Si34,TiO2,TiN,W,W-ドープC,WOx,HfO2,ZrO2、Al23などの種々の材料のいずれから構成されていてもよい。例えば、基板はSnO2などのSnOxを含むことが好ましい。様々な実施形態において、層は、1nm~100nmの厚さ、又は2nm~10nmの厚さであり得る。
いくつかの実施態様において、基板は、下地層を含む。下地層は、ハードマスク又は他の層上に堆積されてもよく、本明細書に記載されるように、一般にイメージング層の下に位置する。下地層は、PRの感度を高め、EUV吸収率を増加させ、及び/又はPRのパターニング性能を増加させるために使用できる。パターニングされる基板にデバイスのフィーチャがあり、顕著なトポグラフィーを有する場合、下地層のもう一つの重要な機能は、既存のトポグラフィーをオーバーコートして平坦化し、その後のパターニング工程を、パターンの全エリアに焦点を当て、平坦な表面で実行可能とすることである。このような用途では、下地層(又は複数の下地層の少なくとも1つ)は、スピンコーティング技術を用いて塗布されてもよい。採用するフォトレジスト材料が、例えば金属酸化物骨格を優位に示すような顕著な無機構成要素を有する場合、下地層は、有利には、スピンコート又は乾式真空ベースの堆積プロセスのいずれかによって塗布される炭素系の膜であってもよい。この層は、炭素及び水素系の組成を有する種々の灰化可能なハードマスク(AHM)膜を含んでいてもよく、タングステン、ホウ素、窒素、又はフッ素などのさらなる元素でドープされてもよい。そのようなプロセスにおいて有用な方法及び装置は、2019年10月2日に出願された米国特許出願62,909,430に記載されており、本公報は、その方法及び装置の開示については、参照により本明細書に組み込まれる。
膜堆積:
様々な実施形態において、EUVパターニング可能膜は、当技術分野で知られている蒸着装置及びプロセスを用いて、基板上に堆積によって形成される。このようなプロセスでは、重合有機金属材料は、気相中、又はその場(in situ)で、基板表面上に形成される。
一般的には、有機金属前駆体の水蒸気流をカウンター反応物の水蒸気流と混合して重合有機金属材料を形成し、有機金属材料を半導体基板の表面に堆積させる。当業者が理解するように、このプロセスの混合及び堆積は、実質的に連続的なプロセスにおいて、同時であってもよい。そのようなプロセスにおいて有用な方法及び装置は、2019年5月9日に出願された公報PCT/US2019/031618及び2019年11月11日に出願された公報PCT/US2019/060742に記載されており、これらの公報は、その方法及び装置の開示、特に種々の有機金属前駆体については、参照により本明細書に組み込まれる。
EUV感光性薄膜は半導体基板上に堆積することができ、この膜は、その後のEUVリソグラフィーや加工のためのレジストとして使用できる。このようなEUV感光薄膜は、EUV照射において、低密度のM-OH高含有材料の金属原子に結合した嵩高いペンダント置換基が消失して、より高密度のM-O-M結合金属酸化物材料に架橋できるような変化を起こす材料で構成される。EUVパターニングにより、非露光部に対して物理的・化学的特性が変化した膜領域を作成できる。これらの特性は、その後の処理、たとえば、非露光部や露光部を溶解したり、露光部や非露光部に選択的に材料を堆積させるなど、において利用できる。いくつかの実施形態において、非露光膜は、かかる後続の処理が行われる条件下で、露光膜よりも疎水性の高い表面を有している。例えば、膜の化学組成、密度、架橋の違いを利用して材料の除去を行うことができる。除去は、以下でさらに説明するように、湿式処理又は乾式処理によって行うことができる。
薄膜は、様々な実施形態において、有機金属材料、例えば、酸化スズを含む有機スズ材料、又は他の金属酸化物材料/部分である。有機金属化合物は、有機金属前駆体とカウンター反応物との気相反応により製造できる。様々な実施形態において、有機金属化合物は、嵩高いアルキル基又はフルオロアルキルを有する有機金属前駆体の特定の組み合わせとカウンター反応物とを混合し、混合物を気相中で重合させて、半導体基板上に堆積する低密度のEUV感光性材料を生成することにより形成される。
様々な実施形態において、有機金属前駆体は、気相反応に耐えうる各金属原子上に少なくとも1つのアルキル基を含み、一方、金属原子に配位する他のリガンド又はイオンは、カウンター反応物によって置換され得る。有機金属前駆体としては、一般式(1)で表されるものが挙げられる。
abc
(一般式1)
式中MはEUV吸光断面積の大きい金属であり;RはCn2n+1(好ましくはn≧2)などのアルキルであり;Lはカウンター反応物と反応性のあるリガンド、イオン又は他の部分であり;a≧1;b≧1;及びc≧1である。
様々な実施形態において、Mは、1x107cm2/molに等しいか、又はそれ以上の原子吸光断面積を有する。Mは、例えば、スズ、ハフニウム、テルル、ビスマス、インジウム、アンチモン、ゲルマニウム、及びこれらの組み合わせからなる群から選択され得る。いくつかの実施形態において、Mはスズである。Rはフッ素化されていてもよく、例えば、式Cnx(2n+1)を有する。様々な実施形態において、Rは少なくとも1つのβ-水素又はβ-フッ素を有する。例えば、Rは、エチル、i-プロピル、n-プロピル、t-ブチル、i-ブチル、n-ブチル、sec-ブチル、n-ペンチル、i-ペンチル、t-ペンチル、sec-ペンチル、及びこれらの混合物からなる群より選択され得る。Lは、アミン(ジアルキルアミノ、モノアルキルアミノなど)、アルコキシ、カルボキシレート、ハロゲン、及びこれらの混合物からなる群より選択される部分などの、M-OH部分を生成するためにカウンター反応物によって容易に置換される任意の部分であり得る。
カウンター反応物は、反応性部分、リガンド又はイオン(例えば、上記の式1におけるL)を置換することで、少なくとも2つの金属原子を化学結合させる能力を有する。カウンター反応物の例としては、水、過酸化物(例えば、過酸化水素)、ジ-又はポリヒドロキシアルコール、フッ素化ジ-又はポリヒドロキシアルコール、フッ素化グリコール、及び他のヒドロキシル部供給源が挙げられる。様々な実施形態において、カウンター反応物は、隣接する金属原子間に酸素架橋を形成することによって有機金属前駆体と反応する。
他のカウンター反応物の候補としては、硫化水素及び二硫化水素が挙げられ、これらは硫黄架橋を介して金属原子を架橋することができる。他の例では、Te含有前駆体を、例えば、Te-Sn-Te-Sn架橋を形成するために、水と共に、又は水の代わりに、カウンター反応物として使用することができる。Sn(NMe2)x型前駆体と共に好適に使用可能なテルル共反応物としては、RTeH、RTeD(D=重水素)及びRTe前駆体(R=アルキル基、特にt-ブチル又はイソプロピル)であり、例えばt-butylTeDである。M(OR)x型前駆体との共用に、例えば、ビス(トリメチルシリル)Teを使用することができる。
薄膜は、有機金属前駆体及びカウンター反応物に加えて、任意の材料を含むことができ、これにより、EUVに対する膜の感応性を変更したり、エッチング耐性を向上させたりするなど、膜の化学的又は物理的特性を変更することができる。このような任意の材料は、例えば、気相形成中に、半導体基板堆積前、膜堆積後、又はその両方においてドーピングによって導入することができる。いくつかの実施形態では、緩やかな遠隔Hプラズマを導入して、いくつかのSn-L結合をSn-Hで置換し、これにより、EUV下でのレジストの反応性を増加させることができる。
様々な実施形態において、EUVパターニング可能膜は、当技術分野で知られている蒸着装置及びプロセスを用いて、半導体基板上に堆積によって形成される。このようなプロセスにおいて、重合有機金属材料は、気相中、又はその場(in situ)で、半導体基板の表面上に形成される。適切なプロセスとしては、例えば、化学気相成長(CVD)法、原子層堆積(ALD)、及びCVD成分を有するALD、例えば、金属前駆体及びカウンター反応物が時間又は空間のいずれかで分離される不連続ALD様プロセスが挙げられる。
一般的には、有機金属前駆体の水蒸気流をカウンター反応物の水蒸気流と混合して重合有機金属材料を形成し、有機金属材料を半導体基板の表面に堆積させる。いくつかの実施形態では、2つ以上の有機金属前駆体が水蒸気流に含まれる。いくつかの実施形態では、2つ以上のカウンター反応物が水蒸気流に含まれる。当業者が理解するように、このプロセスの混合及び堆積は、実質的に連続的なプロセスにおいて、同時であってもよい。
連続CVDプロセスの一例では、有機金属前駆体とカウンター反応物源の2つ以上のガス流が、別々の入口経路でCVD装置の堆積チャンバに導入され、この堆積チャンバにて、ガス相で混合及び反応して、(例えば、メタル-酸素-メタル結合形成を介して)凝集したポリマー材料を形成する。このガス流は、例えば、別々の注入口又はデュアルプレナムシャワーヘッドを使用して導入することができる。この装置は、有機金属前駆体とカウンター反応物のガス流がチャンバ内で混合され、有機金属前駆体とカウンター反応物が反応して重合有機金属材料を形成するように構成されている。本技術のメカニズム、機能又は有用性を制限するものではないが、このような気相反応からの生成物は、金属原子がカウンター反応物によって架橋され、次いで凝縮されるか、あるいは半導体基板に堆積されるにつれて分子量がより大きくなると考えられる。様々な実施形態において、嵩高いアルキル基の立体障害は、高密度に充填されたネットワークの形成を妨げ、滑らかな非晶質の低密度膜を生成する。
CVDプロセスは、一般に、10ミリTorr~10Torr(1333.22mPa~1333.22Pa)などの減圧下で実施される。いくつかの実施形態では、このプロセスは0.5~2Torr(66.6612~266.645Pa)で実施される。いくつかの実施形態では、半導体基板の温度は、反応物流の温度以下である。例えば、基板温度は、0℃~250℃、又は環境温度(例えば、23℃)~150℃であってもよい。様々なプロセスにおいて、基板上への重合有機金属材料の堆積は、表面温度に反比例する速度で起こる。
半導体基板の表面に形成されるEUVパターニング可能膜の厚さは、表面特性、使用材料、加工条件によって異なり得る。様々な実施形態において、膜厚は0.5nmから100nmの範囲であってよく、EUVパターニングの条件下でEUV光の大部分を吸収するのに十分な厚さであることができる。EUVパターニング可能膜は、30%以上の吸収を収容することができ、EUVパターニング可能膜の底部に向かって利用可能なEUV光子が大幅に少なくなる。より高いEUV吸収は、EUV露光膜の底部に比べてEUV露光膜の上部付近でより多くの架橋及び高密度化をもたらす。EUV光子の効率的な利用は、より高い全吸収を有するEUVパターニング可能膜で可能であるが、いくつかの例では、EUVパターニング可能膜は、約30%未満であり得ることが理解できる。比較すると、ほとんどの他のレジスト膜の最大の全体の吸収率は30%未満(例えば、10%以下又は5%以下)であるため、レジスト膜の底部のレジスト材料が十分に露光される。いくつかの実施形態では、膜厚は5nmから40nm又は10nmから20nmである。本開示のメカニズム、機能又は有用性を制限するものではないが、本開示のプロセスは、当技術分野の湿式スピンコーティングプロセスとは異なり、基板の表面付着性に対する制約が少なく、したがって、多種多様な基板に適用できると考えられる。さらに、上述したように、蒸着膜は表面のフィーチャに密接に適合可能であり、基礎特性を有する基板などの基板上にマスクを形成する際に、そのフィーチャを「ふさぐ」又は平坦化することなく、利点を提供できる。
本明細書に記載される技術のいくつかの実施形態において、PR膜におけるEUV感光性をさらに改善することができる別の方法としては、膜組成が垂直に傾斜しており、結果として深さ依存性のEUV感光性を得ることができる膜の作成が挙げられる。高い吸収係数を有する均一なPRでは、膜の深さ全体にわたって光強度が減少するため、底部を十分に露光させるために、より高いEUVドーズが必要となる。高いEUV吸収率を有する原子の密度を、膜上部よりも膜底部で増加させることによって(すなわち、EUV吸収増加の勾配を形成することによって)、利用可能なEUV光子をより効率的に利用することが可能になり、また吸収(及び二次電子の効果)をより均一に吸収性の高い膜の底部に分布させることができる。
PR膜の垂直組成勾配を操作する方法は、特に、CVD及びALDなどの乾式堆積法に適用可能であり、堆積中に異なる反応物間の流量比を調整することによって実現できる。操作可能な組成勾配の例としては、異なる高吸収金属間の比、EUV分解可能な嵩高い基を有する金属原子の割合、高吸収元素(Te及びIなど)を含むカウンター反応物又は嵩高い基の割合、及びこれらの組み合わせが含まれる。垂直勾配膜のさらなる議論は、2020年6月24日に出願された公報PCT/US20/70172で述べられており、本公報は、これらの方法及び装置の開示に関して、参照により本明細書に組み込まれる。
裏面/ベベル洗浄:
従来の湿式、例えば、スピンオン処理、又は本明細書に記載されるような乾式堆積のいずれかによってEUVフォトレジスト膜を基板に塗布する際に、ウェハのベベルエッジ及び/又は裏面上に意図しないレジスト材料の堆積が生じることがある。このベベルエッジ及び裏面の堆積は、パターニング(スキャナ)及び現像ツールの汚染を含む下流での処理において問題を引き起こし得る。従来、このベベルエッジ及び裏面の堆積の除去は、湿式洗浄技術によって行われている。
スピンコートされた有機金属フォトレジストを洗浄するための最新技術は湿式洗浄処理である。ウェハの表裏両面のウェット・トラック上でエッジビード除去(EBR)を行い、ウェハの表裏両面のエッジ上にノズルを配置し、ウェハを回転させながら溶媒を吐出する。有機溶媒(例えば、PGME、PGMEA、2-ヘプタノン)がエッジ上のフォトレジストを溶解し、ベベルエッジ領域を洗浄する。裏面が汚染されている場合、ウェハは、その裏面洗浄のために別の湿式洗浄ステーションに送られる必要がある。スピンコーティングでは、チャックに接触するウェハ領域は一般的にクリーンなままであり、常に別途裏面洗浄が行われるとは限らない。金属汚染を低減するためには、希フッ化水素酸(dHF)、希塩酸(dHCl)、希硫酸又は標準洗浄1(SC-1)などの追加洗浄が必要な場合がある。一般的に、EUVスキャナの前に、裏面スクラブが実行される。
湿式洗浄処理に使用される溶剤は、本来、取得コストと廃棄コストが高いという問題がある。このような溶剤は環境に有害となり、健康上の問題を引き起こす危険もある。湿式洗浄処理は、ベベルエッジ領域上のEUVレジスト材料の除去の均一性によって限定的となる可能性がある。つまり表面張力と水蒸気のせいで除去が波状となってしまうことが多いため、ベベルエッジ領域でのEUVレジスト材料除去は不十分となる。さらに、有機溶剤を使用するバックスプラッシュは、ウェハの前面に欠陥を生じさせる可能性がある。湿式洗浄処理は、一般的に独立したツール/チャンバ内で行われるため、堆積後にウェハをツール/チャンバ間で移送する必要がある。これにより、裏面及び/又はベベルエッジ洗浄に使用されるツール/チャンバが汚染される可能性がある。
ここで、半導体基板の裏面及び/又はベベルエッジを洗浄するための洗浄プロセスについて説明する。裏面及び/又はベベルエッジ洗浄において、EUVレジスト膜を非選択的にエッチングすることで、基板の裏面及びベベルエッジ上の様々な度合いで酸化又は架橋された膜を等しく除去することができる。EUVパターニング可能膜の塗布中に、湿式堆積処理又は乾式堆積処理のいずれかによって、基板のベベルエッジ及び/又は裏面上に意図せずレジスト材料の堆積が生じることがある。この意図しない堆積は、パーティクルを誘発する可能性があり、パーティクルは、後に半導体基板の上面に移動してパーティクル欠陥の原因となり得る。また、このベベルエッジ及び裏面の堆積は、パターニング(スキャナ)及び現像ツールの汚染を含む下流での処理において問題を引き起こす可能性もある。従来、このベベルエッジ及び裏面の堆積の除去は、湿式洗浄技術によって行われている。スピンコーティングされたフォトレジスト材料については、このプロセスはエッジビード除去(EBR)と呼ばれ、基板が回転している間にベベルエッジの上下から溶媒の流れを誘導することによって行われる。同じプロセスを、蒸着技術によって堆積された可溶性有機スズ酸化物系のレジストに適用することもできる。
基板のベベルエッジ及び/又は裏面洗浄は、乾式洗浄プロセスで行うこともできる。いくつかの実施形態では、乾式洗浄プロセスは、以下のガス:HBr,HCl,HI,BCl3,SOCl2,Cl2,BBr3,H2,O2,PCl3,CH4、メタノール、アンモニア、ギ酸、NF3、HFの1つ以上を有する水蒸気及び/又はプラズマを使用する。いくつかの実施形態では、乾式洗浄プロセスにおいて、本明細書に記載される乾式現像プロセスと同じ化学反応を使用できる。例えば、ベベルエッジ及び裏面洗浄は、ハロゲン化水素現像化学反応を使用することができる。裏面及びベベルエッジ洗浄プロセスでは、水蒸気及び/又はプラズマは、基板の特定の領域のみに限定されなければならず、このようにすることで、基板の前面における膜の劣化を回避して、裏面及びベベルのみが確実に除去される。
プロセス条件は、ベベルエッジ及び裏面洗浄のために最適化されてもよい。いくつかの実施形態では、より高い温度、より高い圧力、及び/又はより高い反応物の流量によって、エッチング速度を増大させることができる。乾式ベベルエッジ及び裏面洗浄のための適切なプロセス条件としては、100~10000sccmの反応物流量(例えば、500sccmのHCl、HBr、HI、又はH2及びCl2又はBr2、BCl3又はH2)、20~140℃(例えば、80℃)の温度、20~1000mTorr(例えば、100mTorr)の気圧、高周波(例えば、13.56MHz)で0~500Wのプラズマパワー、10~20秒間の時間であり、これらはフォトレジスト膜、組成、及び特性に依存する。これらの条件は、いくつかの処理反応器、例えば、カリフォルニア州フリーモントのラムリサーチ・コーポレーションから入手可能なKiyoエッチングツールに適しているが、処理反応器の能力に応じて、より広い範囲のプロセス条件を適用可能である。
ベベルエッジ及び裏面洗浄についてのさらなる議論は、2020年6月25日に出願された公報PCT/US20/70187及び/又は2020年6月25日に出願された公報PCT/US20/39615で述べられており、これらの公報は、あらゆる目的で、参照により本明細書に組み込まれる。
PRリワーク
また或いは、乾式洗浄作業は、フォトレジストの完全な除去又はフォトレジスト「リワーク」にも拡張可能である。フォトレジスト・リワークとは、元のフォトレジストが損傷又はその他の欠陥がある場合などに、塗布したEUVフォトレジストを除去し、再度半導体基板をフォトレジストの再塗布のために準備する作業である。フォトレジスト・リワークは、下地の半導体基板を傷つけずに行う必要があるため、酸素系エッチングは避けるべきである。その代わりとして、本明細書に記載されるようなハロゲン化物含有化学反応の変形を使用することができる。フォトレジスト・リワーク作業は、プロセス100の任意の段階で適用することができる。したがって、フォトレジスト・リワークは、フォトレジスト堆積後、ベベルエッジ及び裏面洗浄後、PAB処理後、EUV露光後、PEB処理後、又は現像後に実施することができる。いくつかの実施形態において、フォトレジスト・リワークでは、フォトレジストの露光領域及び非露光領域で非選択的に除去を行ってもよいが、下地層に対して選択的に除去を実施することもできる。
いくつかの実施形態では、フォトレジスト・リワークプロセスは、以下のガス:HBr,HCl,HI,BCl3,Cl2,BBr3,H2,PCl3,CH4、メタノール、アンモニア、ギ酸、NF3、HFの1つ以上を有する水蒸気及び/又はプラズマを使用する。いくつかの実施形態では、フォトレジスト・リワークプロセスにおいて、本明細書に記載される乾式現像プロセスと同じ化学反応を使用できる。例えば、フォトレジスト・リワークは、ハロゲン化水素現像化学反応を使用することができる。
プロセス条件は、フォトレジスト・リワークのために最適化されてもよい。いくつかの実施形態では、より高い温度、より高い圧力、及び/又はより高い反応物の流量によって、エッチング速度を増大させることができる。フォトレジスト・リワークのための適切なプロセス条件としては、100~500sccmの反応物流量(例えば、500sccmのHCl、HBr、HI、BCl3又はH2及びCl2又はBr2)、20~140℃(例えば、80℃)の温度、20~1000mTorr(例えば、300mTorr)の気圧、高周波(例えば、13.56MHz)で300~800W(例えば、500W)のプラズマパワー、0~200Vbのウェハバイアス(これより高いバイアスは、より硬い下地基板材料で使用する)、20秒~3分間の時間などの、EUVフォトレジストを完全に除去するのに十分な条件であり、これらはフォトレジスト膜、組成、及び特性に依存する。これらの条件は、いくつかの処理反応器、例えば、カリフォルニア州フリーモントのラムリサーチ・コーポレーションから入手可能なKiyoエッチングツールに適しているが、処理反応器の能力に応じて、より広い範囲のプロセス条件を適用可能である。
ベベルエッジ及び裏面洗浄又はPRリワークについてのさらなる議論は、2020年6月25日に出願された公報PCT/US20/39615で述べられており、本公報は、あらゆる目的で、参照により本明細書に組み込まれる。
PAB/ソフトベーク:
フォトリソグラフィープロセスは、典型的には、フォトレジストの露光領域と非露光領域との間に化学的コントラストを生ずるのに必要な化学反応を促進するために、1つ又は複数のベークステップを含む。大量生産(HVM)の場合、このようなベークステップは、典型的には、トラック上で実行される。トラックでは、予め設定された温度のホットプレート上で、大気、又は場合によってはN流の下においてウェハがベークされる。これらのベークステップ中に、ベーク雰囲気をより注意深く制御し、雰囲気中に追加の反応性ガス成分を導入することで、ドーズ量をさらに低減し、及び/又はパターン忠実度を改善できる。
本開示の様々な態様によれば、堆積後(例えば、塗布後ベーク(PAB))及び/又は露光後(例えば、露光後ベーク(PEB))の金属及び/又は金属酸化物系のフォトレジストに対する1つ又は複数の後処理によって、露光されたフォトレジストと露光されていないフォトレジストとの間の材料特性の差を増大させることができ、したがってドーズ・トゥ・サイズ(DtS)を減少させ、PRプロファイルを改善し、後続の乾式現像後のラインエッジ及び線幅ラフネス(LER/LWR)を改善することができる。PAB処理は、EUVパターニング可能膜のEUV感光性を増加させるために、熱処理、化学露光、及び水分の組み合わせを含むことができ、EUVドーズを減少させてEUVパターニング可能膜にパターンを現像する。PAB処理温度は、EUVパターニング可能膜の感応性を増加させるために調整及び最適化することができる。例えば、処理温度は、約90℃~約200℃又は約150℃~約190℃の間とすることができる。いくつかの実施形態において、PAB処理は、大気圧と真空の間の圧力及び約1~15分、例えば約2分の処理時間で行うことができる。いくつかの実施形態において、PAB処理は、約100℃~200℃の間の温度で約1分~2分間行われる。
プロセス100のブロック108では、金属含有EUVレジスト膜をEUV照射によって露光してパターンを現像する。一般的に、EUVによる露光は金属含有EUVレジスト膜の化学組成と架橋を変化させ、後続の現像のためのエッチング選択性のコントラストを実現する。
次いで、金属含有EUVレジスト膜は、典型的には比較的高真空下で、膜の一領域をEUV光に露光することによってパターニングされる。本明細書で有用なEUVデバイス及びイメージング方法には、当該技術分野で公知の方法が含まれる。特に、上述したように、膜の露光領域は、EUVパターニングにより、非露光領域に対して物理的・化学的特性が変化した領域として形成される。例えば、露光領域では、金属-炭素結合の開裂がβ-水素化物の脱離によって起こる場合があり、その結果、後続の露光後ベーク(PEB)ステップ中に、金属-酸素架橋を介して水酸化物及び架橋金属酸化物部分に変換され得る、反応性を持つアクセシブルな金属水素化物官能性を生成する。このプロセスは、ネガ型レジストとして現像用の化学的コントラストを作成するために使用できる。一般に、アルキル基中のβ-Hの数が多いほど、より感度の高い膜が得られる。これは、より分岐の多い弱いSn-C結合として説明することもできる。露光後、金属含有EUVレジスト膜をベークして、金属酸化物膜のさらなる架橋を引き起こすことができる。露光領域と非露光領域との間の特性の差は、非露光領域を溶解するため、又は露光領域上に材料を堆積するためなどの後続の処理において利用することができる。例えば、乾式法を用いてパターンを現像して、金属酸化物含有マスクを形成することができる。
特に、様々な実施形態において、表面上に存在する炭化水素末端酸化スズは、特にEUVを使用して露光が真空中で行われる場合、イメージング層の露光領域において水素末端酸化スズに変換される。しかしながら、露光されたイメージング層の真空から空気中への除去、又は酸素、オゾン、H22、又は水の制御された導入によって、表面Sn-HがSn-OHへ酸化され得る。露光領域と非露光領域との間の特性の差は、例えば、照射領域、非照射領域、又はその両方を1つ又は複数の試薬と反応させて、イメージング層に材料を選択的に添加したり、イメージング層から材料を除去したりするなど、後続の処理において利用することができる。
本技術のメカニズム、機能又は有用性を制限するものではないが、例えば、10mJ/cm2~100mJ/cm2の線量でEUV露光を行うと、Sn-C結合が開裂してアルキル置換基が失われ、その結果、立体障害が緩和され、低密度膜が崩壊する。さらに、β-水素化物脱離反応で生成された反応性金属-H結合が、膜中のヒドロキシルなどの隣接する活性基と反応して、さらなる架橋及び高密度化をもたらし、露光領域と非露光領域の間に化学的コントラストを生成する。
金属含有EUVレジスト膜をEUV光に露光した後、フォトパターニングされた金属含有EUVレジストが得られる。フォトパターニングされた金属含有EUVレジストは、EUV露光領域と非露光領域とを含む。
いくつかの実施形態では、露光後ベーク(PEB)を実施し、フォトパターニングされた金属含有EUVレジストのエッチング選択性におけるコントラストをさらに増大させる。フォトパターニングされた金属含有EUVレジストは、様々な化学種の存在下で熱処理して、EUV露光領域の架橋を促進するか、又は単に大気中においてホットプレート上で、例えば、150℃~250℃で1~5分間(例えば、190℃で2分間)、ベークされてもよい。
様々な実施形態において、ベーク法には、ベーク環境の慎重な制御、反応性ガスの導入、及び/又はベーク温度のランプレートの慎重な制御を含む。有用な反応性ガスの例としては例えば、空気、H2O,H22、水蒸気,CO2,CO,O2,O3,CH4,CH3OH,N2,H2,NH3,N2O,NO,アルコール、アセチルアセトン、ギ酸、Ar、He、又はそれらの混合物などが挙げられる。PEB処理は、(1)EUV露光時に発生する有機フラグメントの完全蒸発、(2)EUV露光により発生したSn-H、Sn-Sn、又はSnラジカル種の金属水酸化物への酸化、(3)隣接するSn-OH基間の架橋の促進を可能とし、より高い密度で架橋したSnO2様ネットワークを形成するための処理である。EUVリソグラフィーの最適な性能を実現するために、ベーク温度は慎重に選択される。PEBの温度が低すぎると、架橋が不十分となり、結果として所与のドーズで現像する際の化学的コントラストが低くなる。また、PEB温度が高すぎると、非露光領域(この例では、マスクを形成するためにパターン形成された膜の現像により除去される領域)での激しい酸化や膜の収縮、さらに、フォトパターニングされた金属含有EUVレジストと下地層間のインターフェースでの望ましくない相互拡散などの悪影響があり、これらはいずれも化学的コントラストの消失や不溶性のスカムによる密度欠陥の増加の一因となる。PEB処理温度は、約100℃と約300℃の間、約170℃と約290℃の間、又は約200℃と約240℃の間であり得る。いくつかの実施形態では、PEB処理は、大気圧と真空との間の圧力、及び約1分~15分、例えば約2分の処理時間で実施され得る。いくつかの実施形態では、エッチングの選択性をさらに高めるために、PEB熱処理を繰り返し行ってもよい。
さらに、PAB又はPEB処理のいずれかにおけるベーク温度のランプレートも、架橋/エッチング選択性の結果を微調整するために操作が可能な有用なプロセスパラメータである。あるいは、PAB及びPEBの熱処理は、各動作に対して、周囲ガス又は混合物、温度、圧力などの異なるプロセス条件を用いて、単一の動作又は複数の動作として行うことで、PR特性を調節し、その結果異なるエッチング選択性を調節することもできる。
代替案としての実施形態では、塗布後処理及び露光後処理のいずれか又は両方が、金属含有フォトレジストと反応してその材料特性を変更するためのラジカルを生成するために、熱処理と共に、又はその代わりに、遠隔プラズマプロセスを含み得る。このような実装形態では、ラジカルは、同一又は異なるガス種から生成され得る。
様々な実施形態において、金属含有フォトレジストの材料特性を変更するために金属含有フォトレジストに対して行われる処理は、金属含有フォトレジストをEUVリソグラフィー(例えば、PAB及びPEB)に曝露する処理に先行かつ後続する。PAB及びPEB作業のさらなる議論は、2020年2月4日に出願された米国特許出願62/970,020、及び2020年6月24日に出願された公報PCT/US20/70171で述べられており、これらの公報は、あらゆる目的で、参照により本明細書に組み込まれる。
EUVスキャナ:
極端紫外線(EUV)リソグラフィーは、現行のフォトリソグラフィー方法によって達成可能なものよりさらに小さな画像源波長へ移行することで、その光学的限界を超えてリソグラフィー技術を拡大することができ、小さな臨界寸法のフィーチャのパターニングを可能とする。波長約13.5nmのEUV光源は、スキャナとも呼ばれる最先端のリソグラフィツールに使用することができる。EUV照射は、石英や水蒸気を含む広範囲の固体や流体材料に強く吸収されるため、真空中で動作する。
また、本技術は、イメージング層のある領域をEUV、DUV又は電子ビームなどに露光することによって、イメージング層をパターニングする方法を提供する。このようなパターニングでは、イメージング層の1つ又は複数の領域に照射を集中させる。露光は、通常、イメージング層膜が、1つ又は複数の非露光領域を有するように行われる。結果として得られるイメージング層は、複数の露光領域及び非露光領域を有し、後続の基板処理において基板への材料の添加又は除去によって形成される半導体デバイスのトランジスタ又は他のフィーチャの作成と一致するパターンを得る。本明細書において有用なEUV、DUV、電子ビームの照射方法及び照射装置には、当技術分野で既知の方法及び装置が含まれる。
本明細書は、膜形成(堆積/凝結)と光リソグラフィーを組み合わせ、その結果EUVリソグラフィー(EUVL)性能(例えば、ラインエッジラフネスの低減など)が大幅に改善された真空統合金属ハードマスクプロセス及び関連する真空統合ハードウェアを開示する。
本明細書の様々な実施形態では、例えば凝結のような堆積プロセス(例えば、Lam Vector(登録商標)のようなPECVDツールで行われるALD又はMOCVD)は、例えばEUVL光源の波長(例えば、13.5nm=91.8eV)で(例えば、約10~20nmの波長の)EUVにおいて吸収の強い金属含有膜(感光性の金属塩又は金属含有有機化合物(有機金属化合物)など)の薄膜を形成するために用いられ得る。この膜は、EUV露光によって光分解し、後続の(例えば、Lam 2300(登録商標)Kiyo(登録商標)のような導体エッチングツールにおける)エッチングでのパターン転写層となる金属マスクを形成する。
堆積に続いて、EUVパターニング可能な薄膜を、典型的には比較的高い真空下において、EUV光のビームに露光し、パターン形成を行う。EUV露光のため、金属含有膜は、リソグラフィプラットフォーム(例えば、ASML社(オランダ、Veldhoven)によって提供されるTWINSCAN NXE:3300B(登録商標)プラットフォームのようなウェハステッパ)と一体化したチャンバ内で堆積され、露光前に反応しないように真空下で搬送されてもよい。EUVLにはH2O,O2などの周囲ガスによる入射光子の強力な光吸収を伴う大幅な減圧も必要であるため、リソグラフィツールとの統合が容易になる。他の実施形態では、感光性金属膜堆積とEUV露光は、同じチャンバで実施され得る。
なお、本開示は基本的なパターニング技術としてEUVLを参照しているが、代替的実施形態においては電子、イオン、又は中性種の集束ビームを用いてブランケットマスク上にパターンを直接書き込むことも可能である。これらの工程もまた真空で実施される。EUVLシステムの反射光学系上に副生成物が凝結する場合は、その場(in situ)のチャンバ洗浄が用いられてもよい。
特に、上述したように、膜の領域は、EUVパターニングにより、非露光領域に対して物理的・化学的特性が変化した領域として形成される。例えば、露光領域では、金属-炭素結合がβ-水素化物の脱離によって開裂し、その結果、金属-酸素架橋によって、水酸化物及び架橋金属酸化物部分に変換され得る反応性かつアクセシブルな金属水素化物官能性を生成し得る。これにより、ネガ型レジスト又はハードマスクのテンプレートとしての化学的コントラストが作成できる。一般に、アルキル基中のβ-Hの数が多いほど、より感度の高い膜が得られる。露光後、膜をベークして、金属酸化物膜をさらに架橋させることができる。
本技術のメカニズム、機能又は有用性を制限するものではないが、例えば、10mJ/cm2~100mJ/cm2の線量でEUV露光を行うと、立体障害が緩和され得るため、低密度膜が崩壊する空間ができる。さらに、β-水素化物脱離反応で生成された反応性金属-H結合が、膜中のヒドロキシルなどの隣接する活性基と反応して、さらなる架橋及び高密度化をもたらし、露光領域と非露光領域の間に化学的コントラストを生成する。
本明細書で述べた膜堆積及びリソグラフィープロセスの真空統合と装置は、EUV感光性金属膜の堆積と、その後の、分解又は変質を避けるために真空環境で行われる直接EUV露光によるパターニングを提供する。EUVLは、周囲ガスの光吸収による13.5nmの入射光束の変質を避けるため、真空で行われる。記載した真空統合ハードマスクプロセスの利点としては、EUVシステムの真空操作によって酸素及び湿度感応性のある化合物を用いる可能性が広がることと、こういった材料の使用が堆積システムとEUVシステムが真空統合された装置によって可能になることである。金属前駆体の光分解は非線形反応を作成し、金属膜の吸着の増加によって光分解が促進される。金属は、フォトレジストよりも、高エネルギーの二次電子の熱化に優れているため、コントラスト又はLERを高める。金属膜を直接マスクとして又はパターン増幅して用いることで、はるかに薄い膜が形成でき、また要求される露光時間の削減が可能になる。金属膜はエッチングにとってより望ましいハードマスクとなり、マスクの観点において必要となる厚みを減少できる。さらに、EUVの真空及び光学系に適合する材料、金属堆積に適したドーズ閾値を有する有機金属前駆体、所定空間の核生成部位を除去するための複数の光分解事象を有する核生成膜のさらなる開発及び最適化を、本明細書に述べた処理に従って進めることができる。
リソグラフィー照射の操作に関するさらなる議論は、2015年1月30日に出願された米国特許出願14/610,038で述べられており、本公報は、あらゆる目的で、参照により本明細書に組み込まれる。
露光後ベーク:
上記の通り、フォトリソグラフィープロセスは、典型的には、フォトレジストの露光領域と非露光領域との間に化学的コントラストを生ずるのに必要な化学反応を促進するために、1つ又は複数のベークステップを含む。ベークは、イメージング層の堆積後/EUV露光前(例えば、塗布後ベーク(PAB))及び/又はEUV露光(例えば、露光後ベーク(PEB))に実施され得る。
様々な実施形態において、ベーク法は、ベーク環境の慎重な制御、反応性ガスの導入、及び/又はベーク温度のランプレートの慎重な制御を含む。いくつかの実施形態では、上述したPEB法が使用され得る。このような方法は、特に金属酸化物系のEUVフォトレジスト(PR)に有用である。
典型的なEUVリソグラフィーのワークフローでは、スピンオン金属酸化物レジスト材料は、通常、1)膜に吸収された残留溶媒を蒸発させるためのレジスト塗布後のベーク工程と、2)EUV露光後のベーク工程、の二つの工程を経る。2度目のベークは、一般的にPEBと呼ばれるもので、以下のような複数の目的に使用できるように設計されている。1)EUV露光中に発生する有機フラグメントを完全に蒸発させる、2) 金属水素化物種(EUV露光中のβ-H脱離反応によるもう一つの生成物)を水酸化金属に酸化する、3)隣接する-OH基間の架橋を促進して架橋金属酸化物ネットワークを形成する。EUVリソグラフィーの最適な性能を実現するために、ベーク温度は慎重に選択される。PEBの温度が低すぎると、有機フラグメントの除去が不十分となり、また架橋も不十分となり、結果として所与のドーズで現像する際の化学的コントラストが低くなる。また、PEB温度が高すぎると、非露光領域(この例では、マスクを形成するためにパターン形成された膜の現像により除去される領域)での激しい酸化や膜の収縮、さらに、PRと下地層間の界面での望ましくない相互拡散などの悪影響があり、これらはいずれも化学的コントラストの消失や不溶性のスカムによる密度欠陥が増加する一因となる。ベーク温度とベーク時間しか制御できないため、調整可能性とプロセスウィンドウはしばしば非常に限られる。
本明細書で述べるように、PEBプロセス中のベーク環境及び反応性ガス種の導入に関する慎重な制御は、架橋プロセスを微調整するための追加の化学的制御を可能にするものである。PR材料における架橋挙動のキネティクスが調整可能となれば、相互拡散やその他の関連する欠陥形成メカニズムを最小化でき、リソグラフィー性能のさらなる最適化を可能にする広いプロセスウィンドウを提供できる。さらに、ベーク温度のランプレート及び圧力(大気圧以下)の制御もまた、架橋プロセスを微調整するために操作可能な有用なプロセスパラメータである。
PAB及びPEB作業のさらなる議論は、2020年2月4日に出願された米国特許出願62/970,020、及び2020年6月24日に出願された公報PCT/US20/70171で述べられており、これらの公報は、あらゆる目的で、参照により本明細書に組み込まれる。
EUV露光後のパターン現像:
EUV露光と、場合によってはPEBの後、イメージング層の露光領域と非露光領域の間の選択性を利用して、乾式現像、湿式現像、あるいは領域選択的ALDを行う。例えば、乾式又は湿式の現像プロセスにより、非露光領域を除去し、露光領域を残すことができる。EUV露光後のイメージング層の処理は、基板材料や、その基板を用いて形成される半導体デバイスの所望の特徴に依存する。例えば、膜において、パターン形成された露光ツールによって定義された露光部(ポジ型)又は非露光部(ネガ型)のいずれかを、乾式又は液体現像液に選択的に溶解可能とするなど、様々なリソグラフィー技術によって、基板上にフィーチャを形成できる。
乾式現像では、性能向上(湿式現像での表面張力によるライン崩壊の防止など)、スループットの強化(湿式現像トラックの使用の回避など)などが期待できる。乾式現像及び/又はオール乾式処理のその他の利点としては、有機溶剤現像剤が不要であること、接着の問題に対する感度を低減すること、EUV吸収量を増やしてドーズ効率を改善すること、及び溶解度に基づく制限を受けないことなどが考えられる。
EUVフォトレジスト乾式現像:
上述したように、膜の露光領域は、EUVパターニングにより、非露光領域に対して物理的・化学的特性が変化した領域として形成される。例えば、露光領域では、金属-炭素結合がβ-水素化物の脱離によって開裂し、その結果、金属-酸素架橋によって、水酸化物及び架橋金属酸化物部分に変換され得る反応性かつアクセシブルな金属水素化物官能性を生成し得る。これにより、ネガ型レジスト又はハードマスクのテンプレートとしての化学的コントラストが作成できる。一般に、アルキル基中のβ-Hの数が多いほど、より感度の高い膜が得られる。露光後、膜をベークして、金属酸化物膜をさらに架橋させることができる。
露光領域と非露光領域との間の特性の差は、非露光領域を溶解したり露光領域上に材料を堆積するための後続の処理において利用することができる。例えば、乾式法を用いてパターンを現像して、金属酸化物含有マスクを形成することができる。そのようなプロセスにおいて有用な方法及び装置は、2019年12月19日出願の公報PCT/US2019/067540、2020年6月25日出願の公報PCT/US20/39615、及び2019年10月8日出願の米国特許出願第62/912,330号に記載されており、これらの公報は、その方法及び装置の開示については、参照により本明細書に組み込まれる。
熱現像プロセスでは、フォトパターニングされた金属含有EUVレジストを、露光領域と非露光領域の間のエッチング選択性の観点から最適な温度で現像化学反応に曝露する。温度が低いとエッチング選択性のコントラストが高くなり得る一方、温度が高いとエッチング選択性のコントラストが低くなり得る。いくつかの実施形態では、温度は、約-60℃と約120℃の間、約-20℃と約60℃の間、又は約-20℃と約20℃の間、例えば約-10℃であり得る。チャンバ圧は調整可能であり、チャンバ圧は現像中の露光領域と非露光領域の間のエッチング選択性に影響を与え得る。いくつかの実施形態では、チャンバ圧は比較的低く、希釈は伴なわず、チャンバ圧は、約0.1mTorr(約13.3322mPa)と約300mTorr(約39996.7mPa)の間、約0.2mTorr(約26.6645mPa)と約100mTorr(約13332.2mPa)の間、又は約0.5mTorr(約66.6612mPa)と約50mTorr(6666.12mPa)の間であり得る。いくつかの実施形態では、チャンバ圧は、約20mTorr(約2666.45mPa)と約800mTorr(約106658mPa)の間、又は約20mTorr(約2666.45mPa)と約500mTorr(約66661.2Pa)の間、例えば約300mTorr(約3999.67mPa)であり得る。いくつかの実施形態では、チャンバ圧は、高流量で希釈を伴って比較的高くてもよく、チャンバ圧は、約100Torr(約13332.2Pa)と約760Torr(約101325Pa)の間、又は約200Torr(26664.5Pa)と約760Torr(約101325Pa)の間であり得る。反応物の流量は調整可能であり、反応物の流量は、現像時に露光領域と非露光領域の間のエッチング選択性に影響を与え得る。いくつかの実施形態では、反応物の流れは、約50sccmと約2000sccmの間、約100sccmと約2000sccmの間、又は約100sccmと約1000sccmの間、例えば約500sccmであり得る。高流量の場合、反応物の流量は約1Lから約10Lの間とできる。露光持続時間は、熱現像プロセスにおいて調整可能である。露光持続時間は、除去したいレジストの量、現像化学反応、レジストの架橋量、レジストの組成や性質、その他の要因に依存し得る。いくつかの実施形態では、露光の持続時間は、約5秒と約5分の間、約10秒と約3分の間、又は約10秒と約1分の間であり得る。
熱現像プロセスでは、フォトパターニングされた金属含有EUVレジストを、気相又は液相の特定のハロゲン化物含有化学反応に供し得る。いくつかの実施形態では、現像化学反応は、ハロゲン化水素、水素・ハロゲンガス、三塩化ホウ素、有機ハロゲン化物、ハロゲン化アシル、ハロゲン化カルボニル、ハロゲン化チオニル、又はそれらの混合物を含んでなる。ハロゲン化水素としては、HF、HCl、HBr、HIなどを挙げることができるが、これらに限定されない。例えば、ハロゲン化水素は、HCl又はHBrであり得る。水素・ハロゲンガスとしては、水素ガス(H2)にF2,Cl2,Br2,又はI2を混合したものなどを挙げることができるが、これらに限定されない。三塩化ホウ素(BCl3)は、前述のハロゲン化水素又は水素・ハロゲンガスのいずれかと組み合わせて使用できる。有機ハロゲン化物としては、Cxyz,CxyClz,CxyBrz、及びCxyz(x、y、zは0以上の値である)などを挙げることができるが、これらに限定されない。ハロゲン化アシルとしては、CH3COF,CH3COCl,CH3COBr、及びCH3COIなどを挙げることができるが、これらに限定されない。ハロゲン化カルボニルとしては、COF2,COCl2,COBr2、及びCOI2などを挙げることができるが、これらに限定されない。ハロゲン化チオニルは、SOF,SOCl2,SoBr2、及びSOI2などを挙げることができるが、これらに限定されない。いくつかの実施形態では、ハロゲン化物含有化学反応は、He、Ne、Ar、Xe、及びN2などの不活性/キャリアガスと共に、又はそれらを使用せずに行い得る。
熱現像プロセスは、プラズマを使用せずに行い得る。非プラズマ熱アプローチを適用することにより、低コストの熱真空チャンバ/オーブン内で、複数のウェハをバッチとして同時に現像することができるため、生産性を大幅に向上させることができる。しかしながら、いくつかの実施形態において、熱現像プロセスの後にプラズマへの曝露が行われてもよい。後続のプラズマへの曝露は、脱着、スカム除去、スムージング、又は他の処理操作のために行われてもよい。
プラズマ現像プロセスにおいて、フォトパターニングされた金属含有EUVレジストは、1つ又は複数のガスのラジカル/イオンを含む現像化学反応に曝露される。半導体基板処理のためのプロセスチャンバは、プラズマ生成チャンバであってもよいし、プロセスチャンバと分離されたプラズマ生成チャンバに結合されていてもよい。乾式現像は、いくつかの実施形態では、遠隔プラズマによって行い得る。プラズマ生成チャンバは、誘導結合プラズマ(ICP)リアクタ、トランス結合プラズマ(TCP)リアクタ、又は容量結合プラズマ(CCP)リアクタであってもよく、当技術分野で公知の装置及び技術を使用する。電磁場が1つ又は複数のガスに作用して、プラズマ生成チャンバ内にプラズマを生成する。遠隔プラズマからのイオン及び/又はラジカルは、フォトパターニングされた金属含有EUVレジストと相互作用し得る。いくつかの実施形態では、真空ラインが圧力制御のためにプロセスチャンバに結合され、現像化学反応ラインがプラズマ生成チャンバに結合されて、1つ又は複数のガスのプラズマ生成チャンバ内への送達を可能にしている。プロセスチャンバは、例えば、基板温度制御用にプロセスチャンバ内の基板支持体に結合されたヒーターなど、温度制御のための1つ又は複数のヒーターを含み得る。いくつかの実施形態では、プロセスチャンバ内部は、有機ポリマー又は無機コーティングなどの耐食性膜でコーティングされていてもよい。そのようなコーティングの1つとしては、ポリテトラフルオロエチレン(PTFE)、例えば、テフロン(登録商標)1Mが挙げられる。このような材料は、プラズマ曝露による除去のリスクなしに、本開示の熱プロセスにおいて使用することができる。
プラズマ現像プロセスでは、フォトパターニングされた金属含有EUVレジストを、露光領域と非露光領域との間のエッチング選択性の上で最適な条件下で遠隔プラズマに曝露する。この条件は、緩やかなプラズマの生成のために最適化することができ、緩やかなプラズマは高圧と低電力によって実現可能である。チャンバ圧は調整可能であり、チャンバ圧は、現像時に露光領域と非露光領域の間のエッチング選択性に影響を与え得る。いくつかの実施形態では、チャンバ圧は、約5mTorr(約666.612mPa)以上、又は約15mTorr(約1999.84mPa)以上であり得る。いくつかの実施形態では、チャンバ圧は、高流量で希釈を伴って比較的高くてもよく、チャンバ圧は、約100Torr(約13332.2Pa)と約760Torr(約101325Pa)の間、又は約200Torr(約26664.5Pa)と約760Torr(約101325Pa)の間であり得る。RF電力レベルは調整可能であり、RF電力は、エッチング選択性、ラフネス、スカム除去、及びその他の現像特性に影響を及ぼし得る。いくつかの実施形態では、RF電力は、約1000W以下、約800W以下、又は約500W以下であり得る。温度は調整可能であり、温度はエッチング選択性などの現像の様々な側面に影響を及ぼし得る。いくつかの実施形態では、温度は、約-60℃と約300℃の間、約0℃と約300℃の間、又は約30℃と約120℃の間であり得る。ガス流量は調整可能であり、ガス流量は現像中の露光領域と非露光領域の間のエッチング選択性に影響を与え得る。いくつかの実施形態では、ガス流量は、約50sccmと約2000sccmの間、約100sccmと約2000sccmの間、又は約200sccmと約1000sccmの間、例えば約500sccmなどであり得る。露光持続時間は、プラズマ現像プロセスにおいて調整可能である。露光持続時間は、除去したいレジストの量、現像化学反応、レジストの架橋量、レジストの組成や性質、その他の要因に依存し得る。いくつかの実施形態では、露光持続時間は、約1秒と約50分の間、約3秒と約20分の間、又は約10秒と約6分の間であり得る。
プラズマ現像プロセスでは、フォトパターニングされた金属含有EUVレジストを特定のハロゲン化物含有ガスのラジカルに曝露し得る。いくつかの実施形態では、ラジカルは遠隔プラズマ源から生成される。例えば、プラズマ現像では、フォトパターニングされた金属含有EUVレジストを遠隔プラズマ源から生成された水素・ハロゲン化物ガスのラジカルに曝露し得る。いくつかの実施形態では、ハロゲン化物含有ガスは、ハロゲン化水素、水素・ハロゲンガス、三塩化ホウ素、有機ハロゲン化物、ハロゲン化アシル、ハロゲン化カルボニル、ハロゲン化チオニル、又はそれらの混合物を含む。ハロゲン化水素は、フッ化水素(HF)、塩化水素(HCl)、臭化水素(HBr)及びヨウ化水素(HI)を含み得るが、これらに限定されない。例えば、ハロゲン化水素はHCl又はHBrであり、水素・ハロゲンガスとしては、水素ガス(H2)にフッ素ガス(F2)、塩素ガス(Cl2)、臭素ガス(Br2)、又はヨウ素ガス(I)を混合したものなどを含み得るが、これらに限定されない。有機ハロゲン化物としては、Cxyz,CxyClz,CxyBrz、及びCxyz(x、y、zは0以上の値である)などを挙げることができるが、これらに限定されない。ハロゲン化アシルとしては、CH3COF,CH3COCl,CH3COBr、及びCH3COIなどを挙げることができるが、これらに限定されない。ハロゲン化カルボニルとしては、COF2,COCl2,COBr2、及びCOI2などを挙げることができるが、これらに限定されない。ハロゲン化チオニルは、SOF2,SOCl2,SoBr2、及びSOI2などを挙げることができるが、これらに限定されない。いくつかの実施形態では、ハロゲン化物含有ガスは、He、Ne、Ar、Xe、及びN2などの不活性/キャリアガスと共に、又はそれらなしで供給され得る。
スカム除去/スムージング/キュアリング:
いくつかの場合においては、スカム(クラスタのように、高金属濃度を有する可能性が高い、例えば、現像後のEUVレジストの非露光領域の開放部分の材料)、又はラフネス(現像されたパターンにおけるエッチングされたフィーチャの側壁上にある同じ組成)が存在し得る。これらの問題はどちらも、主に、光の非最適ガウス分布やストキャスティックに起因しており、その結果レジストが未露光のままであるべき領域に、部分的又は完全に露光された材料が生じる。この逆もまた起こり得る。
脱スカム処理及びスムージング動作のプロセス条件は、現像時又は現像後に制御し得る。いくつかの実施形態では、反応物流量は、約50sccmと約1000sccmとの間、又は約100sccmと約500sccmとの間、例えば約500sccmHeであり得る。いくつかの実施形態において、温度は、約-60℃と約120℃の間、約-20℃と約60℃の間、又は約20℃と約40℃の間、例えば約20℃であり得る。いくつかの実施形態において、チャンバ圧は、約1mTorr(約133.322mPa)と約300mTorr(約39996.7mPa)との間、約5mTorr(約666.612mPa)と約100mTorr(約13332.2mPa)との間、約5mTorr(約666.612mPa)と約20mTorr(約2666.45mPa)との間、例えば、約10mTorr(約1333.22mPa)であり得る。イオンエネルギーが高くても、プラズマパワーが比較的低い場合もあり得る。いくつかの実施形態では、プラズマパワーは、約50Wと約1000Wの間、約100Wと約500Wの間、又は約100Wと約300Wの間、例えば約300Wであり得る。いくつかの実施形態では、ウェハバイアスは約10Vと約500Vの間、約50Vと約300Vの間、例えば約200Vであり得る。プラズマは、高いRF周波数を使用して生成可能である。いくつかの実施形態では、RF周波数は13.56MHzである。不活性ガスプラズマへの曝露時間は、プラズマ曝露中の紫外線への過剰な曝露を避けるために、比較的短くできる。いくつかの実施形態では、露光持続時間は、約0.5秒と約5秒の間、約1秒と約3秒の間、例えば、約2秒である。
He脱離スカム除去及び未露光レジスト残留物の洗浄は、露光されたレジストを硬化させ、それにより下地の基板をエッチングするための後続の操作において、そのハードマスク機能を強化するという付随的な利益をもたらし得る。このレジスト硬化は、EUV露光されたレジストをHeプラズマによって生成されたUVに露光することによって達成され、バイアスをオフにしてスカム除去/スムージングが完了した後も継続することができる。Heプラズマ硬化は、スカム除去/スムージングが必要とされないか又は実施されない場合に、代わりに実施されてもよい。
いくつかの実施形態では、結果を向上させるために、上述したように、Heプラズマスカム除去/スムージングを乾式現像と循環的に行うことができる。このようにして、例えば、パターンの非露光領域の有機成分の大部分を乾式現像によって除去し、次いで、短いHeプラズマ操作によって表面で濃縮された金属の一部を除去することで、残留する下層の有機材料へのアクセスを可能にし、それらを次の乾式現像操作/サイクルで除去することができる。Heプラズマの別のサイクルを使用して、すべての残留金属を除去し、クリーンでスムースなフィーチャ表面を得ることができる。このサイクルは、残留スカム及びラフネスの全て又は実質的に全てが除去され、クリーンでスムースなフィーチャ表面が得られるまで継続することができる。
いくつかの実施形態において、He脱離スカム除去及びスムージングは、湿式現像プロセスと共に行い得る。湿式現像は非常に高い選択性を有し、明瞭なオン/オフ挙動を示すことが知られている。しかし、その結果、湿式現像プロセスでは、誤って部分的又は完全に露光された領域を除去することができない。このような残留物が湿式現像プロセス後に残り、スカム形成及び高いラインエッジ及びライン幅ラフネスを生じさせる。興味深いことに、乾式現像プロセスでは、エッチング速度及び選択性が複数の制御因子(例えば、時間、温度、圧力、ガス/流量)に基づいて調整され得るため、このような調整性を有する乾式現像プロセスは、こういった部分的に露光された残留物を除去することによるスカム除去及び金属含有レジストラインのスムージングにも適用され得る。
装置:
現在のEUVレジストコーティング技術では、大気中で塗布を行うスピンオンレジストが一般的に使用される。本技術によって、大気の制御や影響には関与せず、積層膜全体で1種類の化学混合物のみを塗布することができる。
以下の図#AW及び#AXに示すチャンバは、EUVレジストの乾式堆積を可能にする多数の要素を組み込んでおり、これには、+/-0.5Cまでウェハ基板の温度制御を行うための4ゾーン静電チャック、及びデュアルプレナムガス分配板に配管された4前駆体水蒸気送出システムが含まれる。
様々な実施形態において、チャンバ、ガス分配板、及び関連する送出配管はすべて、前駆体のいずれかの凝結を防ぐために加熱される。
様々な実施形態においては、必要なガス成分をチャンバに供給するためのガスボックスも組み込まれる。
様々な実施形態において、ESCはチャンバの洗浄力を高めるためにRFパワーで駆動される。
様々な実施形態において、ゲートバルブ及び全ての内部チャンバ構成要素は、ウェハ表面以外の堆積を防止又は最小化するために加熱される。
様々な実施形態において、ウェハの外周にガス排除リングを使用し、裏面及び上面エッジベベルへの堆積を防止する。
これらの設計の革新的な点は、異なる技術素子を1つのチャンバに結合して、所望の堆積性能を達成したことである。ウェハ温度の均一性、ガス分配板を介した化学成分の勾配分布、堆積排除リング、及びチャンバ表面の加熱は、様々な実施形態において連動し、顕著な膜特性を実現する。
いくつかの実施形態では、本明細書に記載の動作時に、デュアルプレナムフラクタル(DPF)シャワーヘッドを使用してもよい。本明細書で説明するデュアルプレナムフラクタルシャワーヘッドは、半導体処理チャンバの半導体ウェハの上方に位置する処理容積に対して、処理ガスを均一に分配するように構成され得る。その結果、例えば、プロセスガスがシャワーヘッドの中心部からしばらく流れ出た後に周辺部から流れ出るようなシャワーヘッドとは異なり、一般にウェハの表面全体がこのようなプロセスガスに同時にさらされることになるので、より均一なウェハ処理を促進し得る。DPFシャワーヘッドのさらなる詳細については、U.S特許出願第62/914,616号(タイトル:DUAL PLENUM SHOWERHEAD)で述べられており、その開示内容は、少なくともプロセスチャンバの構成に関しては、本明細書に組み込まれる。
図4は、上記で説明した乾式現像、洗浄、リワーク、スカム除去、及びスムージングの実装形態に適した低圧環境を維持するためのプロセスチャンバ本体402を有するプロセスステーション400の一実施形態の概略図である。複数のプロセスステーション400は、共通の低圧プロセスツール環境に含まれ得る。例えば、図5は、カリフォルニア州フリーモントのラムリサーチ・コーポレーションから入手可能なVECTOR(登録商標)処理ツールなどのマルチステーション処理ツール500の一実施形態を示している。いくつかの実施形態では、以下で詳細に議論されるものを含むプロセスステーション400の1つ又は複数のハードウェアパラメータは、1つ又は複数のコンピュータコントローラー450によってプログラム的に調整され得る。
プロセスステーションは、クラスタツールのモジュールとして構成され得る。図7は、本明細書に記載の実施形態の実装に適した真空統合堆積及びパターニングモジュールを有する半導体プロセスクラスタツール構造を示す図である。このようなクラスタプロセスツール構造は、図6及び図7を参照して上述及びさらに後述するように、レジスト堆積、レジスト露光(EUVスキャナ)、レジスト乾式現像及びエッチングモジュールを含み得る。
いくつかの実施形態では、乾式現像とエッチングなど、特定の処理機能を同じモジュールで連続して実行できる。本開示の実施形態は、本明細書に記載の通り、エッチングされる層又は積層上に配置されたフォトパターニングされたEUVレジスト薄層膜を含むウェハを、EUVスキャナでのフォトパターニング後に乾式現像/エッチングチャンバに受け取り、フォトパターニングされたEUVレジスト薄層膜を乾式現像し、次に、パターン形成されたEUVレジストをマスクとして用いて下層をエッチングする方法及び装置に関する。
再び図4を参照すると、プロセスステーション400は、プロセスガスを分配シャワーヘッド406に送達するための反応物送達システム401aと流体的に連通している。反応物送達システム401aには、オプションとして、シャワーヘッド406への送達のための、プロセスガスの混合及び/又は調整のための混合容器404を含む。1つ又は複数の混合容器入口弁420は、プロセスガスの混合容器404への導入を制御できる。プラズマ露光が実施される場合、プラズマは、シャワーヘッド406に供給されてもよいし、プロセスステーション400において生成されてもよい。上述したように、少なくともいくつかの実施形態では、非プラズマ熱曝露が好ましい。
図4は、混合容器404に供給される液体反応物を気化させるための任意の気化点403を含む。いくつかの実施形態では、気化及びプロセスステーション400への送達のために液体のマスフローを制御する液体流量コントローラー(LFC)が、気化点403の上流に提供され得る。例えば、LFCは、LFCの下流に位置する熱式マスフロー計(MFM)を含み得る。LFCのプランジャ弁は、MFMと電気的に通信する比例積分微分(PID)コントローラーからのフィードバック制御信号に応じて調整され得る。
シャワーヘッド406は、基板412に向けてプロセスガスを分配する。図4に示す実施形態では、基板412は、シャワーヘッド406の下に位置し、台座408の上に載置されている。シャワーヘッド406は、任意の適切な形状を有し、基板412にプロセスガスを分配するためのポートを有し、ポートは任意の適切な数及び配置で設置され得る。
いくつかの実施形態では、台座408は、基板412とシャワーヘッド406との間の容積に基板412を露出させるために上昇又は下降させてもよい。いくつかの実施形態において、台座の高さは、適切なコンピュータコントローラー450によってプログラム的に調整され得る。
いくつかの実施形態では、台座408は、ヒーター410を介して温度制御されてもよい。いくつかの実施形態において、台座408は、開示された実施形態に記載されるように、フォトパターニングされたレジストのHBr、HCl、又はBClなどの乾式現像化学反応への非プラズマ熱曝露中に、0℃より高く300℃まで、又はそれ以上の温度、例えば50~120℃、例えば約65~80℃に加熱され得る。
さらに、いくつかの実施形態において、プロセスステーション400の圧力は、バタフライ弁418によって制御され得る。図4の実施形態に示すように、バタフライ弁418は、下流の真空ポンプ(図示せず)から提供される真空のスロットルを行う。しかしながら、いくつかの実施形態では、プロセスステーション400の圧力制御は、プロセスステーション400に導入される1つ又は複数のガスの流量を変化させることによっても調整され得る。
いくつかの実施形態では、シャワーヘッド406の位置は、基板412とシャワーヘッド406との間の容積を変化させるために台座408に対して調整され得る。さらに、台座408及び/又はシャワーヘッド406の垂直位置は、本開示の範囲内の任意の適切な機構によって変化させることができる。いくつかの実施形態では、台座408は、基板412の向きを回転させるための回転軸を含み得る。いくつかの実施形態において、これらの例示的な調整の1つ又は複数は、1つ又は複数の適切なコンピュータコントローラー450によってプログラム的に実行されてもよい。
プラズマを使用し得る場合、例えば、緩やかなプラズマ系の乾式現像の実施形態及び/又は同じチャンバ内で実施されるエッチング動作において、シャワーヘッド406及び台座408は、プラズマに電力供給するための高周波(RF)電源414及び整合ネットワーク416と電気的に通信する。いくつかの実施形態では、プラズマエネルギーは、プロセスステーションの圧力、ガス濃度、RF発生源の電力、RF発生源の周波数、及びプラズマ電力パルスタイミングの1つ又は複数を制御することによって制御され得る。例えば、RF電源414及び整合ネットワーク416は、所望のラジカル種組成を有するプラズマを形成するために、任意の適切な電力で作動され得る。適切な出力の例としては、約500W以下である。
いくつかの実施形態では、コントローラー450への指示は、入出力制御(IOC)シーケンス命令を通じて提供され得る。一例として、あるプロセスフェーズの条件設定のための命令は、プロセスレシピの対応するレシピフェーズに含まれ得る。いくつかのケースでは、プロセスレシピのフェーズは連続的に配置され、あるプロセスフェーズのためのすべての命令がそのプロセスフェーズと同時に実行される。いくつかの実施形態では、1つ又は複数のリアクタパラメータを設定するための命令が、レシピフェーズに含まれ得る。例えば、レシピフェーズには、乾式現像化学反応の反応ガス、例えばHBrやHClなど、の流量を設定する命令や、レシピフェーズの時間遅延の命令を含み得る。いくつかの実施形態では、コントローラー450は、以下に説明する図5のシステムコントローラー550に関する特徴のうちのいずれかを含み得る。
上記のように、マルチステーション処理ツールは、1つ又は複数のプロセスステーションを含み得る。図5は、インバウンドロードロック502とアウトバウンドロードロック504を有するマルチステーション処理ツール500の一実施形態の概略図であり、これらのいずれか又は両方は、遠隔プラズマ源を含み得る。大気圧のロボット506は、ポッド508を介して装填されたカセットから、大気ポート510を介して、インバウンドロードロック502にウェハを移動させるように構成されている。ロボット506によって、インバウンドロードロック502の台座512にウェハが載置され、大気ポート510が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック502が遠隔プラズマ源を含む場合、ウェハは、処理チャンバ514に導入される前に、ロードロックにおいて窒化ケイ素表面処理のために遠隔プラズマ処理に供することができる。さらに、ウェハも同様にインバウンドロードロック502で加熱し、例えば、水分や吸着ガスを除去してもよい。次に、処理チャンバ514へのチャンバ移送ポート516が開かれ、別のロボット(図示せず)が、ウェハをリアクタ内に示す第1ステーションの台座上のリアクタに載置して処理が行われる。図5に示す実施形態はロードロックを含むが、いくつかの実施形態では、ウェハのプロセスステーションへの直接供給も可能である。
図示される処理チャンバ514は、図5に示す実施形態では1~4の番号が付された4つのプロセスステーションを含む。各ステーションは、加熱された台座(ステーション1については518で示す)とガスライン入口を有する。いくつかの実施形態では、各プロセスステーションは、それぞれ異なる又は複数の目的を持つことができる。例えば、いくつかの実施形態では、プロセスステーションは、乾式現像プロセスモードとエッチングプロセスモードの間で切り替え可能であり得る。加えて、又は代替的に、いくつかの実施形態において、処理チャンバ514は、乾式現像及びエッチングプロセスステーションの1つ又は複数の整合ペアを含み得る。図示された処理チャンバ514は4つのステーションを含むが、本開示による処理チャンバは任意の適切な数のステーションを有し得る。例えば、いくつかの実施形態では、処理チャンバは5つ以上のステーションを有し得る一方、他の実施形態では、処理チャンバは3つ以下のステーションを有し得る。
図5は、処理チャンバ514内のウェハを移送するためのウェハハンドリングシステム590の一実施形態を示す図である。いくつかの実施形態では、ウェハハンドリングシステム590は、種々のプロセスステーション間及び/又はプロセスステーションとロードロック間でウェハ搬送を行うことができる。ウェハハンドリングシステムは、任意の適切なものを使用できる。非限定的な例示としては、ウェハカルーセルやウェハハンドリングロボットが挙げられる。また、図5は、プロセスツール500のプロセス条件及びハードウェア状態を制御するために使用されるシステムコントローラー550の一実施形態を示す図である。システムコントローラー550は、1つ又は複数のメモリ装置556、1つ又は複数の大容量記憶装置554、及び1つ又は複数のプロセッサ552を含み得る。プロセッサ552は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続部、ステッパモータ制御盤などを備え得る。
いくつかの実施形態では、システムコントローラー550は、プロセスツール500のすべての活動を制御する。システムコントローラー550は、大容量記憶装置554に格納され、メモリ装置556にロードされ、プロセッサ552で実行されるシステム制御ソフトウェア558を実行する。あるいは、制御ロジックは、コントローラー550にハードコードされてもよい。これらの用途には、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ又はFPGAなど)などを使用できる。以下の記載において、「ソフトウェア」又は「コード」については、機能的に匹敵するハードコードされたロジックで代替可能である。システム制御ソフトウェア558は、タイミング、ガスの混合物、ガス流量、チャンバ及び/又はステーション圧力、チャンバ及び/又はステーション温度、ウェハ温度、目標とする電力レベル、RF電力レベル、基板台座、チャック及び/又はサセプタ位置、並びにプロセスツール500によって行われる特定のプロセスの他のパラメータを制御する命令を含み得る。システム制御ソフトウェア558は、任意の適切な形式で構成され得る。例えば、様々なプロセスツールコンポーネントサブルーチン又は制御対象を、様々なプロセスツールの処理を実行するのに必要なプロセスツールコンポーネントの制御操作に書き込んでもよい。システム制御ソフトウェア558は、任意の適切なコンピュータ可読プログラミング言語にコード化されていてもよい。
いくつかの実施形態では、システム制御ソフトウェア558は、上述された様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含む。いくつかの実施形態においては、システムコントローラー550に対応付けられた大容量記憶装置554及び/又はメモリ装置556に格納された他のコンピュータソフトウェア及び/又はプログラムが採用され得る。このためのプログラム又はプログラムの一部の例としては、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒーター制御プログラム、プラズマ制御プログラムなどが挙げられる。
基板位置決めプログラムは、基板を台座518にロードし、基板とプロセスツール500の他の部分との間の間隔を制御するために使用されるプロセスツールコンポーネント用のプログラムコードを含み得る。
プロセスガスの制御プログラムは、様々なガス組成(例えば、本明細書に記載のHBr又はHClガス)及び流量を制御するための、またオプションとして、プロセスステーション内の圧力を安定させるために、堆積に先立って1つ又は複数のプロセスステーションにガスを流すためのコードを含み得る。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流量などを規制して、プロセスステーション内の圧力を制御するためのコードを含み得る。
ヒーター制御プログラムは、基板を加熱するための加熱部への電流を制御するためのコードを含み得る。また、ヒーター制御プログラムは、基板への熱搬送ガス(ヘリウムなど)の送達を制御し得る。
プラズマ制御プログラムは、本明細書の実施形態に係る1つ又は複数のプロセスステーションのプロセス電極に印加されるRF電力レベルを設定するためのコードを含み得る。
圧力制御プログラムは、本明細書の実施形態に係る反応チャンバ内の圧力を維持するためのコードを含み得る。
いくつかの実施形態では、システムコントローラー550に関連するユーザーインターフェースが存在し得る。ユーザーインターフェースとしては、ディスプレイ画面、装置及び/又はプロセス条件のグラフィカルなソフトウェア表示、並びにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザー入力デバイスなどが挙げられる。
いくつかの実施形態では、システムコントローラー550によって調節されるパラメータは、プロセス条件に関連し得る。非限定的な例として、プロセスガス組成及び流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)などが挙げられる。これらのパラメータは、レシピとしてユーザーに提供されてもよく、またユーザーインターフェースを利用して入力されてもよい。
プロセスを監視するための信号は、様々なプロセスツールセンサから、システムコントローラー550のアナログ及び/又はデジタル入力接続部より提供され得る。プロセスを制御するための信号は、プロセスツール500のアナログ及びデジタル出力接続部に出力されてもよい。監視対象となり得るプロセスツールセンサの非限定的な例としては、マスフローコントローラー、圧力センサ(真空計など)、熱電対などが挙げられる。プロセス条件を維持するために、適切にプログラムされたフィードバック及び制御アルゴリズムが、これらのセンサからのデータと共に使用され得る。
システムコントローラー550は、上述の堆積プロセスを実施するためのプログラム命令を提供し得る。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの様々なプロセスパラメータを制御し得る。これらの命令は、本明細書に記載される様々な実施形態に係る乾式現像及び/又はエッチングプロセスを操作するためのパラメータを制御し得る。
システムコントローラー550は、典型的には、開示された実施形態に従って方法を装置に実行させるように命令を行うように構成された1つ又は複数のメモリ装置と1つ又は複数のプロセッサとを含む。開示された実施形態に係るプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラー550に結合され得る。
いくつかの実装形態では、システムコントローラー550は、上記で説明した例の一部ともなり得るシステムの一部である。このシステムは、1つ又は複数の処理ツール、1つ又は複数のチャンバ、1つ又は複数の処理用プラットフォーム、及び/又は特定の処理構成要素(ウェハ台座、ガスフローシステムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウェハ又は基板の処理前、処理中、及び処理後に、その動作を制御するための電子機器と統合されていてもよい。電子機器は、1つ又は複数のシステムの種々の構成要素、又はサブパーツの制御を可能とする「コントローラー」と称することもできる。システムコントローラー550は、処理条件及び/又はシステムのタイプに応じて、処理ガスの送出、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送出設定、位置及び動作設定、特定のシステムに接続又はインターフェースされたツール及び他の搬送ツール及び/又はロードロックの内外へのウェハ搬送を含む、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされていてもよい。
概して、システムコントローラー550は、様々な集積回路、論理、メモリ、及び/又はソフトウェアを有する電子機器として定義でき、命令を受信・発令し、動作を制御し、洗浄動作を可能にし、終了点測定を可能にする、等を行う。集積回路は、プログラム命令を記憶するファームウェア形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ又は複数のマイクロプロセッサもしくはマイクロコントローラーを含み得る。プログラム命令は、様々な個々の設定(又はプログラム・ファイル)の形態でシステムコントローラー550に通信される命令であってもよく、半導体ウェハに対して又は半導体ウェハに関連して、或いはシステムに対して、特定のプロセスを実行する操作パラメータを定義する。いくつかの実施形態では、動作パラメータは、ウェハの1つ又は複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はダイの製造中に1つ又は複数の処理ステップを達成するためにプロセスエンジニアによって定義されたレシピの一部であり得る。
いくつかの実装形態においては、システムコントローラー550は、システムと統合又は結合されるか、システムにネットワーク接続されるコンピュータの一部であるか、あるいは結合されていてもよく、またこれらを組み合わせた形態であり得る。例えば、システムコントローラー550は、「クラウド」内、又はfabホストコンピュータシステムの全体もしくは一部として遠隔アクセスによってウェハ処理を行ってもよい。コンピュータは、システムへの遠隔アクセスを可能にし、製造動作に関する現在の経過を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向若しくは性能メトリックを調査し、現在の処理のパラメータを変更し、現在の処理に追従する処理ステップを設定するか、又は新たなプロセスを開始してよい。いくつかの例では、遠隔コンピュータ(例えば、サーバ)は、ネットワーク上でプロセスレシピをシステムに提供することができ、ネットワークには、ローカル・ネットワーク又はインターネットを含み得る。遠隔コンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザーインターフェースを含んでいてもよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝達される。いくつかの例では、システムコントローラー550は、データの形態で命令を受信し、命令は、1つ又は複数の動作の間に実施すべき処理ステップのそれぞれに対するパラメータを指定する。パラメータは、実施するプロセスの種類、及びシステムコントローラー550がインターフェース接続又は制御するように構成したツールの種類に特定であり得る。従って、上記のように、システムコントローラー550は、例えば、1つ又は複数の離散型コントローラーなどを用いて分散してもよく、1つ又は複数の離散型コントローラーは、まとめてネットワーク接続され、本明細書で説明するプロセス及び制御等、共通の目的を持って動作する。このような目的のための分散型コントローラーの一例は、(プラットフォーム・レベルで、又は遠隔コンピュータの一部として等)遠隔に位置する1つ又は複数の集積回路と通信するチャンバ上の1つ又は複数の集積回路であり、チャンバ上でのプロセスを制御するように組み合わせられる。
限定はしないが、システムの例として、プラズマ・エッチングチャンバ若しくはモジュール、堆積チャンバ若しくはモジュール、スピンリンスチャンバ若しくはモジュール、金属めっきチャンバ若しくはモジュール、クリーンチャンバ若しくはモジュール、ベベルエッジエッチングチャンバ若しくはモジュール、物理蒸着(PVD)チャンバ若しくはモジュール、化学蒸着(CVD)チャンバ若しくはモジュール、ALDチャンバ若しくはモジュール、原子層エッチング(ALE)チャンバ若しくはモジュール、イオンインプランテーションチャンバ若しくはモジュール、トラックチャンバ若しくはモジュール、EUVリソグラフィチャンバ(スキャナ)若しくはモジュール、乾式現像チャンバ若しくはモジュール、並びに半導体半導体ウェハの作製及び/又は製造に関連するか若しくは使用できるあらゆる他の半導体処理システムを含むことができる。
上記のように、ツールが実施する1つ又は複数のプロセスステップに応じて、システムコントローラー550は、1つ又は複数の他のツール回路若しくはモジュール、他のツール構成要素、クラスタツール、他のツール・インターフェース、近接ツール、近隣ツール、工場全体に配置されるツール、主コンピュータ、別のコントローラー、又は半導体製造工場におけるツール位置及び/又は積載口へ、又はそこからウェハの容器を運ぶ材料輸送において使用されるツールと通信することができる。
以下に、特定の実施形態において、いくつかの実施形態の実装形態に適したエッチング動作に適し得る誘導結合プラズマ(ICP)リアクタについて説明する。本明細書ではICPリアクタが説明されているが、いくつかの実施形態では、容量結合プラズマリアクタが用いられてもよい。
図6は、乾式現像及び/又はエッチングなどの特定の実施形態又は実施態様を実施するのに適した誘導結合プラズマ装置600の断面図概略を示し、その例としては、カリフォルニア州フリーモントのラムリサーチ・コーポレーション製のKiyo(登録商標)リアクタが挙げられる。他の実施形態では、本明細書に記載の乾式現像及び/又はエッチングプロセスを実施する機能を有する他のツール又はツールタイプが、その実装形態に使用され得る。
誘導結合プラズマ装置600は、チャンバ壁601及び窓611によって構造的に規定された総合プロセスチャンバ624を含む。チャンバ壁601は、ステンレス鋼又はアルミニウムで作成できる。窓611は、石英又は他の誘電材料で作成できる。任意の内部プラズマグリッド650は、総合プロセスチャンバを上部サブチャンバ602と下部サブチャンバ603とに分割する。ほとんどの実施形態では、サブチャンバ602及びサブチャンバ603からなるチャンバ空間を利用することができるように、プラズマグリッド650は除去され得る。チャック617は、底部内面付近の下部サブチャンバ603内に設置される。チャック617は、エッチングプロセス及び堆積プロセスが実施される半導体ウェハ619を受け取り保持するように構成されている。チャック617は、ウェハ619が存在するときは、ウェハ619を支持するための静電チャックとなり得る。いくつかの実施形態では、エッジリング(図示せず)は、チャック617を取り囲み、ウェハ619がチャック617の上に存在するときは、ウェハ619の上面と略平面である頂面を有する。チャック617は、ウェハ619をチャック及びデチャックするための静電電極も備える。このために、フィルタ及びDCクランプ電源(図示せず)が設けられていてもよい。ウェハ619をチャック617から持ち上げるための他の制御システムが設けられてもよい。チャック617は、RF電源623を用いて充電できる。RF電源623は、接続部627を介して整合回路621に接続される。整合回路621は、接続部625を介してチャック617に接続される。このようにして、RF電源623は、チャック617に接続される。様々な実施形態では、静電チャックのバイアス電力は、約50Vに設定されるか、又は、開示の実施形態に従って実施されるプロセスに応じて異なるバイアス電力に設定され得る。例えば、バイアス電力は、約20V~約100Vの間、又は約30V~約150Vの間であり得る。
プラズマ生成のための素子は、窓611の上方に設置されるコイル633を含む。いくつかの実施形態では、コイルは、開示の実施形態において用いられない。コイル633は、導電性材料で作られ、少なくとも1つの完全なターン(巻き)を含む。図6に示されたコイル633の例は、3ターンを含む。コイル633の断面は記号で示されており、「×」を有するコイルは、その回転がページ奥に延び、「●」を有するコイルは、その回転がページ手前に延びる。プラズマ生成のための素子は、RF電力をコイル633に供給するように構成されたRF電源641も含む。一般に、RF電源641は、接続部645を介して整合回路639に接続される。整合回路639は、接続部643を介してコイル633に接続される。このようにして、RF電源641は、コイル633に接続される。任意のファラデーシールド649aが、コイル633と窓611との間に設置される。ファラデーシールド649aは、コイル633に対して間隔をおいて維持され得る。いくつかの実施形態では、ファラデーシールド649aは、窓611のすぐ上方に配置される。いくつかの実施形態では、ファラデーシールド649bは、窓611とチャック617との間に位置する。いくつかの実施形態では、ファラデーシールド649bは、コイル633に対して間隔をおいて維持されない。例えば、ファラデーシールド649bは、間隔なしで窓611のすぐ下方にあり得る。コイル633、ファラデーシールド649a、及び窓611は、各々、互いに実質的に平行になるように構成されている。ファラデーシールド649aは、金属又は他の種がプロセスチャンバ624の窓611上に堆積することを防ぎ得る。
プロセスガスは、上部サブチャンバ602に設置された1つ又は複数の主ガス流入口660を通って、及び/又は、1つ又は複数の側ガス流入口670を通ってプロセスチャンバに流すことができる。同様に、図示されていないが、プロセスガスを容量結合プラズマ処理チャンバに供給するために類似のガス流入口が用いられ得る。プロセスガスをプロセスチャンバ624から抜きプロセスチャンバ624内の圧力を維持するために、真空ポンプ(例えば、1段又は2段機械式ドライポンプ及び/又はターボ分子ポンプ640)が用いられてもよい。例えば、真空ポンプは、ALDのパージ動作中に下部サブチャンバ603を排気するために用いられてもよい。真空ポンプによって提供された真空環境の適用を選択的に制御するために、真空ポンプをプロセスチャンバ624に流体的に接続する弁制御導管が用いられてもよい。これは、プラズマ処理動作中に、スロットル弁(図示せず)又は振り子弁(図示せず)などの閉ループ制御流量制限装置を用いて実現可能である。同様に、容量結合プラズマ処理チャンバへの真空ポンプ及び弁制御流体接続部も使用できる。
装置600の動作中に、1つ又は複数のプロセスガスが、ガス流入口660及び/又はガス流入口670を通って供給されてもよい。特定の実施形態では、プロセスガスは、主ガス流入口660のみを通って、又は側ガス流入口670のみを通って供給されてもよい。いくつかの例では、図に示されたガス流入口は、より複雑なガス流入口、例えば、1つ又は複数のシャワーヘッド、に置き換えられてもよい。ファラデーシールド649a及び/又は任意のグリッド650は、プロセスチャンバ624へのプロセスガスの送達を可能にする内部経路及び孔を含み得る。ファラデーシールド649a及び任意のグリッド650のいずれか又は両方は、プロセスガスの送達のためのシャワーヘッドとして機能し得る。いくつかの実施形態では、液体気化/送達システムをプロセスチャンバ624の上流に設置し、液体反応物又は前駆体が気化されると、気化した反応物又は前駆体がガス流入口660及び/又はガス流入口670を介してプロセスチャンバ624に導入されるようにしてもよい。
高周波数電力はRF電源641からコイル633に供給され、RF電流がコイル633を通じて流れる。コイル633に流れるRF電流は、コイル633を中心とする電磁場を生成する。電磁場は、上部サブチャンバ602内で誘導電流を生成する。様々な生成イオン及びラジカルのウェハ619との物理的相互作用及び化学的相互作用により、ウェハ619上のフィーチャがエッチングされ、ウェハ619上に選択的に層が堆積される。
上部サブチャンバ602及び下部サブチャンバ603の両方が存在するようにプラズマグリッド650が用いられる場合は、誘導電流は、上部サブチャンバ602に存在するガスに作用して、上部サブチャンバ602において電子-イオンプラズマを生成する。任意の内部プラズマグリッド650は、下部サブチャンバ603内の熱電子の量を限定する。いくつかの実施形態では、装置600は、下部サブチャンバ603に存在するプラズマがイオン-イオンプラズマになるように設計及び操作される。
上部の電子-イオンプラズマ及び下部のイオン-イオンプラズマの両方は、陽イオン及び陰イオンを含むが、イオン-イオンプラズマは、陽イオンに対してより大きい比率の陰イオンを有する。揮発性エッチング及び/又は堆積の副生成物は、ポート622を通って下部サブチャンバ603から除去できる。本明細書に開示のチャック617は、約10°C~約250°Cの高温で動作し得る。温度は、プロセス動作及び特定のレシピに依存する。
装置600は、クリーンルーム又は製造施設に取り付けられる場合、設備(図示せず)に結合されていてもよい。設備は、処理ガス、真空、温度制御、及び環境粒子制御を提供する配管を含む。これらの設備は、目的の製造施設に設置された場合、装置600に結合される。また、装置600は、一般的な自動操作を用いてロボット装置が半導体ウェハを装置600に対して搬入出できるようにする搬送チャンバに結合され得る。
いくつかの実施形態では、システムコントローラー630(1つ又は複数の物理的又は論理的コントローラーを含み得る)は、プロセスチャンバ624の一部又は全部の動作を制御する。システムコントローラー630は、1つ又は複数のメモリ装置及び1つ又は複数のプロセッサを含み得る。いくつかの実施形態では、装置600は、開示された実施形態が実施される際の流量及び持続時間を制御するための切り替えシステムを備える。いくつかの実施形態では、装置600は、最大約600ms、又は最大約750msの切り替え時間を有し得る。切り替え時間は、フロー反応、選択したレシピ、リアクタの構造、及びその他の要因に依存し得る。
いくつかの実装形態では、システムコントローラー630は、上記で説明した例の一部ともなり得るシステムの一部である。このシステムは、1つ又は複数の処理ツール、1つ又は複数のチャンバ、1つ又は複数の処理用プラットフォーム、及び/又は特定の処理構成要素(ウェハ台座、ガスフローシステムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウェハ又は基板の処理前、処理中、及び処理後に、その動作を制御するための電子機器と統合されていてもよい。電子機器は、1つ又は複数のシステムの様々な部品又は副部品を制御し得るシステムコントローラー630に統合され得る。システムコントローラーは、処理パラメータ及び/又はシステムのタイプに応じて、処理ガスの送出、温度設定(例えば、加熱及び/又は冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送出設定、位置及び動作設定、特定のシステムに接続又はインターフェースされたツール及び他の搬送ツール及び/又はロードロックの内外へのウェハ搬送を含む、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされていてもよい。
概して、システムコントローラー630は、様々な集積回路、論理、メモリ、及び/又はソフトウェアを有する電子機器として定義でき、命令を受信・発令し、動作を制御し、洗浄動作を可能にし、終了点測定を可能にする、等を行う。集積回路は、プログラム命令を記憶するファームウェア形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、及び/又はプログラム命令(例えば、ソフトウェア)を実行する1つ又は複数のマイクロプロセッサもしくはマイクロコントローラーを含み得る。プログラム命令は、様々な個々の設定(又はプログラム・ファイル)の形態でコントローラーに伝達される命令であってもよく、半導体ウェハに対して又は半導体ウェハに関連して、或いはシステムに対して、特定のプロセスを実行する操作パラメータを定義する。いくつかの実施形態では、動作パラメータは、ウェハの1つ又は複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はダイの製造又は除去中に1つ又は複数の処理ステップを達成するためにプロセスエンジニアによって定義されたレシピの一部であり得る。
いくつかの実装形態においては、システムコントローラー630は、システムと統合又は結合されるか、システムにネットワーク接続されるコンピュータの一部であるか、あるいは結合されていてもよく、またこれらを組み合わせた形態であり得る。例えば、コントローラーは、「クラウド」内、又はfabホストコンピュータシステムの全体もしくは一部として遠隔アクセスによってウェハ処理を行ってもよい。コンピュータは、システムへの遠隔アクセスを可能にし、製造動作に関する現在の経過を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向若しくは性能メトリックを調査し、現在の処理のパラメータを変更し、現在の処理に追従する処理ステップを設定するか、又は新たなプロセスを開始してよい。いくつかの例では、遠隔コンピュータ(例えば、サーバ)は、ネットワーク上でプロセスレシピをシステムに提供することができ、ネットワークには、ローカル・ネットワーク又はインターネットを含み得る。遠隔コンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザーインターフェースを含んでいてもよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝達される。いくつかの例では、システムコントローラー630は、データの形態で命令を受信し、命令は、1つ又は複数の動作の間に実施すべき処理ステップのそれぞれに対するパラメータを指定する。パラメータは、実施するプロセスの種類、及びコントローラーがインターフェース接続又は制御するように構成したツールの種類に特定であることができる。従って、上記のように、システムコントローラー630は、例えば、1つ又は複数の離散型コントローラーなどを用いて分散してもよく、1つ又は複数の離散型コントローラーは、まとめてネットワーク接続され、本明細書で説明するプロセス及び制御等、共通の目的を持って動作する。このような目的のための分散型コントローラーの一例は、(プラットフォーム・レベルで、又は遠隔コンピュータの一部として等)遠隔に位置する1つ又は複数の集積回路と通信する、チャンバ上の1つ又は複数の集積回路であり、チャンバ上でのプロセスを制御するように組み合わせられる。
限定はしないが、システムの例として、プラズマ・エッチングチャンバ若しくはモジュール、堆積チャンバ若しくはモジュール、スピンリンスチャンバ若しくはモジュール、金属めっきチャンバ若しくはモジュール、クリーンチャンバ若しくはモジュール、ベベルエッジエッチングチャンバ若しくはモジュール、物理蒸着(PVD)チャンバ若しくはモジュール、化学蒸着(CVD)チャンバ若しくはモジュール、ALDチャンバ若しくはモジュール、原子層エッチング(ALE)チャンバ若しくはモジュール、イオンインプランテーションチャンバ若しくはモジュール、トラックチャンバ若しくはモジュール、EUVリソグラフィチャンバ(スキャナ)若しくはモジュール、乾式現像チャンバ若しくはモジュール、並びに半導体半導体ウェハの作製及び/又は製造に関連するか若しくは使用できるあらゆる他の半導体処理システムを含むことができる。
上記のように、ツールによって実施する1つ又は複数のプロセスステップに応じて、コントローラーは、1つ又は複数の他のツール回路若しくはモジュール、他のツール構成要素、クラスタツール、他のツール・インターフェース、近接ツール、近隣ツール、工場全体に配置されるツール、主コンピュータ、別のコントローラー、又は半導体製造工場におけるツール位置及び/又は積載口へ、又はそこからウェハの容器を運ぶ材料輸送において使用されるツールと通信することができる。
EUVLパターニングは、例えば、ASML社(オランダ、Veldhoven)によって提供されるTWINSCAN NXE:3300B(登録商標)プラットフォームのような、しばしばスキャナと称される任意の適切なツールを用いて行い得る。EUVLパターニングツールは、本明細書に記載の堆積とエッチングのために基板を出し入れするスタンドアロン装置であり得る。あるいは、後述するように、EUVLパターニングツールは、より大きなマルチコンポーネントツール上のモジュールであってもよい。図7は、本明細書に記載のプロセスの実装に適した、真空搬送モジュールとインターフェース接続する、真空統合堆積、EUVパターニング、及び乾式現像/エッチングモジュールを有する半導体プロセスクラスタツール構造を示す図である。このような真空統合装置なしでもプロセスは実施可能であるものの、実装形態によってはこのような装置が有利となり得る。
図7は、本明細書に記載のプロセスの実装に適した、真空搬送モジュールとインターフェース接続する、真空統合堆積及びパターニングモジュールを有する半導体プロセスクラスタツール構造を示す図である。複数の格納設備と処理モジュールとの間でウェハを「搬送する」ための搬送モジュールの配置は、「クラスタツール構造」システムと称され得る。堆積及びパターニングモジュールは、特定のプロセスの要件に従って真空統合される。また、エッチング用などの他のモジュールもクラスタに含まれ得る。
真空搬送モジュール(VTM)738は、4つの処理モジュール720a~720dとインターフェース接続し、これらの処理モジュールは個別に様々な製造処理を実施するために最適化され得る。例として、処理モジュール720a~720dは、堆積、蒸発、ELD、乾式現像、エッチング、ストリップ及び/又は他の半導体処理を実施するために実装されてよい。例えば、モジュール720aは、カリフォルニア州フリーモントのラムリサーチ・コーポレーションから入手可能なVectorツールなどの、本明細書に記載される非プラズマ熱原子層堆積において実行可能なように操作され得るALDリアクタであってもよい。そして、モジュール720bは、LAM Vector(登録商標)のようなPECVDツールであり得る。図は必ずしも正確な縮尺率ではないことに注意されたい。
エアロック(別名ロードロック又は搬送モジュール)742及び746は、VTM738及びパターニングモジュール740とインターフェース接続している。例えば、上記の通り、適したパターニングモジュールは、ASML社(オランダ、Veldhoven)によって提供されるTWINSCAN NXE:3300B(登録商標)プラットフォームであり得る。このツール構造によって、半導体基板又はウェハのような工作物を露光前に反応しないように真空で搬送できる。EUVLがH2OやO2などの周囲ガスによる入射光子の強力な光吸収を伴う大幅な減圧も必要とする事実によって、堆積モジュールとリソグラフィツールとの統合が容易になる。
上述したように、この統合構造は、説明したプロセスの実装形態のツールの一つの可能な実施形態に過ぎない。これらのプロセスはまた、例えば、図7を参照して説明したように(統合パターニングモジュールはないが)、より従来のスタンドアロンEUVLスキャナ及び堆積リアクタ、例えばLam Vectorツールを用いて、スタンドアロンで、又はモジュールとしてエッチング、ストリップなどの他のツール(例えば、Lam Kiyo又はGammaツール)とクラスタ構造で統合されて、実装され得る。
エアロック742は、堆積モジュール720aに仕えるVTM738からパターニングモジュール740への基板の搬送を指す「搬出」ロードロックであってもよく、エアロック746は、パターニングモジュール740からVTM738への基板の搬送を指す「搬入」ロードロックであってよい。搬入ロードロック746はまた、基板のアクセス及び撤去のためにツールの外側にインターフェースを提供してもよい。各プロセスモジュールは、VTM738とモジュールをインターフェース接続するファセットを有する。例えば、堆積プロセスモジュール720aはファセット736を有する。各ファセット内では、ウェハ726が各ステーション間で移動する際にウェハの通過を感知する、例えば図中のセンサ1~18のような、センサが用いられる。パターニングモジュール740、エアロック742及び746は、図示されていない追加のファセット及びセンサを同様に備え得る。
主VTMロボット722は、エアロック742及び746を含むモジュール間でウェハ726を搬送する。一実施形態では、ロボット722は1つのアームを有し、別の実施形態では、ロボット722は2つのアームを有する。各アームはエンドエフェクタ724を有し、移送のためにウェハ726のようなウェハを取り上げる。フロントエンドロボット744は、ウェハ726を搬出エアロック742からパターニングモジュール740へ、パターニングモジュール740から搬入エアロック746へ搬送するのに用いられる。フロントエンドロボット744はまた、搬入ロードロックと基板のアクセス及び撤去用ツールの外側との間でウェハ726を移送してもよい。搬入エアロックモジュール746は大気と真空との間の環境に調和することができるため、ウェハ726は損傷することなく2つの圧力環境の間を移動することができる。
なお、EUVLツールは、典型的には堆積ツールよりも高真空で動作する。この場合、堆積からEUVLツールへの搬送時に基板の真空環境を高め、パターニングツールへの搬入前に基板を脱ガスすることが望ましい。この機能は、搬出エアロック742が、脱ガスにおける排出中には、搬送されたウェハをパターニングモジュール740の圧力以下の低圧で保持し、パターニングツール740の光学系が基板からの脱ガスによって汚染されないようにすることで実現してもよい。搬出脱ガスエアロックに適した圧力は、1E~8Torr以下である。
図8は、パターニングモジュール740を有しない、図7の代替例としての半導体プロセスクラスタツール構造を示す。ここでは、代わりに、大気搬送モジュール(ATM)840において、フロントエンドロボット832が、ウェハ826を、ロードポートモジュール(LPM)842内のフロントオープニングユニファイドポッド(FOUP)834又はカセットから、エアロック830に搬送するために用いられる。プロセスモジュール820内のモジュール中心部828は、ウェハ826を配置するための1つの位置である。ATM840内のアライナ844は、ウェハを並べるために用いられる。
例示の処理方法では、ウェハは、LPM842のFOUP834の1つに配置される。フロントエンドロボット832は、ウェハを、FOUP834から、ウェハ826がエッチング又は処理される前に適切に中心に置かれることを可能にするアライナ844に搬送する。ウェハ826は、並べられた後、フロントエンドロボット832によってエアロック830に移動される。エアロックモジュールは、ATMとVTMとの間の環境を一致させることができるため、ウェハ826は、2つの気圧環境間を損傷なく移動することができる。ウェハ826は、ロボット822によってエアロックモジュール830からVTM838を通ってプロセスモジュール820a~プロセスモジュール820dの1つに移動される。このウェハの移動を実現するために、ロボット822は、その各アームにおいてエンドエフェクタ824を用いる。ウェハ826は、処理されると、ロボット822によってプロセスモジュール820a~プロセスモジュール820dからエアロック830に移動される。ウェハ826は、ここからフロントエンドロボット832によって複数のFOUP834のうちの1つ又はアライナ844に移動され得る。
上記のように、マルチステーション処理ツールは、1つ又は複数のプロセスステーションを含み得る。 図9は、インバウンドロードロック902とアウトバウンドロードロック904を有するマルチステーション処理ツール900の一実施形態の概略図であり、インバウンドロードロック902とアウトバウンドロードロック904のいずれか又は両方は、遠隔プラズマ源を含み得る。大気圧のロボット906は、ポッド908を介して装填されたカセットから、大気ポート910を介して、インバウンドロードロック902に基板又はウェハを移動させるように構成されている。ロボット906によって、インバウンドロードロック902の台座912に基板が載置され、大気ポート910が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック902が遠隔プラズマ源を含む場合、基板は、処理チャンバ914A~Cに導入される前に、ロードロックにおいて遠隔プラズマ処理に供することができる。基板はさらにインバウンドロードロック902で加熱にも供され、例えば、水分や吸着ガスを除去してもよい。次に、処理チャンバ914A~Cの1つへのチャンバ移送ポート916が開かれ、別のロボット(図示せず)が、リアクタ内に示す第1ステーションの台座上のリアクタに基板を載置して処理が行われる。図9に示す実施形態はロードロックを含むが、いくつかの実施形態では、基板のプロセスステーションへの直接供給も可能である。様々な実施形態において、ソークガスは、基板がロボット906によって台座912上に載置される際に、ステーションに導入される。
図示される処理チャンバ914Bは、図9に示す実施形態では1~4の番号が付された4つのプロセスステーションを含む。各ステーションは、加熱された台座(ステーション1については918で示す)とガスライン入口を有する。いくつかの実施形態では、各プロセスステーションは、それぞれ異なる又は複数の目的を持つことができる。例えば、いくつかの実施形態では、プロセスステーションは、ALDプロセスモード及びPEALDプロセスモードの間で切り替え可能であり得る。加えて、又は代替的に、いくつかの実施形態において、処理チャンバ914は、ALD及びプラズマ強化ALDプロセスステーションの1つ又は複数の整合ペアを含み得る。図示された処理チャンバ914は4つのステーションを含むが、本開示による処理チャンバは任意の適切な数のステーションを有し得る。例えば、いくつかの実施形態では、処理チャンバは5つ以上のステーションを有し得る一方、他の実施形態では、処理チャンバは3つ以下のステーションを有し得る。また、図5Aは、3つのプロセスチャンバを有するマルチステーション処理ツール900を示すが、いくつかの実施形態では、マルチステーション処理ツールは、図9Bに示すような、4つのプロセスチャンバを有し得る。いくつかの実施形態では、マルチステーション処理ツールは4つ以上のプロセスチャンバを有していてもよく、他の実施形態では、マルチステーション処理ツールは1つ、2つ、又は3つのプロセスチャンバを有し得る。
図9は、処理チャンバ914内の基板を搬送するためのウェハハンドリングシステム990の一実施形態を示す図である。いくつかの実施形態では、ウェハハンドリングシステム990は、種々のプロセスステーション間及び/又はプロセスステーションとロードロック間で基板搬送を行うことができる。ウェハハンドリングシステムは、任意の適切なものを使用できる。非限定的な例示としては、ウェハカルーセルやウェハハンドリングロボットが挙げられる。また、図9は、プロセスツール900のプロセス条件及びハードウェア状態を制御するために使用されるシステムコントローラー950の一実施形態を示す図である。システムコントローラー950は、1つ又は複数のメモリ装置956、1つ又は複数の大容量記憶装置954、及び1つ又は複数のプロセッサ952を含み得る。プロセッサ952は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続部、ステッパモータ制御盤などを備え得る。いくつかの実施形態では、システムコントローラー950は、本明細書に記載されるものなどの動作を実行するための機械可読命令を含む。
いくつかの実施形態では、システムコントローラー950は、プロセスツール900の活動を制御する。システムコントローラー950は、大容量記憶装置954に格納され、メモリ装置956にロードされ、プロセッサ952で実行されるシステム制御ソフトウェア958を実行する。あるいは、制御ロジックは、システムコントローラー950にハードコードされてもよい。これらの用途には、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ又はFPGAなど)などを使用できる。以下の記載において、「ソフトウェア」又は「コード」については、機能的に匹敵するハードコードされたロジックで代替可能である。システム制御ソフトウェア958は、タイミング、ガスの混合物、ガス流量、チャンバ及び/又はステーション圧力、チャンバ及び/又はステーション温度、基板温度、目標とする電力レベル、RF電力レベル、基板台座、チャック及び/又はサセプタ位置、並びにプロセスツール900によって行われる特定のプロセスの他のパラメータを制御する命令を含み得る。システム制御ソフトウェア958は、任意の適切な形式で構成され得る。例えば、様々なプロセスツールコンポーネントサブルーチン又は制御対象を、様々なプロセスツールの処理を実行するのに必要なプロセスツールコンポーネントの制御操作に書き込んでもよい。システム制御ソフトウェア958は、任意の適切なコンピュータ可読プログラミング言語にコード化されていてもよい。
様々な実施形態において、チャンバ914A~Cは、同じ又は異なる動作を実行できる。また、3つのチャンバ914A~Cが示されているが、いくつかの実施形態では、4つ以上のチャンバを有していてもよいし、3つ以下のチャンバを有していてもよい。いくつかの実施形態では、チャンバ914A~Cの各々は、フォトリソグラフィー層を堆積させるために乾式堆積プロセスを実施してもよい。いくつかの実施形態では、チャンバ914A~Cの1つ又は複数は、乾式堆積プロセスのために使用されてもよく、一方、チャンバ914A~Cの異なる1つ又は複数は、本明細書に記載の乾式現像プロセスのために使用され得る。いくつかの実施形態では、1つのチャンバを乾式堆積プロセスに使用し、1つのチャンバをPABプロセスに使用し、1つのチャンバを乾式現像プロセスに使用できる。いくつかの実施形態では、PABプロセスに使用されるチャンバは、PEBプロセスにも使用でき、又は或いはPEBプロセスにも使用できる。4つのチャンバを有する実施形態では、1つのチャンバを乾式堆積プロセスに使用し、1つのチャンバをPABプロセスに使用し、1つのチャンバをPEBプロセスに使用し、1つのチャンバを乾式現像プロセスに使用できる。いくつかの実施形態では、プロセス時間のばらつきは、モジュール比率を変えることによって対処可能である(例えば、PR堆積時間がPAB時間の2倍である場合、PABモジュールとして、2倍のPR堆積モジュールでツールを構成可能である)。
いくつかの実施形態では、マルチステーション処理ツール900は、本明細書に記載される実施形態を容易にするために、各チャンバに異なるモジュールを備え得る。例えば、堆積プロセスにはVECTOR(登録商標)処理モジュールを使用し、PAB、PEB、乾式現像プロセスにはKIYO(登録商標)処理モジュールを使用し得る。いくつかの実施形態では、各タイプのモジュールが異なる数で存在し得る。例えば、1つのVECTORモジュールと1つ又は複数のKIYOモジュールを有し得る。
いくつかの実施形態では、複数の動作が、同じツールの同じモジュール内の異なる台座に実装され得る。いくつかの実施形態では、VECTORモジュールは、KIYOモジュールと同じモジュールに統合され得る。例えば、チャンバ914の台座1及び3を、乾式堆積プロセスに使用し、台座2及び4は、ウェハ洗浄、PAB、PEB、乾式現像プロセスなどの異なるプロセスに使用するようにしてもよい。あるウェハをある台座で処理してPR膜を堆積させ、その後、ロボットがウェハをチャンバ内の別の台座に移動させて、本明細書に記載するような後続プロセスを行うように構成されてもよい。このように、真空状態を維持し、台座から台座へウェハをインデックスする方が、プロセスモジュール間でウェハを搬送するよりも速い。その結果、技術的な性能を実質的に犠牲にすることなく効率性(スループット)を高め、クロスコンタミネーションを制御・最小化し、優れた膜の特性を実現できる。
さらに、各ステーション/台座は、各動作に対して構成あるいは最適化することができ、台座は、堆積、洗浄、ベーク、照射、又は現像プロセス間のクロスコンタミネーション/クロストークを低減又は最小化するために、例えばガスカーテン又はガスシールのような他のステーション固有の障壁によって互いに分離できる。これらの例は、例えば米国特許出願公開No.2015/0004798及び米国特許出願公開No.2017/0101710に記載されており、これらの公報は、この点に関する開示について、参照により本明細書に組み込まれる。範囲を逸脱しない限り、各台座プロセスは異なる温度と圧力に設定し得る。また、各ステーションの台座、シャワーヘッド、壁面などの温度は、プロセスごとに独立して最適に設定でき、サイクルとする必要はない。ガスの分配は、各ステーションに対して別々でもよい。複数のスロットル弁を加えることで、圧力を独立して維持できる。いくつかの実施形態では、各ステーションの排気を共有ではなく局所的とすることで、ガスのクロス混合/コンタミネーションのない独立した排気を保つことができる。
いくつかの実施形態では、統合された動作は、同じ台座上で実施されてもよい。例えば、ウェハ洗浄及びPAB/PEB動作は、同じ台座上で実施されてもよい。これにより、ウェハ洗浄とベーク動作との間の転送時間又は待ち時間が短縮されることによって、スループットが向上できる。
図10A~図10Eは、ツール内の異なるチャンバにおいて処理動作を実行する様々な実施形態を、プロセスフローチャートと共に示す。プロセスフローチャートには、ツール上又はツール外で実行可能な動作を示す。様々な実施形態では、図10A~図10Eに示す動作は、(同じ参照番号を使用することによって示されるように)上記の図1に記載した動作と同じであってもよい。洗浄動作は図10A~図10Eには示されていないが、いくつかの実施形態では、洗浄動作が実行され得る。図1を参照してさらに説明するように、いくつかの動作は実行されない場合もある。図10A(i)に示す実施形態では、クラスタツールの各チャンバが、図10A(ii)のプロセスフローに示すような乾式堆積プロセスを実行する。PAB、EUV露光、PEB、及び現像プロセスなどのリソグラフィープロセスにおける他の動作は、異なるツール又はクラスタ上で実行され得る。
図10B(i)の実施形態では、図10B(ii)のプロセスフローに示されるように、乾式堆積及び乾式現像プロセスが単一のツール内で実行できる。様々な実施形態において、2つのチャンバが乾式堆積プロセスに使用され得る一方、乾式現像プロセスは単一のチャンバを使用する。他の操作は、異なるツール上で実行され得る。
図10C(i)の実施形態では、乾式堆積、PAB、及び乾式現像がそれぞれ、図10C(ii)のプロセスフローに示されるように単一ツール内で実行される。様々な実施形態では、各プロセスに対して1つのチャンバを使用することができる。いくつかの実施形態では、基板は、プロセス間で待ち時間を有する場合がある。いくつかの実施形態では、待ち時間には、例えば、FOUP又は他の制御された環境において、ツール外で待機させてもよい。他の実施形態では、ウェハは、待ち時間なしで乾式EUV堆積チャンバからPABチャンバに直接移動してもよく、又はいずれかのプロセスチャンバ内で待ち時間として待機してもよい。これは、待ち時間を減少させ、及び/又は真空を維持するために有利であり、これら両方の結果、得られるPR膜の品質を改善できる。
図10D(i)の実施形態では、図10D(ii)のプロセスフローに示されるように、乾式堆積、PEB、及び乾式現像プロセスが単一のツール内で実行される。いくつかの実施形態では、PABチャンバ及びPEBチャンバは同じチャンバであってもよく、他の実施形態では、それらは異なるチャンバであってもよい。上述したように、いくつかの実施形態では、待ち時間の待機は、制御された環境においてツール外で行われてもよく、また他の実施形態では、ウェハがPEBチャンバから現像チャンバに直接移送されてもよい。これは、待ち時間を減少させ、及び/又は真空を維持するために有利であり、これら両方の結果、得られるPR膜の品質を改善できる。
図10E(i)の実施形態では、図10E(ii)のプロセスフローに示されるように、乾式堆積、PAB、PEB、及び乾式現像が単一のツール内で実行される。いくつかの実施形態では、放射線曝露は、別個のスキャナチャンバ内で、ツール外で行われ得る。上述したように、いくつかの実施形態では、待ち時間の待機は、制御された環境においてツール外で行われてもよく、また他の実施形態では、基板は、乾式堆積チャンバからPABチャンバへ、又はPEBチャンバから乾式現像チャンバへ直接移動されてもよい。そのような実施形態では、待ち時間の待機は、ツール上で行われてもよい。異なる動作のために同じツール上のチャンバ間で基板を移動させることは、待ち時間を短縮し、真空/環境制御を維持するために有利となり得る。
図10F(i)の実施形態では、スキャナが堆積チャンバ及び/又は湿式又は乾式現像チャンバと統合され得る。有機金属スズ前駆体アンプル1000及びEUV堆積モジュール1010は、EUV PRを乾式堆積するために使用され得る。EUV露光モジュール1020は、EUV PRの一部を放射線に露光し、露光された部分を化学的に変化させるために使用され得る。EUV現像モジュール1030は、湿式又は乾式プロセスを使用してEUV PRの一部を除去し、PRをネガ型レジスト又はポジ型レジストとして処理することができる。次いで、パターン転写モジュール1040は、例えば、現像されたEUV PRパターンに基づいてラインを形成するエッチングプロセスによって、EUV PRのパターンを下地層に転写することができる。いくつかの実施形態において、様々な処理環境からの副生成物は、共有され得るか、又は単一もしくは複数の排気低減システム1050に流れ得る。いくつかの実施形態において、図10F(ii)に示されるプロセスフローは、図10F(i)に示されるツールにおいて実行され得る。
いくつかの実施形態では、システムコントローラー(1つ以上の物理又は論理コントローラーを含み得る)は、クラスタツール及び/又はその別体のモジュールのいくつか又は全ての動作を制御する。コントローラーは、クラスタ構造に局所的となりうるか、製造現場又は遠隔位置においてクラスタ構造の外に設置されることができ、ネットワークを介してクラスタ構造に接続され得る。システムコントローラーは、1つ又は複数のメモリ装置及び1つ又は複数のプロセッサを含み得る。プロセッサは、中央処理装置(CPU)又はコンピュータ、アナログ及び/又はデジタル入出力接続部、ステッパモータ制御盤、及び他の同様な構成要素を備え得る。適切な制御操作を実施するための命令は、プロセッサで実行される。これらの命令は、コントローラーと結合したメモリ装置に記憶されるか、ネットワークを通じて提供され得る。特定の実施形態では、システムコントローラーはシステム制御ソフトウェアを実行する。
システム制御ソフトウェアは、ツール又はモジュール動作の任意の態様の適用タイミング及び/又は大きさを制御するための命令を含み得る。システム制御ソフトウェアは、任意の適切な形式で構成され得る。例えば、様々なプロセスツールコンポーネントサブルーチン又は制御対象を、様々なプロセスツールの処理を実行するのに必要なプロセスツールコンポーネントの制御操作に書き込んでもよい。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語にコード化されていてもよい。いくつかの実施形態では、システム制御ソフトウェアは、上述された様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含む。例えば、半導体製造プロセスの各フェーズは、システムコントローラーによる実行のための1つ又は複数の命令を含み得る。凝結、堆積、蒸発、パターニング、及び/又はエッチングフェーズのプロセス条件を設定する命令は、例えば対応するレシピフェーズに含まれ得る。
様々な実施形態において、ネガパターンマスクを形成するための装置が提供される。装置は、パターニング、堆積、及びエッチングのための処理チャンバ、及びネガパターンマスクを形成するための命令を含むコントローラーを含み得る。命令は、処理チャンバにおいて、半導体基板上の化学増幅(CAR)レジスト中のフィーチャをEUV露光によってパターニングして基板の表面を露出させ、フォトパターニングされたレジストを乾式現像し、パターン形成したレジストをマスクとして用いて下地層又は積層をエッチングするためのコードを含むことができる。
ウェハの移動を制御するコントローラーは、クラスタ構造に局所的となりうるか、製造現場又は遠隔位置においてクラスタ構造の外に設置されることができ、ネットワークを介してクラスタ構造に接続され得る。図6又は図7を参照して上述したようなコントローラーは、図11、図12、図13で説明したツール構造と同様に、図4、図5、図6、図7、図8、図9、又は図10A~10Fのいずれかに実装できる。
図11、12、及び図13Aと13Bは、いくつかの実施形態で使用され得るカリフォルニア州フリーモントのラムリサーチ・コーポレーションから入手可能なEOS又はSens.i製品に少なくとも部分的に実装され得るような、代替的処理ツール構造の構成を示す。
図11から図示するマルチステーション処理ツール1100は、任意のFOUPを介して基板を受け取るための機器フロントエンドモジュール(EFEM)を含み得る。マルチステーション処理ツール1100の切断面図である図12に示すように、EFEMロボット1204は、EFEM1104からロードポート1206を介して基板を移動させることができる。基板は、リニアトラック1214又はリフター1212上で基板を移動させ得る1つ又は複数のシャトル1208を介して移動可能である。次に、ウェハハンドリングロボット1210によって、基板をプロセスチャンバ1108に移動させることができる(図12では、マルチステーション処理ツール1100の合計16のプロセスチャンバに対し8チャンバを示す)。各プロセスチャンバは、本明細書で説明するように、様々なプロセスモード間で切り替え可能であり得る。
再び図11を参照すると、マルチステーション処理ツール1100は、FDS制御1114と共に、各プロセスチャンバにプロセスガス及び他の流体を送達するための流体送達システム(FDS)1112も含み得る。ファンフィルタユニット1102及び1106は、それぞれプロセスチャンバ及び通路部分において、クリーンな環境を確保するために使用され得る。いくつかの実施形態では、図11及び図12に示されるようなレジスト処理トラックが、乾式堆積EUV PRの湿式現像を実施するために使用され得る。
図13Aは、ポッド1308を介して装填されたカセットからウェハを移動させるように構成されたロボット1304を有する別のマルチステーション処理ツール1300の上面図を示す。第2のロボット1306は、ウェハを、本明細書に記載される動作を実行するための様々なプロセスチャンバ1302の1つに移動させることができる。図13Bは、マルチステーション処理ツール1300の側面図を示す。本明細書に記載される様々な実施形態は、図11、図12、及び図13に示されるようなクラスタ構造を使用して実行され得る。
結論:
前述の実施形態は、より明確な理解のために詳細に記載されているが、添付の特許請求の範囲内で、ある程度の変更及び修正が許容されることは明らかである。本明細書に記載された実施形態は、以下の具体的な構成の一部又は全部がない場合でも実施可能である。他の例では、本開示を不必要に曖昧にすることを避けるため、周知のプロセス操作については、詳細な説明を省略する。また、開示された実施形態は、具体定な実施形態に関連して説明されるが、開示された実施形態はそのような実施形態の具体例に限定されない。本実施形態のプロセス、システム、及び装置を実施する上で、多くの代替方法も存在することに留意されたい。従って、本実施形態は、あくまで例示であり、制限的なものではないと認識すべきであり、また、本実施形態は、本明細書に記載された詳細によって限定されない。
結論:
前述の実施形態は、より明確な理解のために詳細に記載されているが、添付の特許請求の範囲内で、ある程度の変更及び修正が許容されることは明らかである。本明細書に記載された実施形態は、以下の具体的な構成の一部又は全部がない場合でも実施可能である。他の例では、本開示を不必要に曖昧にすることを避けるため、周知のプロセス操作については、詳細な説明を省略する。また、開示された実施形態は、具体定な実施形態に関連して説明されるが、開示された実施形態はそのような実施形態の具体例に限定されない。本実施形態のプロセス、システム、及び装置を実施する上で、多くの代替方法も存在することに留意されたい。従って、本実施形態は、あくまで例示であり、制限的なものではないと認識すべきであり、また、本実施形態は、本明細書に記載された詳細によって限定されない。例えば、本開示は以下の形態として実現できる。
[形態1]
統合リソグラフィシステムであって
クラスタ内の複数の反応チャンバを含み、前記複数の反応チャンバは、
フォトレジスト(PR)堆積チャンバ;
塗布後ベーク(PAB)チャンバ;
露光後ベーク(PEB)チャンバ;
現像チャンバ;及び
1つ又は複数のプロセッサ及び1つ又は複数のメモリ装置を含むコントローラーを含み、
前記1つ又は複数のメモリ装置はコンピュータ実行可能命令を格納しており、前記コンピュータ実行可能命令は、前記1つ又は複数のプロセッサを、
前記PR堆積チャンバ内で基板を受け取り;
前記PR堆積チャンバ内で前記基板の表面にPRを堆積させ;
前記PABチャンバ内で前記PRを有する前記基板を受け取り;
前記PABチャンバ内で前記PRを処理し、前記PRの材料特性を変更し;
前記PRの処理後、前記PEBチャンバ内で前記基板を受け取り、前記PRの一部は、パターニングされたPRを作成するために、放射線への露光によって化学的に変化しており;
前記PEBチャンバ内で前記パターニングされたPRを処理し、前記パターニングされたPRの材料特性を変更し;
化合物への曝露を含む乾式現像プロセスによって、前記パターニングされたPRの露光部分又は非露光部分のいずれかを除去することによって、前記現像チャンバ内で前記パターニングされたPRを乾式現像させてPRマスクを形成する;
ように制御する、統合リソグラフィシステム。
[形態2]
形態1に記載のシステムであって、前記PRは金属含有PRであるシステム。
[形態3]
形態1に記載のシステムであって、前記PRはEUV PRであるシステム。
[形態4]
形態1に記載のシステムであって、前記複数の反応チャンバは、基板洗浄チャンバをさらに含み、前記コンピュータ実行可能命令は、前記PABチャンバ内で前記PRを有する前記基板を受け取るための前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、
前記洗浄チャンバ内で前記PRを有する前記基板を受け取り;
PRを前記基板のベベルエッジ及び/又は裏面から除去する乾式洗浄プロセスを行う;
ように制御する命令をさらに含む。
[形態5]
形態1に記載のシステムであって、前記複数の反応チャンバは、下地層堆積チャンバをさらに含み、前記コンピュータ実行可能命令は、PRを堆積させる前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、
乾式プロセスによって前記基板の前記表面に、前記基板への前記PRの接着性を増大させる下地層を堆積する;
ように制御する命令をさらに含むシステム。
[形態6]
形態5に記載のシステムであって、前記下地層堆積チャンバは前記PR堆積チャンバであるシステム。
[形態7]
形態1に記載のシステムであって、前記複数の反応チャンバは、前処理チャンバをさらに含み、前記コンピュータ実行可能命令は、前記PRを堆積させる前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、
乾式プロセスによって前記基板の前記表面を処理して、前記基板の前記表面により多くの化学官能基を生じさせて、前記基板へのPR接着性を高める;
ように制御する命令をさらに含むシステム。
[形態8]
形態1に記載のシステムであって、前記コンピュータ実行可能命令は、前記PABチャンバ内の前記基板のベベルエッジ及び/又は裏面からPRを除去するための乾式洗浄プロセスを実行するように、前記1つ又は複数のプロセッサを制御するための命令をさらに含むシステム。
[形態9]
形態1に記載のシステムであって、前記複数の反応チャンバは、リソグラフィスキャナをさらに含み、前記コンピュータ実行可能命令は、前記PEBチャンバ内で前記基板を受け取るための前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、
前記リソグラフィスキャナで前記基板を受け取り;
前記PRの一部を放射線に露光して、パターニングされたPRを作成する;
ように制御するための命令をさらに含むシステム。
[形態10]
形態1から9のいずれかに記載のシステムであって、前記複数の反応チャンバはそれぞれ大気圧以下であり、前記乾式現像プロセスが終了するまで前記PRは大気圧以下であるシステム。
[形態11]
形態1から9のいずれかに記載のシステムであって、前記基板の周囲環境を制御して、前記パターニングされたPRが乾式現像される前に前記フォトレジストが湿気にさらされるのを低減するシステム。
[形態12]
形態1から9のいずれかに記載のシステムであって、前記コンピュータ実行可能命令は、有機金属前駆体の水蒸気流をカウンター反応物の水蒸気流と混合することを含む乾式プロセスによって前記PRを堆積させるためのコンピュータ実行可能命令をさらに含むシステム。
[形態13]
形態1から9のいずれかに記載のシステムであって、前記複数の反応チャンバによって実行される前記プロセスは、全て乾式プロセスであるシステム。
[形態14]
形態1から9のいずれかに記載のシステムであって、前記複数の反応チャンバによって実行される前記プロセスは、湿式及び乾式プロセスを含むシステム。
[形態15]
形態1から9のいずれかに記載のシステムであって、前記クラスタは複数のPR堆積チャンバを含むシステム。
[形態16]
形態1から9のいずれかに記載のシステムであって、前記PABチャンバとPEBチャンバは同じチャンバであるシステム。
[形態17]
統合基板処理システムであって、複数の基板処理環境を含み、
前記複数の基板処理環境は、
金属含有フォトレジスト(PR)堆積環境;と、
以下からなる群:
付加的な金属含有フォトレジスト(PR)堆積環境;
金属含有PR現像環境;
熱処理加工環境;
から選択される1つ又は複数の他の別個の統合処理環境;及び
1つ又は複数のプロセッサ及び1つ又は複数のメモリ装置を含むコントローラー;
を含み、
前記1つ又は複数のメモリ装置は、コンピュータ実行可能命令を含み、前記コンピュータ実行可能命令は、前記1つ又は複数のプロセッサを、
前記金属含有PR堆積環境内で基板を受け取り;
前記金属含有PR堆積環境内で前記基板の表面に金属含有PRを堆積し;
前記1つ又は複数の他の別個の統合処理環境において、追加のPR処理操作を実行する;
ように制御するための命令を格納するシステム。
[形態18]
形態17に記載のシステムであって、前記複数の基板処理環境は、PR乾式現像処理環境をさらに含み、
前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
前記金属含有PRの一部を放射線に露光することによって化学的に変化させてパターニングされたPRを作成した後、化合物への曝露を含む乾式現像プロセスによって、前記パターニングされたPRの露光部分又は非露光部分のいずれかを除去することによって、前記現像環境内で前記パターニングされたPRを乾式現像させてPRマスクを形成する;
ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
[形態19]
形態17に記載のシステムであって、前記複数の基板処理環境は、熱処理加工環境をさらに含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
前記金属含有PRの一部が、パターニングされたPRを作成するために放射線への露光によって化学的に変化される前及び/又は後に、前記熱処理加工環境内で前記金属含有PRを熱処理する;
ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
[形態20]
形態17に記載のシステムであって、前記複数の基板処理環境は、スキャナ環境をさらに含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
前記金属含有PRの一部をスキャナ環境内で放射線に露光して、前記露光部分を化学的に変化させる;
ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
[形態21]
形態17に記載のシステムであって、前記複数の基板処理環境は、クラスタ内の異なるプロセスチャンバであるシステム。
[形態22]
形態17に記載のシステムであって、前記複数の基板処理環境は、チャンバ内の異なるステーションであるシステム。
[形態23]
形態17に記載のシステムであって、前記複数の基板処理環境は、3つの金属含有PR堆積環境を含むシステム。
[形態24]
形態17に記載のシステムであって、前記複数の基板処理環境は、2つの金属含有PR堆積環境と、金属含有PR現像環境とを含み、
前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化された後に、前記パターニングされたPRを乾式プロセスを介して現像する;
ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
[形態25]
形態17に記載のシステムであって、前記複数の基板処理環境は、金属含有PR堆積環境と、熱処理加工環境と、金属含有PR現像環境を含み、
前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化される前及び/又は後に、前記熱処理加工環境内で前記金属含有PRを熱処理し;
前記金属含有PRを前記熱処理加工環境で熱処理した後、前記パターニングされたPRを乾式プロセスを介して現像する;
ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
[形態26]
形態17に記載のシステムであって、前記複数の基板処理環境は、前記金属含有PR堆積環境と、第1の熱処理加工環境と、第2の熱処理加工環境と、金属含有PR現像環境とを含み、
前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化される前に、前記第1の熱処理加工環境内で前記金属含有PRを熱処理し;
前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化された後に、前記第2の熱処理加工環境内で前記金属含有PRを熱処理し;
前記金属含有PRを前記第2の熱処理加工環境で熱処理した後、前記パターニングされたPRを乾式プロセスを介して現像する;
ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。

Claims (26)

  1. 統合リソグラフィシステムであって
    クラスタ内の複数の反応チャンバを含み、前記複数の反応チャンバは、
    フォトレジスト(PR)堆積チャンバ;
    塗布後ベーク(PAB)チャンバ;
    露光後ベーク(PEB)チャンバ;
    現像チャンバ;及び
    1つ又は複数のプロセッサ及び1つ又は複数のメモリ装置を含むコントローラーを含み、
    前記1つ又は複数のメモリ装置はコンピュータ実行可能命令を格納しており、前記コンピュータ実行可能命令は、前記1つ又は複数のプロセッサを、
    前記PR堆積チャンバ内で基板を受け取り;
    前記PR堆積チャンバ内で前記基板の表面にPRを堆積させ;
    前記PABチャンバ内で前記PRを有する前記基板を受け取り;
    前記PABチャンバ内で前記PRを処理し、前記PRの材料特性を変更し;
    前記PRの処理後、前記PEBチャンバ内で前記基板を受け取り、前記PRの一部は、パターニングされたPRを作成するために、放射線への露光によって化学的に変化しており;
    前記PEBチャンバ内で前記パターニングされたPRを処理し、前記パターニングされたPRの材料特性を変更し;
    化合物への曝露を含む乾式現像プロセスによって、前記パターニングされたPRの露光部分又は非露光部分のいずれかを除去することによって、前記現像チャンバ内で前記パターニングされたPRを乾式現像させてPRマスクを形成する;
    ように制御する、統合リソグラフィシステム。
  2. 請求項1に記載のシステムであって、前記PRは金属含有PRであるシステム。
  3. 請求項1に記載のシステムであって、前記PRはEUV PRであるシステム。
  4. 請求項1に記載のシステムであって、前記複数の反応チャンバは、基板洗浄チャンバをさらに含み、前記コンピュータ実行可能命令は、前記PABチャンバ内で前記PRを有する前記基板を受け取るための前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、
    前記洗浄チャンバ内で前記PRを有する前記基板を受け取り;
    PRを前記基板のベベルエッジ及び/又は裏面から除去する乾式洗浄プロセスを行う;
    ように制御する命令をさらに含む。
  5. 請求項1に記載のシステムであって、前記複数の反応チャンバは、下地層堆積チャンバをさらに含み、前記コンピュータ実行可能命令は、PRを堆積させる前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、
    乾式プロセスによって前記基板の前記表面に、前記基板への前記PRの接着性を増大させる下地層を堆積する;
    ように制御する命令をさらに含むシステム。
  6. 請求項5に記載のシステムであって、前記下地層堆積チャンバは前記PR堆積チャンバであるシステム。
  7. 請求項1に記載のシステムであって、前記複数の反応チャンバは、前処理チャンバをさらに含み、前記コンピュータ実行可能命令は、前記PRを堆積させる前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、
    乾式プロセスによって前記基板の前記表面を処理して、前記基板の前記表面により多くの化学官能基を生じさせて、前記基板へのPR接着性を高める;
    ように制御する命令をさらに含むシステム。
  8. 請求項1に記載のシステムであって、前記コンピュータ実行可能命令は、前記PABチャンバ内の前記基板のベベルエッジ及び/又は裏面からPRを除去するための乾式洗浄プロセスを実行するように、前記1つ又は複数のプロセッサを制御するための命令をさらに含むシステム。
  9. 請求項1に記載のシステムであって、前記複数の反応チャンバは、リソグラフィスキャナをさらに含み、前記コンピュータ実行可能命令は、前記PEBチャンバ内で前記基板を受け取るための前記コンピュータ実行可能命令に先立って、前記1つ又は複数のプロセッサを、
    前記リソグラフィスキャナで前記基板を受け取り;
    前記PRの一部を放射線に露光して、パターニングされたPRを作成する;
    ように制御するための命令をさらに含むシステム。
  10. 請求項1から9のいずれかに記載のシステムであって、前記複数の反応チャンバはそれぞれ大気圧以下であり、前記乾式現像プロセスが終了するまで前記PRは大気圧以下であるシステム。
  11. 請求項1から9のいずれかに記載のシステムであって、前記基板の周囲環境を制御して、前記パターニングされたPRが乾式現像される前に前記フォトレジストが湿気にさらされるのを低減するシステム。
  12. 請求項1から9のいずれかに記載のシステムであって、前記コンピュータ実行可能命令は、有機金属前駆体の水蒸気流をカウンター反応物の水蒸気流と混合することを含む乾式プロセスによって前記PRを堆積させるためのコンピュータ実行可能命令をさらに含むシステム。
  13. 請求項1から9のいずれかに記載のシステムであって、前記複数の反応チャンバによって実行される前記プロセスは、全て乾式プロセスであるシステム。
  14. 請求項1から9のいずれかに記載のシステムであって、前記複数の反応チャンバによって実行される前記プロセスは、湿式及び乾式プロセスを含むシステム。
  15. 請求項1から9のいずれかに記載のシステムであって、前記クラスタは複数のPR堆積チャンバを含むシステム。
  16. 請求項1から9のいずれかに記載のシステムであって、前記PABチャンバとPEBチャンバは同じチャンバであるシステム。
  17. 統合基板処理システムであって、複数の基板処理環境を含み、
    前記複数の基板処理環境は、
    金属含有フォトレジスト(PR)堆積環境;と、
    以下からなる群:
    付加的な金属含有フォトレジスト(PR)堆積環境;
    金属含有PR現像環境;
    熱処理加工環境;
    から選択される1つ又は複数の他の別個の統合処理環境;及び
    1つ又は複数のプロセッサ及び1つ又は複数のメモリ装置を含むコントローラー;
    を含み、
    前記1つ又は複数のメモリ装置は、コンピュータ実行可能命令を含み、前記コンピュータ実行可能命令は、前記1つ又は複数のプロセッサを、
    前記金属含有PR堆積環境内で基板を受け取り;
    前記金属含有PR堆積環境内で前記基板の表面に金属含有PRを堆積し;
    前記1つ又は複数の他の別個の統合処理環境において、追加のPR処理操作を実行する;
    ように制御するための命令を格納するシステム。
  18. 請求項17に記載のシステムであって、前記複数の基板処理環境は、PR乾式現像処理環境をさらに含み、
    前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
    前記金属含有PRの一部を放射線に露光することによって化学的に変化させてパターニングされたPRを作成した後、化合物への曝露を含む乾式現像プロセスによって、前記パターニングされたPRの露光部分又は非露光部分のいずれかを除去することによって、前記現像環境内で前記パターニングされたPRを乾式現像させてPRマスクを形成する;
    ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
  19. 請求項17に記載のシステムであって、前記複数の基板処理環境は、熱処理加工環境をさらに含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
    前記金属含有PRの一部が、パターニングされたPRを作成するために放射線への露光によって化学的に変化される前及び/又は後に、前記熱処理加工環境内で前記金属含有PRを熱処理する;
    ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
  20. 請求項17に記載のシステムであって、前記複数の基板処理環境は、スキャナ環境をさらに含み、前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
    前記金属含有PRの一部をスキャナ環境内で放射線に露光して、前記露光部分を化学的に変化させる;
    ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
  21. 請求項17に記載のシステムであって、前記複数の基板処理環境は、クラスタ内の異なるプロセスチャンバであるシステム。
  22. 請求項17に記載のシステムであって、前記複数の基板処理環境は、チャンバ内の異なるステーションであるシステム。
  23. 請求項17に記載のシステムであって、前記複数の基板処理環境は、3つの金属含有PR堆積環境を含むシステム。
  24. 請求項17に記載のシステムであって、前記複数の基板処理環境は、2つの金属含有PR堆積環境と、金属含有PR現像環境とを含み、
    前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
    前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化された後に、前記パターニングされたPRを乾式プロセスを介して現像する;
    ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
  25. 請求項17に記載のシステムであって、前記複数の基板処理環境は、金属含有PR堆積環境と、熱処理加工環境と、金属含有PR現像環境を含み、
    前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
    前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化される前及び/又は後に、前記熱処理加工環境内で前記金属含有PRを熱処理し;
    前記金属含有PRを前記熱処理加工環境で熱処理した後、前記パターニングされたPRを乾式プロセスを介して現像する;
    ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
  26. 請求項17に記載のシステムであって、前記複数の基板処理環境は、前記金属含有PR堆積環境と、第1の熱処理加工環境と、第2の熱処理加工環境と、金属含有PR現像環境とを含み、
    前記1つ又は複数のメモリ装置は、前記1つ又は複数のプロセッサを、
    前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化される前に、前記第1の熱処理加工環境内で前記金属含有PRを熱処理し;
    前記金属含有PRの一部が、パターニングされたPRを作成するために、放射線への露光によって化学的に変化された後に、前記第2の熱処理加工環境内で前記金属含有PRを熱処理し;
    前記金属含有PRを前記第2の熱処理加工環境で熱処理した後、前記パターニングされたPRを乾式プロセスを介して現像する;
    ように制御するためのコンピュータ実行可能命令をさらに格納するシステム。
JP2023184334A 2020-07-07 2023-10-27 照射フォトレジストパターニングのための統合乾式プロセス Active JP7502545B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062705616P 2020-07-07 2020-07-07
US62/705,616 2020-07-07
JP2022552422A JP7382512B2 (ja) 2020-07-07 2021-07-02 照射フォトレジストパターニングのための統合乾式プロセス
JP2023063868A JP2023093567A (ja) 2020-07-07 2023-04-11 照射フォトレジストパターニングのための統合乾式プロセス

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2023063868A Division JP2023093567A (ja) 2020-07-07 2023-04-11 照射フォトレジストパターニングのための統合乾式プロセス

Publications (2)

Publication Number Publication Date
JP2024010120A true JP2024010120A (ja) 2024-01-23
JP7502545B2 JP7502545B2 (ja) 2024-06-18

Family

ID=79552053

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2022552422A Active JP7382512B2 (ja) 2020-07-07 2021-07-02 照射フォトレジストパターニングのための統合乾式プロセス
JP2023063868A Pending JP2023093567A (ja) 2020-07-07 2023-04-11 照射フォトレジストパターニングのための統合乾式プロセス
JP2023184334A Active JP7502545B2 (ja) 2020-07-07 2023-10-27 照射フォトレジストパターニングのための統合乾式プロセス

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2022552422A Active JP7382512B2 (ja) 2020-07-07 2021-07-02 照射フォトレジストパターニングのための統合乾式プロセス
JP2023063868A Pending JP2023093567A (ja) 2020-07-07 2023-04-11 照射フォトレジストパターニングのための統合乾式プロセス

Country Status (6)

Country Link
US (3) US20230045336A1 (ja)
EP (2) EP4235757A3 (ja)
JP (3) JP7382512B2 (ja)
KR (3) KR102601038B1 (ja)
CN (2) CN115004110A (ja)
WO (1) WO2022010809A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58108744A (ja) * 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6112653U (ja) * 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS642330A (en) 1987-06-25 1989-01-06 Nippon Mining Co Ltd Film carrier and manufacture thereof
JPH0637050A (ja) * 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) * 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
JPH1041206A (ja) * 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
KR100265766B1 (ko) * 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
WO2000003058A1 (en) * 1998-07-10 2000-01-20 Ball Semiconductor, Inc. Cvd photo resist and deposition
JP2002015971A (ja) * 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP2002100558A (ja) * 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
DE10138105A1 (de) 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
KR100443509B1 (ko) 2001-12-21 2004-08-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US6911067B2 (en) 2003-01-10 2005-06-28 Blue29, Llc Solution composition and method for electroless deposition of coatings free of alkali metals
JP2004259786A (ja) * 2003-02-24 2004-09-16 Canon Inc 露光装置
US6902605B2 (en) 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
US6794288B1 (en) 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
JP4459666B2 (ja) * 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
JP2006310681A (ja) * 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
US7691559B2 (en) * 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
JP4428717B2 (ja) * 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
JP4966922B2 (ja) * 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
JP5572560B2 (ja) * 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
WO2013078211A1 (en) 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
KR102306612B1 (ko) * 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9451614B2 (en) 2014-07-21 2016-09-20 Qualcomm Incorporated System and methods for improving performance of a multi-SIM wireless device operating in single-SIM or multi-SIM standby mode
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
JP6805244B2 (ja) 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
NL2018040A (en) * 2015-12-23 2017-06-28 Asml Netherlands Bv Method for removing photosensitive material on a substrate
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10074543B2 (en) * 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10096477B2 (en) * 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
JP7101036B2 (ja) * 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
KR20200144580A (ko) * 2018-05-11 2020-12-29 램 리써치 코포레이션 Euv 패터닝 가능한 하드 마스크들을 제조하기 위한 방법들
WO2019222320A1 (en) * 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic layer self aligned substrate processing and integrated toolset
FI129480B (en) * 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
US20220020584A1 (en) * 2018-12-20 2022-01-20 Lam Research Corporation Dry development of resists
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
CN115004110A (zh) * 2020-07-07 2022-09-02 朗姆研究公司 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺

Also Published As

Publication number Publication date
CN115004110A (zh) 2022-09-02
US20230045336A1 (en) 2023-02-09
JP7502545B2 (ja) 2024-06-18
JP7382512B2 (ja) 2023-11-16
KR20220122745A (ko) 2022-09-02
KR20230159618A (ko) 2023-11-21
KR20230052991A (ko) 2023-04-20
JP2023507677A (ja) 2023-02-24
EP4235757A3 (en) 2023-12-27
US20230290657A1 (en) 2023-09-14
TW202215162A (zh) 2022-04-16
EP4078292A1 (en) 2022-10-26
US20240145272A1 (en) 2024-05-02
WO2022010809A1 (en) 2022-01-13
JP2023093567A (ja) 2023-07-04
EP4235757A2 (en) 2023-08-30
CN116626993A (zh) 2023-08-22
EP4078292A4 (en) 2023-11-22
KR102601038B1 (ko) 2023-11-09

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
JP2022538554A (ja) フォトレジスト膜のチャンバ乾式洗浄
US20240036483A1 (en) Process tool for dry removal of photoresist
JP2023531102A (ja) フォトレジストの乾式裏面及びベベルエッジ洗浄
TWI845848B (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
KR102676684B1 (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
TW202422244A (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상
KR20240095473A (ko) 금속 함유 포토레지스트로부터 금속성 오염의 제어
TW202417971A (zh) 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影
CN118020031A (zh) 含金属光致抗蚀剂的再加工

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231127

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231127

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20231127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240606