TW202215162A - 用於圖案化輻射光阻圖案化的整合型乾式製程 - Google Patents

用於圖案化輻射光阻圖案化的整合型乾式製程 Download PDF

Info

Publication number
TW202215162A
TW202215162A TW110124741A TW110124741A TW202215162A TW 202215162 A TW202215162 A TW 202215162A TW 110124741 A TW110124741 A TW 110124741A TW 110124741 A TW110124741 A TW 110124741A TW 202215162 A TW202215162 A TW 202215162A
Authority
TW
Taiwan
Prior art keywords
metal
chamber
processing
substrate
dry
Prior art date
Application number
TW110124741A
Other languages
English (en)
Inventor
正義 游
暹華 陳
穆罕默德 哈龍 阿爾文
理查 威茲
陽 潘
理查 A 古思喬
艾里恩 拉芙依
希瓦難陀 克里希那 卡那卡沙巴怕希
蒂莫西 威廉 魏德曼
林慶煌
杰羅姆 胡巴切克
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202215162A publication Critical patent/TW202215162A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

一種在半導體基板上製造薄膜的方法,其可利用EUV進行圖案化,該方法包含:於半導體基板之表面上沉積有機金屬聚合物類的材料,將該表面曝光至EUV以形成圖案,並顯影該圖案以備之後轉移至下方層。該沉積操作可藉由化學氣相沉積(CVD)、原子層沉積(ALD)、以及具有CVD元素之ALD(例如不連續之類ALD的處理,其中金屬前驅物及反反應物係在時間上或空間上是分開的)來執行。

Description

用於圖案化輻射光阻圖案化的整合型乾式製程
此處提供之背景描述係為了概括地呈現本技術的背景。當前列名發明人的工作成果,到其在本技術領域中描述的範圍,以及在提出申請時可能不符合先前技術的描述態樣,均未明示或暗示承認為相對於本技術之先前技術。
半導體裝置(例如積體電路)的製造是一種涉及微影的多步驟處理。通常,該處理包含在晶圓上沉積材料,以及藉由微影技術圖案化材料以形成半導體裝置的結構特徵部(例如電晶體及電路)。本領域已知之典型微影處理的步驟包含:準備基板;例如藉由旋塗來施加光阻;將光阻以所需圖案曝光,使光阻的曝光部分或多或少可溶於顯影液;施加顯影液進行顯影,以移除光阻的曝光或未曝光部分;以及進行隨後的處理,例如藉由蝕刻或材料沉積,以在已移除光阻的基板區域上產生特徵部。
半導體設計的發展產生了在半導體基板材料上創造更小特徵部的需求,並受到這種能力的推動。這種技術的進步在「摩爾定律」中係描述為在密集積體電路中之電晶體密度每兩年會加倍一次。事實上,晶片設計及製造已經進步到使得現代微處理器可能在單一晶片上包含數十億個電晶體及其他電路特徵部。此類晶片上的個別特徵部可能是約22 奈米 (nm) 或更小的級別,在某些情況下小於10 nm。
製造具有如此小特徵部之裝置的一個挑戰是能夠可靠且可重覆地產生具有足夠解析度的微影遮罩。當前的微影處理通常使用 193 nm 紫外 (UV) 光來曝光光阻。光的波長明顯大於要在半導體基板上產生之特徵部之所需尺寸的這個事實產生了固有問題。達成小於光波長的特徵部尺寸乃需要使用例如多重圖案化的複雜解析度增強技術。因此,在開發使用例如極紫外輻射(EUV)之較短波長光(例如具有10 nm至15 nm,例如13.5 nm)的微影技術態樣存在顯著的興趣以及研究努力。然而,EUV 微影處理可能會帶來挑戰,包含低功率輸出以及在圖案化期間缺乏光的問題。類似於 193 nm UV微影中使用的那些傳統有機化學增幅光阻劑 (CAR) 在用於 EUV 微影時具有潛在的缺點,尤其是因為它們在 EUV 區域具有低吸收係數,且光活化之化學物質的擴散會導致模糊或線邊緣粗糙度。此外,為了提供對下層裝置層進行圖案化所需的抗蝕刻性,在傳統 CAR 材料中圖案化的小特徵部可能會導致高深寬比,進而出現圖案坍塌的風險。因此,仍然需要有較佳的EUV光阻材料,其具有例如較薄的厚度、更大的吸光性以及更大的抗蝕刻性等特性。
本文揭露了用於形成圖案化光阻之整合型製程的方法和系統。在本文揭露之實施例的一態樣中提供了一種整合型微影系統,該系統包含:一叢集內的複數反應室,該複數反應室包含:光阻(PR)沉積室、應用後烘烤室(PAB) 室、曝光後烘烤 (PEB) 室和顯影室,以及包含一或多個處理器和一或多個記憶體裝置的控制器,其中該一或多個記憶體裝置係儲存用以控制該一或多個處理器之電腦可執行指令以進行下列各者:在PR沉積室中接收基板,於PR沉積室中在基板之表面上沉積PR,在PAB室中接收具有PR之基板,在PAB室中處理PR,以修正PR之材料特性,在處理PR之後,在PEB室中接收基板,其中部分之PR已藉由曝光至輻射而化學地改變,以產生圖案化PR;在PEB室中處理圖案化PR,以修正圖案化PR之材料特性,以及藉由乾式顯影製程移除圖案化PR之曝光部分或未曝光部分,而在顯影室中乾式顯影圖案化PR,該乾式顯影製程係包含暴露至化合物以形成PR遮罩。
在一些實施例中,PR可以為含金屬的PR。在一些實施例中,PR可以為EUV PR。在一些實施例中,複數反應室還包含基板清潔室,且在PAB室中接收具有PR之基板的電腦可執行指令之前,電腦可執行指令係進一步包含用於控制一或多個處理器的指令以進行下列各者:在清潔室中接收具有PR之基板,以及執行乾式清潔製程,以自基板之斜角緣部及/或背側移除PR。在一些實施例中,複數反應室係進一步包含下層沉積室,且在沉積PR之電腦可執行指令之前,電腦可執行指令係進一步包含用於控制一或多個處理器的指令以進行下列各者:藉由乾式製程而在基板表面上沉積下層,其中下層係增加PR對基板之黏著性。在一些實施例中,下層沉積室可以為PR沉積室。
在一些實施例中,複數反應室係進一步包含預處理室,且在沉積含金屬PR之電腦可執行指令之前,該電腦可執行指令係進一步包含用於控制該一或多個處理器的指令以進行下列各者:藉由乾式製程來處理基板之表面,以引起基板之表面上之更多的外露羥基基團。在一些實施例中,複數反應室的每一個均處於低於大氣壓力,且PR係處於低於大氣壓力直到乾式顯影製程之後。在一些實施例中,可以控制基板的周圍環境以在對圖案化PR進行乾式顯影之前減少光阻暴露至水氣的機會。在一些實施例中,電腦可執行指令係進一步包含藉由乾式製程沉積PR的電腦可執行指令,乾式製程係包含將有機金屬前驅物之蒸氣流與反反應物之蒸氣流混合。在一些實施例中,複數反應室進行的製程都是乾式製程。在一些實施例中,由複數反應室執行的製程包含濕式製程和乾式製程。
在一些實施例中,叢集包含多個PR沉積室。在一些實施例中,PAB室和PEB室為相同的腔室。在一些實施例中,電腦可執行指令還包含用於控制一或多個處理器以執行乾式清潔製程而在PAB腔室內自基板的斜角緣部及/或背側移除PR的指令。在一些實施例中,複數反應室係進一步包含微影掃描器,且在PEB室中接收基板之電腦可執行指令之前,電腦可執行指令係進一步包含用於控制一或多個處理器的指令以進行下列各者:在微影掃描器中接收基板,並將部份之PR曝光至輻射以產生圖案化PR。在一些實施例中,複數基板處理環境係進一步包含熱處理製程環境,而一或多個記憶體裝置係儲存用以控制一或多個處理器之進一步的電腦可執行指令,以進行下列各者:在部分之含金屬PR已藉由曝光至輻射而化學地改變以產生圖案化PR之前及/或之後,於熱處理製程環境中熱處理含金屬PR。
在本文揭露之實施例的另一態樣中,提供了一種整合型基板處理系統,該系統包含:複數基板處理環境,該複數基板處理環境係包含含金屬光阻(PR)沉積環境以及選自由下列各者組成之群組的一或多個其他不同的整合型處理環境:額外的含金屬光阻(PR)沉積環境;含金屬PR顯影環境,以及熱處理製程環境;以及包含一或多個處理器及一或多個記憶體裝置之控制器,其中一或多個記憶體裝置係儲存用以控制一或多個處理器之電腦可執行指令以進行下列各者:在含金屬PR沉積環境中接收基板;於含金屬PR沉積環境中在基板表面上沉積含金屬PR;以及在一或多個其他不同的整合型處理環境中執行額外的PR處理操作。
在一些實施方式中,複數基板處理環境係進一步包含PR乾式顯影處理環境,且一或多個記憶體裝置係儲存用以控制一或多個處理器之進一步的電腦可執行指令,以進行下列各者:在部分之含金屬PR已藉由曝光至輻射而化學地改變以產生圖案化PR之後,藉由乾式顯影製程移除圖案化PR之曝光部分或未曝光部分,而在顯影環境中乾式顯影圖案化PR,乾式顯影製程係包含暴露至化合物以形成PR遮罩。在一些實施方式中,複數基板處理環境係進一步包含掃描器環境,且一或多個記憶體裝置係儲存用於控制一或多個處理器之進一步的電腦可執行指令以進行下列各者:在掃描器環境中將部份之含金屬PR曝光至輻射,以化學地改變曝光部分。
在一些實施方式中,複數基板處理環境為叢集內之不同處理室。在一些實施方式中,複數基板處理環境為腔室內的不同站。在一些實施方式中,複數基板處理環境係包含三個含金屬PR沉積環境。在一些實施方式中,複數基板處理環境係包含兩個含金屬PR沉積環境以及一個含金屬PR顯影環境,且一或多個記憶體裝置係儲存用於控制一或多個處理器之進一步的電腦可執行指令以進行下列各者:藉由曝光至輻射而將部份之含金屬PR化學地改變以產生圖案化PR之後,藉由乾式製程顯影圖案化PR。
在一些實施方式中,複數基板處理環境係包含含金屬PR沉積環境、熱處理製程環境、以及含金屬PR顯影環境,且一或多個記憶體裝置係儲存用於控制一或多個處理器之進一步的電腦可執行指令以進行下列各者:藉由曝光至輻射而將部份之含金屬PR化學地改變以產生圖案化PR之前及/或之後,在熱處理製程環境內熱處理含金屬PR,以及在熱處理製程環境內熱處理含金屬PR之後,藉由乾式製程顯影圖案化PR。在一些實施方式中,複數基板處理環境係包含含金屬PR沉積環境、第一熱處理製程環境、第二熱處理製程環境、以及含金屬PR顯影環境,且一或多個記憶體裝置係儲存用於控制一或多個處理器之進一步的電腦可執行指令以進行下列各者:藉由曝光至輻射而將部份之含金屬PR化學地改變以產生圖案化PR之前,在第一熱處理製程環境內熱處理含金屬PR,藉由曝光至輻射而將部份之含金屬PR化學地改變以產生圖案化PR之後,在第二熱處理製程環境內熱處理含金屬PR,以及在第二熱處理製程環境內熱處理含金屬PR之後,藉由乾式製程顯影圖案化PR。
下面將參考相關附圖詳細描述所揭露實施例的這些和其他特徵。
本揭露內容係大致涉及半導體加工領域。在特定的態樣中,本揭露內容係關於在EUV圖案化及EUV圖案化薄膜顯影的背景中處理EUV光阻(例如對EUV-敏感的金屬以及/或含金屬氧化物的阻劑薄膜)以形成圖案化遮罩之方法及設備。
此處係詳細參照本揭露內容之特定實施例。特定實施例之範例係由附圖說明。雖然將結合此等特定實施例來描述本揭露內容,但吾人應當理解,其並非旨在將本揭露內容限制於此等特定實施例。相反地,其係意欲將替代物、修改及等效物可以包含在本揭露內容的精神及範圍內。在以下描述中,闡述了許多具體細節以便提供對所呈現揭露內容的透徹理解。可以在沒有這些具體細節中的一些或全部的情況下實踐本揭露內容。在其他情況下,不詳細描述為人熟知之處理操作,以免不必要地模糊本揭露內容。
半導體處理中之薄膜的圖案化通常是半導體製造中的一個重要步驟。圖案化係涉及微影。在例如 193 nm 微影的傳統微影技術中,藉由將光子從光子源發射到遮罩上並將圖案印刷到光敏感光阻上來印刷圖案,從而在光阻中引起化學反應,並在顯影之後移除光阻的某些部分來形成圖案。
先進技術節點(如國際半導體技術路線圖所定義)包含 22  nm、16  nm及以上的節點。例如在 16  nm節點中,鑲嵌結構中的典型通孔或線的寬度通常不大於約 30  nm。先進半導體積體電路(IC) 以及其他裝置上的特徵縮放正在推動微影技術以提高解析度。
極紫外 (EUV) 微影可以藉由使用比傳統微影方法更小的成像源波長來擴展微影技術。大約 10-20 nm 或 11-14 nm 波長(例如 13.5 nm 波長)的 EUV 光源可用於尖端的微影工具,也稱為掃描器。EUV 輻射會在包含石英以及水蒸氣在內之大範圍的固體以及流體材料中被強烈吸收,因此在真空中運行。
EUV 微影係利用 EUV阻劑,這些阻劑經過圖案化以形成用於蝕刻下層的遮罩。EUV 阻劑可以是藉由基於液體的旋塗技術生產之基於聚合物的化學增幅光阻劑 (CAR)。CAR的替代物為可直接光圖案化之含金屬氧化物膜,例如那些可從俄勒岡州科瓦利斯市的Inpria公司取得並描述於例如美國專利公開案第US 2017/0102612、US 2016/021660以及US 2016/0116839號中,至少其揭露之可光圖案化的含金屬氧化物膜係藉由參照而併入在此。這種薄膜可以藉由旋塗技術或乾式氣相沉積來生產。可以藉由在真空環境中的 EUV 曝光來直接圖案化含金屬氧化物膜(即不使用另外的光阻),提供低於 30 nm 的圖案化解析度,例如在2018年 6 月 12 日授權的美國專利第 9,996,004號 (標題為 EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS)以及/或在 2019 年 5 月 9 日提交的專利申請案第 PCT/US19/31618號 (標題為 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS)所說明者,其揭露內容係至少關於可直接光圖案化金屬氧化物膜的組成、沉積以及圖案化以形成 EUV 阻劑遮罩,其內容係藉由引用而併入本文。通常,圖案化係涉及用EUV輻射對EUV阻劑進行曝光,以在阻劑中形成光圖案,接著使其顯影以根據光圖案移除一部分阻劑以形成遮罩。
吾人亦應理解,雖然本揭露係關於以EUV微影為例的微影圖案化技術以及材料,但其亦適用於其他的下一世代微影技術。除了包含目前正使用以及開發之標準13.5 nm EUV波長的EUV之外,與這種微影最相關的輻射源是DUV(深紫外光),其一般是指使用248 nm或193 nm準分子雷射光源、X射線,其正式包含在X射線範圍中之較低能量範圍的EUV以及可以覆蓋較寬能量範圍的電子束。具體方法可能取決於半導體基板以及最終半導體裝置中使用的特定材料以及應用。因此,本申請案中描述的方法僅僅是可用於本技術的方法以及材料的例示。
可直接光圖案化的 EUV 阻劑可以由金屬以及/或在有機成分中混合的金屬氧化物組成或包含金屬以及/或在有機成分中混合的金屬氧化物。此金屬/金屬氧化物非常有前途,因為它們可以增強 EUV 光子吸附並產生二次電子以及/或顯示出對下面的薄膜堆疊以及裝置層的蝕刻選擇性增加。迄今為止,這些阻劑係使用濕式(溶劑)方法顯影,其需要將晶圓移動到軌道而在軌道處暴露在顯影溶劑中、乾燥及烘烤。濕式顯影不僅會限制生產率,而且由於在精細特徵之間的溶劑蒸發期間的表面張力效應,還可能導致線塌陷。
已經提出乾式顯影技術來藉由消除基板分層及界面故障來克服這些問題。乾式顯影有其自身的挑戰,包含在未曝光和 EUV 曝光的阻劑材料之間的蝕刻選擇性,與濕式顯影相比,這可能導致對有效阻劑曝光之尺寸要求的劑量更高。由於在蝕刻氣體下暴露時間較長,次佳的選擇性也會導致 PR 角變圓,這可能會使後續轉移蝕刻步驟中之線臨界尺寸 (CD) 的變化增加。
圖1呈現了根據一些實施例中當沉積及顯影光阻時執行乾式腔室清潔之範例性方法的流程圖。處理100的操作可以以不同的順序以及/或以不同的、更少的或額外的操作來執行。處理100的一或多個操作可以使用本文描述的諸多設備來執行。在一些實施例中,可以至少部分地根據儲存在一或多個非暫態性電腦可讀媒體中的軟體來實現處理100的操作。
在處理100的方塊102,沉積一層光阻。這可以是例如氣相沉積處理的乾式沉積處理或例如旋塗沉積處理的濕式處理。光阻可以為 EUV 阻劑或含金屬的 EUV 殘留物。圖2展示了一種範例性製程,藉由該製程可以形成聚合的有機金屬材料以沉積含金屬EUV阻劑。
返回圖1,在處理100的方塊102中,除了在半導體基板上沉積含金屬EUV阻劑薄膜之外,還可以在處理室的內表面上形成含金屬EUV阻劑材料。內表面可以包含處理室的腔室壁、地板和天花板。其他內表面可以包含噴淋頭、噴嘴和基板支撐件表面。例如 CVD 或 ALD 製程之乾式沉積製程的結果可以形成含金屬 EUV 阻劑材料。由於在處理室中執行額外的處理(例如沉積)操作,在內表面上形成之含金屬EUV阻劑材料的厚度會隨著時間增加。含金屬 EUV 阻劑材料易於從處理室的內表面片狀剝落、抖落顆粒或剝離,而在處理期間污染後續的半導體基板。
在處理100的方塊150中,於處理100之方塊102 中在半導體基板上沉積含金屬EUV阻劑薄膜之後,可以執行本揭露內容的乾式腔室清潔操作。這允許沉積和乾式清潔在同一處理室中進行。然而吾人應當理解,在實施例中,可以在與沉積操作不同的處理室中執行乾式腔室清潔。事實上,乾式腔室清潔可以在斜角及/或背側清潔、烘烤、顯影或蝕刻操作之後進行。
被移除之乾式沉積的含金屬 EUV 光阻薄膜通常由 Sn、O 和 C 組成,但相同的清潔方法可以擴展到其他金屬氧化物阻劑和材料的膜。此外,這種方法還可以用於薄膜移除和 PR二次加工。
在處理100的方塊104處,執行可選的清潔製程以清潔半導體基板的背側及/或斜角緣部。背側及/或斜角緣部清潔可以非選擇性地蝕刻 EUV 阻劑膜,以同等地移除基板背側和斜角緣部上具有多種氧化或交聯程度的膜。在藉由濕式沉積處理或乾式沉積處理施加可 EUV 圖案化膜的處理期間,可能會在基板的斜角緣部及/或背側上無意中沉積一些阻劑材料。這種非預期的沉積可能導致不希望有的粒子之後移動到半導體基板的頂表面並變成顆粒缺陷。此外,這種斜角緣部和背側沉積會導致下游處理問題,包含圖案化(掃描器)和顯影工具的污染。傳統上,這種斜角緣部和背側沉積物的移除係藉由濕式清潔技術完成的。對於旋塗光阻材料,此處理稱為邊緣珠粒移除 (EBR),其係藉由在基板旋轉時從斜角緣部上方和下方引導溶劑流來執行。相同的製程可以應用至藉由氣相沉積技術沉積之可溶性有機錫氧化物基阻劑。基板之斜角緣部及/或背側清潔也可以是乾式清潔製程。
在處理100的方塊150處,可以在斜角緣部及/或背側清潔操作之後執行本揭露內容之乾式腔室清潔操作。這允許在同一處理室中執行斜角及/或背側清潔及乾式腔室清潔。然而吾人應當理解,在一些實施例中,乾式腔室清潔可以在與斜角緣部及/或背側清潔操作不同的處理室中進行。
在處理100的方塊106處,在沉積EUV可圖案化薄膜之後且在EUV曝光之前執行可選的應用後烘烤(PAB)。 PAB處理可能涉及熱處理、化學暴露以及水分的組合,以增加EUV可圖案化薄膜的EUV敏感性,減少EUV劑量以在EUV可圖案化薄膜中形成圖案。
在處理100的方塊150處,可以在PAB處理之後執行本揭露內容的乾式腔室清潔操作。這允許在同一處理室中執行烘烤和乾式腔室清潔。然而吾人應當理解,在一些實施例中,乾式腔室清潔可以在與PAB處理操作不同的處理室中進行。
在處理100的方塊108中,含金屬EUV阻劑膜係暴露於EUV輻射以顯影圖案。一般而言,EUV 曝光會導致含金屬 EUV 阻劑膜中的化學組成及交聯發生變化,從而在蝕刻選擇性方面形成可用於後續顯影的對比度。在將含金屬 EUV 阻劑膜暴露於 EUV 光之後,提供光圖案化之含金屬 EUV 阻劑。光圖案化之含金屬 EUV 阻劑係包含 EUV 曝光區域和未曝光區域。
在處理100的方塊110處,執行可選的曝光後烘烤(PEB)以進一步增加光圖案化之含金屬EUV阻劑之蝕刻選擇性的對比度。可在各個化學物質存在下對光圖案化之含金屬EUV阻劑進行熱處理,以促進光圖案化之含金屬EUV阻劑之EUV曝光區域的交聯,或者僅是在環境空氣中於熱板上烘烤。
在處理100的方塊150處,可以在PEB處理之後執行本揭露內容的乾式腔室清潔操作。這允許在同一處理室中執行烘烤和乾式腔室清潔。然而吾人應當理解,在一些實施例中,乾式腔室清潔可以在與PEB處理操作不同的處理室中進行。
在處理100的方塊112處,使光圖案化之含金屬EUV阻劑顯影以形成阻劑遮罩。在各個實施例中,將曝光區域移除(正型)或將未曝光區域移除(負型)。在一些實施例中,顯影可以包含在光圖案化之含金屬EUV阻劑的曝光或未曝光區域上的選擇性沉積,接著是蝕刻操作。在各個實施例中,這些處理可以是乾式處理或濕式處理。顯影處理的範例包含含有有機錫氧化物的EUV敏感光阻薄膜(例如10-30 nm厚,例如20 nm),經受EUV曝光劑量以及曝光後烘烤,接著顯影。光阻膜可以例如基於有機錫前驅物(例如異丙基(三)(二甲氨基)錫)及水蒸氣的氣相反應而沉積,或者可以是在有機基質中包含錫簇團的旋塗膜。光圖案化之含金屬EUV阻劑係藉由暴露於顯影化學物質而顯影。在一些實施例中,顯影化學物質包含含鹵化物的化學物質。
在處理100的方塊150處,可以在顯影之後執行本揭露內容的乾式腔室清潔操作。這允許在同一處理室中執行顯影和乾式腔室清潔。然而吾人應當理解,在一些實施例中,可以在與顯影操作不同的處理室中執行乾式腔室清潔。此外吾人應當理解,在一些實施例中,乾式腔室清潔可以在與蝕刻操作相同或不同的處理室中進行。可以應用蝕刻操作來蝕刻半導體基板之基板下層。
下面進一步闡明操作102-112中的每一個。在各個實施例中,本技術的方法結合了藉由氣相沉積、(EUV)微影光圖案化及乾式顯影的所有成膜的乾式步驟。在其他實施例中,本技術的方法包含濕式沉積和乾式顯影,或乾式沉積和濕式顯影。在一些製程中,在 EUV 掃描器中進行光圖案化後,基板可以直接進入乾式顯影/蝕刻室。這樣的處理可以避免與濕式顯影相關的材料和生產成本。或者,可在顯影室或另一室中進行曝光後烘烤步驟,在該步驟期間曝光區域經歷進一步交聯以形成更緻密的類SnO網路。
在一些實施例中,可以向諸多處理環境提供基板以執行操作102-112中的每一個。例如,可能有PR沉積環境、用於PAB及/或PEB的一或多個熱處理環境、晶圓清潔環境、掃描環境和PR顯影環境。如下文將描述的,在一些實施例中,這些環境中的一或多個可以是腔室內的站/基座、具有一或多個基座的腔室及/或具有一或多個腔室的多腔室叢集工具。在一些實施例中,可以在單一處理環境中執行多個操作,例如,熱處理環境和晶圓清潔環境可以為相同的處理環境,其中可以依序地執行這兩個操作而不需將晶圓移動到不同的基座、腔室,或工具。在一些實施例中,多個處理環境可在同一腔室或工具內,例如熱處理環境和晶圓清潔環境可在四站式模組腔室內的不同站。在一些實施例中,可以在同一工具中提供複數相同類型的處理環境,例如以在多操作晶圓處理中當一處理操作比另一處理操作慢得多的情況下提高或最佳化產量。上面定義之環境的其他組合應被理解為在本揭露內容的範圍內。
在不限制本技術的機制、功能或效用的情況下,本技術的乾式製程可提供相對於濕式顯影製程之本領域已知的多種益處。例如,本文所述之乾式氣相沉積技術可用於沉積比使用濕式旋塗技術可以應用之更薄且更無缺陷的膜,且沉積膜的確切厚度可以僅藉由增加或減少沉積步驟的長度或順序來調製和控制。因此,乾式製程可以提供更多的可調性,並提供進一步的臨界尺寸 (CD) 控制和浮渣移除。乾式顯影可以提高性能(例如防止由於濕式顯影中之表面張力所造成的線塌陷)並提高產量(例如藉由避免濕式顯影軌跡)。其他的優點可能包含排除有機溶劑顯影劑的使用、降低對黏著性問題的敏感性、避免需要施加和移除濕式阻劑配方(例如避免浮渣和圖案變形)、改善線條邊緣粗糙度、直接在裝置表面上圖案化、提供能夠針對特定的基板和半導體裝置設計而調整硬遮罩化學物質,且沒有其他基於溶解度的限制。
在某些情況下,濕式和乾式的組合可能為合適的或最佳的,例如在濕式晶圓處理設備上進行了大量資本投資的情況下,其性能可以藉由在多操作製程的某些操作中使用整合型的乾式處理和相關設備來提高。
因此,本揭露內容提供配置用於作為EUV阻劑遮罩形成製程之一部分的EUV光圖案化金屬及/或含金屬氧化物阻劑的乾式顯影之製程和設備。多種實施例包含結合藉由氣相沉積、(EUV)微影光圖案化及乾式顯影之膜形成的所有乾式操作。多種其他實施例包含本文所述之乾式處理操作係有利地與濕式處理操作結合,例如可從 Inpria Corp. 獲得之旋塗 EUV 光阻(濕式製程)可以與乾式顯影或本文所述之其他濕式或乾式製程結合。在各個實施例中,晶圓清潔可以是本文所述之濕式製程,而其他製程為乾式製程。在進一步的實施例中,濕式顯影製程可以與PR膜的(乾式)氣相沉積結合使用。 微影製程
圖3A-E顯示金屬光阻硬遮罩形成製程的另一個代表性製程流程。通常,對例如光子、電子、質子、離子或中性物質的圖案化劑敏感的含金屬膜係沉積在半導體基板上,使得該膜可以藉由暴露於這些物質之一而被圖案化。接著藉由在真空環境中暴露於圖案化劑來圖案化含金屬膜,以形成金屬遮罩。本描述係主要參考含金屬膜,尤其是金屬為 Sn 的情況下,藉由極紫外微影 (EUV 微影 (EUVL))進行圖案化,尤其是具有使用激發之Sn 液滴的 EUV 源的 EUVL。這種膜在本文中係稱為EUV敏感膜。然而吾人應當理解,其他實施方式也為可能的,包含不同的含金屬膜和圖案化劑/技術。
參照圖3A,顯示欲進行圖案化的半導體基板300。在典型範例中,半導體基板300為包含部分形成之積體電路的矽晶圓。
圖3B圖示了對沉積在半導體基板300上之圖案化劑敏感的含金屬膜302。含金屬膜可以為金屬鹽(例如金屬鹵化物),或對暴露於圖案化劑敏感的有機金屬化合物,使得含金屬膜變得分解為基底金屬或變得對後續顯影處理敏感。合適的圖案化劑可以為光子、電子、質子、離子或中性物質,使得含金屬膜302可以藉由暴露於這些物質之一而分解為基底金屬或者變得對之後的顯影處理敏感而被圖案化。通常,在沉積之前係將半導體基板300放置在用於在真空下進行含金屬膜沉積的反應室中。
可以藉由從合適的前驅物(例如在非電漿 CVD 反應器中,可從加州弗里蒙特市的 Lam Research Corporation 獲得之例如 Altus® CVD 工具或 Aether® GP CVD 工具)冷凝而形成全面性的含金屬膜302。這種藉由冷凝沉積的合適製程條件包含約0至250°C之間的沉積溫度、例如約從環境溫度(例如23°C)至150°C,以及小於20 Torr的反應器壓力,例如保持在 60˚C 時介於 0.5 和 2 Torr 之間。將前驅物流速保持在大約 100 和 1000 sccm 之間可以控制沉積速率。
為了防止由於水蒸氣引起的劣化,因此在真空環境中進行含Sn膜的形成和轉移。接著將形成的薄膜轉移到 EUV 圖案化工具並藉由直接曝光不使用光阻來進行圖案化,如圖 3C-D 所示。
吾人應當注意,EUVL 工具通常在比沉積工具更高的真空下操作。如果是這種情況,吾人希望在從沉積到圖案化工具的轉移期間增加基板的真空環境,以允許基板和沉積的含金屬膜在進入圖案化工具之前脫氣。這是為了不讓圖案化工具的光學元件被基板的脫氣污染。
如圖3C所示,圖案化導致形成金屬遮罩的含金屬膜暴露區域302a以及待藉由圖案顯影移除材料之未暴露區域302b。
參考圖3D,接下來可以顯影圖案。顯影係在本文別處進一步討論。在一些實施例中,圖案顯影的發生可以簡單地藉由加熱基板以揮發含金屬膜之未暴露區域302b,使得僅有暴露區域302a保留作為完全形成的金屬遮罩。應該注意的是,這種圖案顯影操作可能不需要真空整合,因為可能已經形成了熱穩定且環境穩定的圖案化金屬遮罩。吾人還可能需要在圖案化工具外部進行圖案顯影,以避免含金屬膜分解出任何不相容的副產物污染工具光學元件。
參考圖3E,作為可選步驟,可以進行圖案放大。例如,可以在圖3C及/或3D 中描繪的操作之後,在圖案化基板上執行選擇性ALD或無電沉積(ELD),以增加具有額外選擇性沉積金屬306之金屬遮罩的厚度。這可能有助於降低遮罩的光透射或使其在機械性上更加堅固。此種放大可以例如藉由採用例如美國專利號第6,911,067、6,794,288、6,902,605和4,935,312中所描述之無電沉積製程來實現,在這方面的此等揭露內容係藉由引用方式併入本文。
例如,初始的 1 nm 種子可以藉由這種方式放大到 10 nm。與參考圖 3D 討論的圖案顯影一樣,此操作可能不需要真空整合,因為在放大之前已經形成了熱穩定且環境穩定的圖案化金屬遮罩。
全乾式或部分乾式膜形成操作的優點之一是乾式操作可以是單程處理的可調製程。藉由改變諸多製程條件(如下所述),可以使操作最佳化。例如,用於下層和PR沉積的乾式製程可以在兩個操作中執行,而濕式製程可能需要在沉積PR膜之前進行清潔和烘烤操作。相比之下,濕式製程可能需要使用預先配製的溶液來限制製程參數,以及熱處理、冷卻和旋轉乾式處理的額外多程處理。乾式製程可以避免任何液體溶液乾式步驟並減少 PR 分層或線傾斜/坍塌。
全乾式或部分乾式成膜操作的另一個優點是增強了對製程環境的控制。濕式旋塗製程可以使用預先配製的溶液並在環境壓力下進行。乾式可以在真空下進行,並控制例如壓力、濕度、氧氣和溫度。在同一工具、腔室或站上執行的整合型製程之實施例中,可以在乾式製程之間保持真空,這有利於在製程操作期間和製程操作之間控制晶圓的環境。此外,整合型製程可以減少排隊時間,因為製程都是在同一工具、腔室或站內執行的。 光阻 PR 沉積
如上所述,本揭露內容係提供用於在半導體基板上製造成像層的方法,該半導體基板可以使用EUV或其他下一世代的微影技術圖案化。方法包含在蒸氣中生產聚合有機金屬材料並將其沉積在基板上的方法。在其他實施例中,可以使用旋塗配方。
本技術提供了將 EUV 敏感薄膜沉積在基板上的方法,這種薄膜可用作阻劑,以用於後續 EUV微影及處理。這種對 EUV 敏感的薄膜所包含之材料在暴露於 EUV 時會發生變化,例如在低密度富含M-OH的材料中與金屬原子鍵結之龐大的懸垂取代基丟失,從而允許它們交聯到更緻密的M-O-M鍵結金屬氧化物材料上。藉由EUV圖案化,產生之薄膜區域相對於未曝光區域具有改變的物理或化學特性。這些特性可用於後續處理,例如溶解未曝光或曝光區域,或選擇性地在曝光或未曝光區域沉積材料。在一些實施例中,在進行此類後續處理的條件下,未曝光的膜具有疏水性表面且曝光的膜具有親水性表面(吾人應認識到曝光和未曝光區域的親水性為彼此相關的)。例如,材料的移除可以藉由利用膜的化學成分、密度和交聯的差異來進行。移除可以藉由濕式處理或乾式處理,如下文進一步描述。
在基板表面上形成之EUV 可圖案化膜的厚度可能會根據表面特性、使用的材料以及製程條件而變化。在各個實施例中,膜厚度可以在0.5 nm至100 nm的範圍內,且較佳地具有足夠的厚度以在EUV圖案化的條件下吸收大部分的EUV光。例如,阻劑膜的總吸收可以為30%或更少(例如10%或更少,或5%或更少),使得阻劑膜底部的阻劑材料係充分暴露。在一些實施例中,膜厚度為10至20 nm。在不限制本揭露內容的機制、功能或效用的情況下,相信與本領域的濕式旋塗處理不同,本揭露內容之處理對基板的表面黏著性能具有較少限制,因此可以應用於多種基板。此外,如上所述,沉積的膜可以緊密順應表面特徵,從而在無需「填充」或以其他方式平坦化這些特徵的情況下,在基板上形成光罩(例如具有下方特徵的基板)而提供優勢。 基板
在本技術的方法中有用的基板可以包含適合於微影處理、尤其是適合於生產積體電路以及其他半導體裝置的任何材料構造。在一些實施例中,半導體基板是矽晶圓。半導體基板可以是其上已經形成特徵(「下面的表面形貌特徵」)的矽晶圓,並具有不規則的表面形貌。(如本文所指,「表面」是在其上將沉積本揭露內容的膜或將在處理期間暴露於EUV的表面)。下面的表面形貌特徵可以包含在進行本技術之方法前的處理期間已經移除材料(例如藉由蝕刻)的區域或已經添加材料(例如藉由沉積)的區域。此類的先前處理可包含本技術之方法或其他處理方法,藉由迭代處理而在基板上形成兩層或更多層特徵。在不限制本技術的機制、功能或效用的情況下,相信在一些實施例中,本技術的方法提供了相對於本領域已知之方法中的優勢,其中光微影膜係使用旋轉澆鑄法而沉積在基板的表面上。此類優勢可源自本技術的膜順應下方特徵而無需「填充」或以其他方式平坦化此類特徵,以及在多種材料表面上沉積膜的能力。
在一些實施例中,可以使用具有期望材料之基板表面來製備傳入晶圓,其最上面的材料是阻劑圖案要被轉移去的層。雖然材料選擇可根據整合性程度而變化,但通常希望選擇能夠以高選擇性蝕刻到 EUV 阻劑或成像層(即比其快得多)的材料。合適的基板材料可以包含多種碳基薄膜(例如可灰化硬遮罩 (AHM)、矽基薄膜(例如SiO x、SiO xN y、SiO xC yNz)、a-Si:H、poly-Si 或 SiN)、或施加任何其他(通常為犧牲性的)薄膜以用於促進圖案化處理。
在一些實施例中,基板為硬遮罩,其用於對下層半導體材料的微影蝕刻。硬遮罩可以包含多種材料中的任一種,包含非晶碳(aC)、SnO x、SiO 2、SiO xN y、SiO xC、Si 3N 4、TiO 2、TiN、 W、 W摻雜的C、 WO x、HfO 2、ZrO 2和Al 2O 3。例如,基板可以較佳地包含SnO x,例如SnO 2。在各個實施例中,該層可以為1 nm至100 nm厚,或2 nm至10 nm厚。
在一些實施例中,基板包含下層。下層可以沉積在硬遮罩或其他層上並且通常在如本文所述的成像層之下。下層可用於提高 PR 的靈敏度、增加 EUV 吸收率及/或增加 PR 的圖案化性能。如果在基板上存在著欲圖案化的裝置特徵且其會產生顯著的形貌,下層的另一個重要功能可以是覆蓋現有的形貌且使其平坦化,以便隨後的圖案化步驟可以在具有顯著圖案之所有區域的平坦表面上執行。對於此類應用,可以使用旋塗技術來施加下層(或多個下層中的至少一個)。當所使用的光阻材料具有顯著的無機成分時,例如它主要表現出金屬氧化物框架,下層可以有利地是基於碳的膜並藉由旋塗或基於乾式真空的沉積製程施加。該層可以包含具有碳和氫基成分的多種可灰化硬遮罩(AHM)膜,且其可以摻雜例如鎢、硼、氮或氟的額外元素。2019 年 10 月 2 日提交的美國專利申請案第 62,909,430號 中描述了這些處理中有用的方法和設備,其揭露的方法和設備係藉由引用併入本文。 薄膜沉積
在諸多實施例中係使用本領域已知的那些氣相沉積設備及製程在基板上製造和沉積可EUV圖案化膜。在這樣的製程中,聚合的有機金屬材料係以氣相或原位在基板表面上形成。
一般來說,方法包含將有機金屬前驅物的蒸氣流與反反應物的蒸氣流混合以形成聚合的有機金屬材料,並將有機金屬材料沉積到半導體基板的表面上。熟習本技藝者將理解到,該製程之混合及沉積態樣可以在實質上連續的處理中同時進行。2019 年 5 月 9 日提交的申請案 PCT/US2019/031618 及 2019 年 11 月 11 日提交的申請案 PCT/US2019/060742 中描述了在這些製程中有用的方法和設備,其揭露的方法和設備係藉由引用併入本文,尤其是各個有機金屬前驅物。
EUV敏感薄膜可以沉積在半導體基板上,這種薄膜可經操作而作為在隨後之EUV微影以及處理的阻劑。這種對EUV敏感的薄膜係包含在曝光於EUV時會發生變化的材料,例如在低密度富含M-OH的材料中與金屬原子鍵結之龐大的懸垂取代基丟失,從而允許它們交聯到更緻密的M-O-M鍵結金屬氧化物材料上。藉由EUV圖案化,產生之薄膜區域相對於未曝光區域具有改變的物理或化學特性。這些特性可用於後續處理,例如溶解未曝光或曝光區域,或選擇性地在曝光或未曝光區域沉積材料。在一些實施例中,在進行此類後續處理的條件下,未曝光的膜具有比曝光的膜更疏水的表面。例如材料的移除可以藉由利用膜的化學成分、密度以及交聯的差異來進行。移除可以藉由濕式處理或乾式處理,如下文進一步描述。
在各個實施例中,薄膜是有機金屬材料,例如包含錫氧化物的有機錫材料,或其他金屬氧化物材料/官能基。有機金屬化合物可以在有機金屬前驅物與反反應物的氣相反應中製備。在各個實施例中,有機金屬化合物是藉由混合特定組合之具有龐大烷基或氟代烷基的有機金屬前驅物與反反應劑、並在氣相中聚合該混合物以產生沉積在半導體基板表面上之低密度、EUV敏感材料而形成的。
在各個實施例中,有機金屬前驅物係在每個可以經受氣相反應的金屬原子上包含至少一個烷基,而與金屬原子配位的其他配體或離子可以被反反應物取代。有機金屬前驅物係包含下面化學式的那些: M aR bL c(公式1) 其中:M是具有高度EUV吸收截面的元素;R為烷基,例如C nH 2n+1,其中較佳n≥2;L是配體、離子或其他與反反應物反應的官能基;a≥1;b≥1;且c≥1。
在各個實施例中,M具有等於或大於1x10 7cm 2/mol的原子吸收截面。M可以例如選自由錫、鉿、碲、鉍、銦、銻、鍺及其組合組成的群組。在一些實施例中,M是錫。R可以被氟化,例如具有化學式C nF xH 2n+1 。在各個實施例中,R具有至少一個β-氫或β-氟。例如R可以選自由乙基、異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基及其混合物組成的群組。L可以是容易被反反應物置換以產生M-OH官能基的任何官能基,例如選自由胺(例如二烷基氨基、單烷基氨基)、烷氧基、羧酸鹽、鹵化物及其混合物組成之官能基群組。
反反應物能夠取代反應性官能基、配體或離子(例如以上化學式1中的L)以藉由化學鍵結連接至少兩個金屬原子。反反應物可包含水、過氧化物(例如過氧化氫)、二羥基或多羥基醇、氟化二羥基或多羥基醇、氟化二醇以及其他羥基官能基來源。在各個實施例中,反反應物係藉由在相鄰的金屬原子之間形成氧橋而與有機金屬前驅物反應。其他潛在的反反應物包含硫化氫以及二硫化氫,它們可以藉由硫橋來交聯金屬原子。
其他潛在之反反應物係包含硫化氫和二硫化氫,它們可以藉由硫橋而交聯金屬原子。在其他情況下,例如,含Te前驅物可以與水一起使用或代替水,以作為反反應物而形成Te-Sn-Te-Sn交聯。適合與Sn(NMe 2)x 型前驅物一起使用的碲共反應物為RTeH或RTeD(D=氘)及R 2Te前驅物,其中R=烷基,尤其是叔丁基或異丙基,例如叔丁基TeD。例如,當與M(OR)x型前驅物一起使用,可以使用雙(三甲基甲矽烷基)Te。
除了有機金屬前驅物以及反反應物之外,薄膜還可以包含可選材料以改變膜的化學或物理性質,例如改變膜對EUV的敏感性或增強抗蝕刻性。可以例如藉由在半導體基板上沉積之前、薄膜沉積之後或兩者的氣相形成期間進行摻雜來引入這樣的可選材料。在一些實施例中,可以引入和緩的遠端H 2電漿以用Sn-H取代一些Sn-L鍵,這可以增加在EUV下阻劑的反應性。
在各個實施例中,係使用本領域中已知之氣相沉積設備及處理以在半導體基板上製造及沉積EUV可圖案化膜。在這樣的處理中,聚合的有機金屬材料以氣相或原位形成在半導體基板的表面上。合適的處理包含例如化學氣相沉積(CVD)、原子層沉積(ALD)以及具有CVD成分的ALD,例如不連續、類似ALD的處理,其中金屬前驅物及反反應物在時間上或空間上係分離的。
一般而言,該方法係包含將有機金屬前驅物的蒸氣流與反反應物的蒸氣流混合以形成聚合的有機金屬材料,並將有機金屬材料沉積到半導體基板的表面上。在一些實施例中,蒸氣流中包含多於一種有機金屬前驅物。在一些實施例中,蒸氣流中包含多於一種的反反應物。熟習本技藝者將理解,本處理之混合以及沉積態樣可以在實質上連續的處理中同時進行。
在範例性的連續CVD處理中,於單獨的入口路徑中,將有機金屬前驅物以及反反應物源的兩個或多個氣流引入CVD設備的沉積室,在那裡它們在氣相中混合以及反應,以形成聚結的聚合物材料(例如透過金屬-氧-金屬鍵的形成)。例如可以使用單獨的注入口或雙充氣室噴淋頭引入流。該設備係配置用以使有機金屬前驅物以及反反應物的流在腔室中混合、允許有機金屬前驅物以及反反應物反應以形成聚合的有機金屬材料。在不限制本技術的機制、功能或效用的情況下,據信來自這種氣相反應之產物的分子量隨著金屬原子被反反應物交聯而變得更重,接著凝結或以其他方式沉積到半導體基板上。在各個實施例中,龐大烷基的空間位阻阻止了密集網路的形成並產生平滑化的、非晶形的低密度膜。
CVD處理通常在減壓下進行,例如從10 milliTorr到10 Torr。在一些實施例中,處理係在0.5至2 Torr下進行。在一些實施例中,半導體基板的溫度等於或低於反應物流的溫度。例如基板溫度可以是從0°C到250°C,或者從環境溫度(例如23°C)到150°C。在各個處理中,聚合有機金屬材料在基板上的沉積速率與表面溫度成反比。
形成在半導體基板表面上的EUV可圖案化膜的厚度可根據表面特性、使用的材料以及處理條件而變化。在各個實施例中,膜厚度可以介於在0.5 nm到100 nm的範圍內,且可以是足夠的厚度以在EUV圖案化的條件下吸收大部分EUV光。EUV可圖案化膜可能能夠適應等於或大於30%的吸收,從而具有顯著更少之可用於朝向EUV可圖案化膜底部的EUV光子。與曝光於EUV的薄膜底部相比,在曝光於EUV之薄膜頂部附近的較高的EUV吸收會導致更多交聯及緻密化。雖然EUV光子的有效利用可以藉由具有較高整體吸收的EUV可圖案化膜而發生,但吾人應當理解,在一些情況下,EUV可圖案化膜可能小於約30%。為了比較,大多數其他阻劑膜的最大總吸收係小於30%(例如10%或更少、或5%或更少),使得阻劑膜底部的阻劑材料係充分曝光。在一些實施例中,膜厚度係為10 nm至40 nm或10 nm至20 nm。在不限制本揭露內容的機制、功能或效用的情況下,相信與本領域的濕式旋塗處理不同,本揭露內容之處理對基板的表面黏著性能具有較少限制,因此可以應用於多種基板。此外,如上所述,沉積的膜可以緊密順應表面特徵,從而在無需「填充」或以其他方式平坦化這些特徵的情況下,在基板上形成光罩(例如具有下方特徵的基板)而提供優勢。
在本文討論之技術的一些實施例中,可以進一步提高PR膜中的EUV靈敏度的另一種策略為產生一種膜成分垂直漸變的膜,從而導致依據深度的EUV靈敏度。在具有高吸收係數的同質 PR 中,整個薄膜深度的光強度降低需要更高的 EUV 劑量,以確保底部充分曝光。藉由相對於薄膜頂部而在薄膜底部增加具有高 EUV 吸收率的原子密度(即藉由產生具有增加 EUV 吸收率之梯度),可能變得能夠更有效地利用可用的 EUV 光子,同時越往更高吸收膜的底部有更均勻的分佈吸收率(以及二次電子的影響)。
在 PR 膜中設計垂直組成梯度的策略特別適用於例如 CVD 和 ALD的乾式沉積方法,且可以藉由在沉積處理中調整不同反應物之間的流量比來實現。可以設計的組成梯度類型包含:不同高吸收金屬之間的比率、具有 EUV 可裂解龐大基團之金屬原子的百分比、龐大基團或含有高吸收元素(例如 Te 和 I)之反反應物之百分比,以及上述的組合。在 2020 年 6 月 24 日提交之專利申請案 PCT/US20/70172 中可以找到對垂直漸變膜的進一步討論,其揭露之方法和設備係藉由引用併入本文。 背側及斜角緣部清潔
在將 EUV 光阻薄膜施加到基板的處理期間,無論是藉由傳統的濕式處理(例如旋塗)或如本文所述之乾式沉積,在晶圓斜角緣部及/或背側上可能存在一些不想要的阻劑材料沉積。這種斜角緣部和背側沉積會導致下游處理問題,包含圖案(掃描器)和顯影工具的污染。傳統上,這種斜角緣部和背側沉積物的移除是藉由濕式清潔技術完成的。
目前用於清潔旋塗金屬有機光阻的最先進技術是藉由濕式清潔處理。邊緣珠移除(EBR)係在晶圓正面及背側兩者的濕道上執行。噴嘴係位於晶圓正面以及背側兩者的晶圓邊緣上方,並在晶圓旋轉時分配溶劑。有機溶劑(例如:PGME、PGMEA、2-庚酮)乃溶解邊緣上的光阻並清潔斜角緣部區域。如果背側被污染,則晶圓需要到另一個濕式清潔站對晶圓進行背側清洗。對於旋塗,接觸卡盤的晶圓區域通常保持清潔,且並不總是使用另外的背側清潔。為了減少金屬污染,可能需要額外的清潔,例如稀氫氟酸(dHF)、稀鹽酸(dHCl)、稀硫酸或標準清潔1(SC-1)。在進入EUV掃描器之前,通常會進行背側擦洗。
濕式清潔處理中使用的溶劑原本就存在取得及處置成本高的問題。此類溶劑可能對環境有害並帶來健康問題。濕式清潔處理可能會受到斜角緣部區域上之EUV阻劑材料移除之均勻性的限制。由於表面張力以及蒸汽問題,移除通常是波浪形的,並且在斜角緣部區域並不會導致EUV阻劑材料的乾脆移除。此外,使用有機溶劑的背濺會在晶圓的正面產生缺陷。濕式清潔處理通常在獨立的工具/腔室中進行,因此晶圓需要在沉積後轉移到工具/腔室之間。這可能會導致背側以及/或斜角緣部清潔中使用的工具/腔室受到污染。
此處所述為一清潔處理以清潔半導體基板的背側及斜角緣部。背側及斜角緣部清潔可以非選擇性地蝕刻EUV阻劑膜,以同等地移除基板背側及斜角緣部上具有多種氧化或交聯程度的膜。在藉由濕式沉積處理或乾式沉積處理施加EUV可圖案化膜的處理中,可能會在基板斜角緣部以及/或背側上無意中沉積一些阻劑材料。這種非預期的沉積可能導致不期望的顆粒在之後移動到半導體基板的頂面並變成粒子缺陷。此外,這種斜角緣部以及背側沉積可導致下游處理問題,包含圖案化(掃描器)及顯影工具和計量工具的污染。傳統上,這種斜角緣部以及背側沉積物的移除是藉由濕式清潔技術完成的。對於旋塗光阻材料,此處理稱為邊緣珠粒移除 (EBR),其係藉由在基板旋轉時從斜角緣部上方和下方引導溶劑流來執行。相同的製程可以應用至藉由氣相沉積技術沉積之可溶性有機錫氧化物基阻劑。
斜角緣部及背側清潔亦可以是乾式清潔處理。在一些實施例中,乾式清潔處理涉及具有以下一或多種氣體的蒸汽及/或電漿:HBr、HCl、HI、BCl 3、SOCl 2、Cl 2、BBr 3、H 2、O 2、PCl 3、CH 4、甲醇、氨、甲酸、NF 3、HF。在一些實施例中,乾式清潔處理可以使用與本文所述之乾式顯影處理相同的化學物質。例如背側及斜角緣部清潔可以使用氫鹵化物顯影化學物質。對於背側及斜角緣部清潔處理,蒸汽以及/或電漿必須限制在基板的特定區域,以確保僅移除背側及斜角緣部沉積物,而不會在基板的正面出現任何薄膜劣化。
可以針對斜角緣部及背側清潔最佳化處理條件。在一些實施例中,較高的溫度、較高的壓力及/或較高的反應物流量可導致蝕刻速率增加。取決於光阻膜及組成和性質,乾式斜角緣部以及背側清潔的合適處理條件可能是:100–10000 sccm的反應物流量(例如500 sccm的HCl、HBr、HI、或H 2及Cl 2或Br 2、BCl 3或H 2),溫度為20°C至140°C(例如80°C),壓力為20-1000 mTorr(例如100 mTorr)或在高頻(例如13.56MHz)下的電漿功率為0 W至500 W,並且持續約10至20秒的時間。吾人應該理解,雖然這些條件適合於某些處理反應器,例如可以從加州弗里蒙特市的 Lam Research Corporation獲得的Kiyo蝕刻工具,但根據處理反應器的能力可以使用更廣泛的處理條件。
在 2020 年 6 月 25 日提交之專利申請案 PCT/US20/70187 及/或 2020 年 6 月 25 日提交之專利申請案PCT/US20/39615 中可以找到對斜角緣部及背側清潔的進一步討論,此處為了所有目的而藉由引用併入本文。 PR 二次加工
或者,乾式清潔操作可擴展到完全移除光阻或光阻「二次加工」,例如當原始光阻損壞或有其他缺陷時,於其中移除所施加的EUV光阻並準備好半導體基板以重新施加光阻。光阻二次加工應在不損壞下面的半導體基板的情況下完成,因此應避免基於氧的蝕刻。相反的,可以使用本文所述之含鹵化物化學物質的變體。吾人應當理解,光阻二次加工操作可以在處理100期間的任何階段進行。因此,光阻二次加工操作可以在光阻沉積之後、斜角緣部及/或背側清潔之後、PAB處理之後、EUV曝光之後、PEB處理後、顯影之後施加。在一些實施例中,可以執行光阻二次加工以非選擇性地移除光阻的曝光及未曝光區域,但對下層是具選擇性的。
在一些實施例中,光阻二次加工處理涉及具有以下一或多種氣體的蒸氣以及/或電漿:HBr、HCl、HI、BCl 3、Cl 2、BBr 3、H 2、PCl 3、CH 4、甲醇、氨、甲酸、NF 3、HF。在一些實施例中,光阻二次加工可以使用與本文所述之乾式顯影處理相同的化學物質。例如光阻二次加工可以使用氫鹵化物顯影化學物質。
可以針對光阻二次加工來最佳化處理條件。在一些實施例中,較高的溫度、較高的壓力以及/或較高的反應物流量可導致蝕刻速率增加。取決於光阻膜及組成和性質,光阻二次加工的合適處理條件可能是:100–500 sccm的反應物流量(例如500 sccm的HCl、HBr、HI、BCl 3或H 2及Cl 2或Br 2),溫度為20°C至140°C(例如80°C),壓力為20-1000 mTorr(例如300 mTorr),在高頻(例如13.56MHz)下的電漿功率為300 W至800 W(例如500 W),0到200 V b的晶圓偏壓(更高的偏壓可以與更硬的下層基板材料一起使用),並且持續約20秒至3分鐘的時間,而足以完全移除EUV光阻。吾人應當理解,雖然這些條件適用於一些處理反應器,例如可從加州弗里蒙特市的Lam Research Corporation獲得的Kiyo蝕刻工具,但根據處理反應器的能力可以使用更廣泛的處理條件。
在 2020 年 6 月 25 日提交之專利申請案 PCT/US20/39615 中可以找到對斜角緣部和背側清潔或 PR 二次加工的進一步討論,本申請案出於所有目的而藉由引用併入本文。 PAB/ 軟烘焙
光微影處理通常包含一或多個烘烤步驟,以促進在光阻之曝光及未曝光區域之間產生化學對比所需的化學反應。對於大批量製造(HVM),此類烘烤步驟通常在軌道上執行,其中晶圓係在環境空氣或在某些情況於N 2流下以預設溫度在熱板上烘烤。在這些烘烤步驟期間,更仔細地控制烘烤環境以及在環境中引入額外的反應性氣體成分可以幫助進一步降低劑量要求及/或提高圖案保真度。
根據本揭露內容的各個態樣,在沉積(例如應用後烘烤(PAB))及/或曝光(例如曝光後烘烤(PEB) ) 後對金屬及/或基於金屬氧化物光阻的一或多個後處理係能夠增加曝光和未曝光光阻之間的材料特性差異,從而降低尺寸劑量 (DtS)、改善 PR 輪廓、並在隨後的乾式顯影後改善線邊緣及寬度粗糙度 (LER/LWR)。PAB 處理可能涉及熱處理、化學暴露和水分的組合,以增加 EUV 可圖案化膜的 EUV 敏感性、減少在 EUV 可圖案化膜中顯影圖案的EUV 劑量。可以調整並最佳化 PAB 處理溫度,以提高 EUV 可圖案化膜的敏感度。例如,處理溫度可以在約90℃和約200℃之間、或在約150℃和約190℃之間。在一些實施例中,PAB處理可以在大氣壓力和真空之間的壓力下進行,處理持續時間為約1至15分鐘,例如約2分鐘。在一些實施例中,PAB處理在約100°C至200°C之間的溫度下進行約1分鐘至2分鐘。
在處理100的方塊108,含金屬EUV阻劑膜係曝光於EUV輻射以顯影圖案。一般而言,EUV曝光會導致含金屬EUV阻劑膜中的化學成分及交聯發生變化,從而在蝕刻選擇性上形成對比而可用於後續顯影。
接著可以藉由將膜的一區域暴露於EUV光(通常在相對高的真空下)來圖案化含金屬EUV阻劑膜。在本文有用的那些EUV裝置以及成像方法中包含本領域已知的方法。特別是如上所述之薄膜的曝光區域是藉由EUV圖案化產生的,其相對於未曝光區域具有改變的物理或化學特性。例如在曝光區域,可能會發生金屬-碳鍵裂解,如藉由β-氫化物消除,留下反應性以及可接近的金屬氫化物官能團,在此處理中,這些官能團可以在隨後的曝光後烘烤(PEB)步驟中藉由金屬-氧橋轉化為氫氧化物以及交聯的金屬氧化物官能基。此處理可用於產生顯影化學對比以作為負型阻劑。通常,烷基中的β-H數量越多,薄膜就越敏感。這也可以解釋為具有更多分支的較弱Sn-C鍵結。曝光後,可以烘烤含金屬EUV阻劑膜,以引起金屬氧化物膜的額外交聯。曝光以及未曝光區域之間的特性差異可用於後續處理,例如溶解未曝光區域或在曝光區域上沉積材料。例如可以使用乾式方法來顯影圖案,以形成含金屬氧化物的遮罩。
具體而言,在各個實施例中,存在於表面上之烴基封端的氧化錫在成像層的曝光區域中轉化為氫封端的氧化錫,尤其是當使用EUV在真空中進行曝光時。然而,將暴露的成像層從真空中移除到空氣中,或控制引入氧氣、臭氧、H 2O 2或水,會導致表面Sn-H氧化成Sn-OH。曝光以及未曝光區域之間的特性差異可以在後續處理中利用,例如藉由使照射區域、未照射區域或兩者與一或多種試劑反應以選擇性地向成像層添加材料或從成像層移除材料。
在不限制本技術的機制、功能或效用的情況下,例如在10 mJ/cm 2至100 mJ/cm 2劑量下的EUV曝光會導致Sn-C鍵斷裂,從而導致烷基取代基的丟失,減輕位阻並使低密度薄膜塌陷。此外,β-氫化物消除反應中產生的反應性金屬-H鍵可以與相鄰的活性基團(如薄膜中的羥基)反應,導致進一步交聯及緻密化,並在曝光以及未曝光區域之間產生化學對比。
在將含金屬EUV阻劑膜暴露於EUV光之後,提供光圖案化之含金屬EUV阻劑。光圖案化之含金屬EUV阻劑包含EUV曝光區域以及未曝光區域。
在處理100的方塊110處,執行可選的曝光後烘烤(PEB)以進一步增加光圖案化之含金屬EUV阻劑之蝕刻選擇性的對比度。可在各個化學物質存在下對光圖案化之含金屬EUV阻劑進行熱處理,以促進EUV曝光區域的交聯,或者簡單地在環境空氣中的熱板上烘烤例如在150°C以及250°C之間1到5分鐘(例如190°C兩分鐘)。
在各個實施例中,烘烤策略涉及對烘烤環境的仔細控制、反應氣體的引入以及/或對烘烤溫度之增加速率的仔細控制。有用之反應氣體的實例包含例如空氣、H 2O、H 2O 2蒸氣、CO 2、CO、O 2、O 3、CH 4、CH 3OH、N 2、H 2、NH 3、N 2O、NO、酒精、乙醯丙酮、甲酸、Ar、He或其混合物。PEB處理係設計用以(1)驅動EUV曝光期間產生之有機碎片的完全蒸發,以及(2)將EUV曝光產生的任何Sn-H、Sn-Sn或Sn自由基物質氧化成金屬氫氧化物,以及(3)促進相鄰Sn-OH基團之間的交聯,以形成更密集的交聯SnO 2類網路。烘烤溫度經過精心選擇,以實現最佳的EUV微影性能。PEB溫度太低會導致交聯不足,從而導致在給定劑量下顯影的化學對比度較低。太高的PEB溫度也會產生不利影響,包含未曝光區域的嚴重氧化以及薄膜收縮(在本例中,該區域係藉由圖案化薄膜的顯影而移除以形成遮罩),以及在光圖案化之含金屬EUV阻劑以及下層之間的界面處不希望的相互擴散,由於不溶性浮渣,兩者都會導致化學對比度的損失以及缺陷密度的增加。PEB處理溫度可以介於約100℃以及約300℃之間、介於約170℃以及約290℃之間、或介於約200℃以及約240℃之間。在一些實施例中,PEB處理可以在大氣壓力與真空之間的壓力的情況下進行約1至15分鐘的處理持續時間(例如約2分鐘)。在一些實施例中,可以重覆PEB熱處理以進一步增加蝕刻選擇性。
此外,PAB 或 PEB 處理中烘烤溫度的上升速率為另一個有用的製程參數,可藉由操控此製程參數來微調交聯/蝕刻選擇性結果。或者,PAB 和 PEB 熱處理可以在單個操作或多個操作中完成,對每個操作使用不同的製程條件,例如環境氣體或混合物、溫度、壓力等,以調節 PR 特性並因此調整不同的蝕刻選擇性。
在交替的實施例中,應用後及曝光後處理中的任一個或兩者可涉及遠端電漿製程,連同熱處理或取代熱處理,以產生自由基以與含金屬光阻反應以改變其材料特性。在這樣的實施方式中,自由基可以由相同或不同的氣體種類產生。
在各個實施例中,在將含金屬光阻暴露於EUV微影(例如PAB和PEB)之前及之後,處理含金屬光阻以修改含金屬光阻的材料特性。在 2020 年 2 月 4 日提交的美國專利申請案第 62/970,020號以及2020 年 6 月 24 日提交之專利申請案 PCT/US20/70171 中可以找到對 PAB 和 PEB 操作的進一步討論,為了所有目的而藉由引用併入本文。 EUV 掃描器
極紫外 (EUV) 微影可以將微影技術擴展到超出其光學限制的範圍,其係藉由使用當前光微影方法可實現之更小的成像源波長,以圖案化小的臨界尺寸特徵。波長約為 13.5 nm 的 EUV 光源可用來作為最先端之微影工具,其亦稱之為掃描器。EUV 輻射係被廣泛的固體和流體材料(包含石英和水蒸氣)強烈吸收,因此在真空中運行。
本技術還提供藉由將成像層的區域暴露於例如EUV、DUV或電子束的輻射來圖案化成像層的方法。在這種圖案化中,輻射係聚焦在成像層的一或多個區域上。進行典型的曝光使得成像層膜包含一或多個未暴露於輻射的區域。所得到的成像層可以包含複數曝光和未曝光區域,從而產生與產生半導體裝置之電晶體或其他特徵一致的圖案,其係藉由在基板的後續處理中從基板添加或移除材料而形成。此處有用的EUV、DUV和電子束輻射方法和設備係包含本領域已知的方法和設備。
本文揭露了真空整合型金屬硬遮罩製程以及相關真空整合型硬體,其將膜形成(沉積/冷凝)及光學微影相結合,結果大大地提高了 EUV 微影(EUVL)性能—例如降低線邊緣粗糙度。
在本文所述的各個實施例中,沉積(例如冷凝)製程(例如在 PECVD 工具中進行的 ALD 或 MOCVD,例如 Lam Vector®)可用於形成含金屬膜的薄膜,例如光敏金屬鹽或含金屬的有機化合物(有機金屬化合物),例如在 EUVL 光源的波長(例如13.5 nm = 91.8 eV)下,在 EUV 中具有強吸收(例如在 10-20 nm 數量級的波長)。該薄膜在 EUV 曝光時發生光分解並形成金屬遮罩,該遮罩為後續蝕刻期間的圖案轉移層(例如在導體蝕刻工具中,例如 Lam 2300® Kiyo®)。
在沉積之後,藉由暴露於 EUV 光束(通常在相對高的真空下)來對 EUV 可圖案化薄膜進行圖案化。針對EUV 曝光,接下來可以將含金屬膜在與微影平台(例如晶圓步進機,例如由荷蘭維爾德霍芬的 ASML 提供的 TWINSCAN NXE:3300B® 平台)整合的腔室中沉積,並在真空下轉移,以便在暴露前不要起反應。考慮到入射光子被例如 H 2O、O 2等環境氣體的強力光吸收,EUVL 還需要大大降低的壓力的這一事實促進了與微影工具的整合。在其他實施例中,光敏金屬膜沉積和 EUV 曝光可以在同一個腔室中進行。
吾人還應該注意的是,雖然本揭露內容主要將 EUVL 稱為圖案化技術,但替代實施例可以使用電子、離子或中性物質的聚焦束直接將圖案寫入毯式遮罩上,其中這些步驟也在真空中執行。如果副產物凝結在 EUVL 系統的反射光學裝置上,便可以使用原位腔室清潔。
具體而言,如上述所討論者,薄膜區域為藉由 EUV 圖案化產生的,這些區域相對於未曝光區域具有改變的物理或化學特性。例如在曝光區域,金屬-碳鍵裂解可能藉由β-氫化物的消除而發生,留下反應性和可接近的金屬氫化物官能團,這些官能團可以藉由金屬-氧橋轉化為氫氧化物和交聯的金屬氧化物官能基,其可以用於產生化學對比度以作為負型阻劑或作為硬遮罩的模板。整體而言,烷基中的β-H 數量越多,薄膜就越敏感。曝光後,可以烘烤薄膜以引起金屬氧化物薄膜的額外交聯。
在不限制本技術的機制、功能或效用的情況下,例如在10 mJ/cm 2至100 mJ/cm 2劑量下的EUV曝光可以減輕空間位阻並為低密度膜提供塌陷空間。此外,β-氫化物消除反應中產生的反應性金屬-H鍵可以與相鄰的活性基團(如薄膜中的羥基)反應,導致進一步交聯和緻密化,並在曝光和未曝光區域之間產生化學對比反差。
在此描述的薄膜沉積和微影製程及設備的真空整合提供了EUV敏感金屬薄膜沉積以及隨後藉由在真空環境中直接EUV曝光的直接圖案化,以防止它們的分解或劣化。EUVL係在真空中完成的,以避免入射13.5nm光通量因環境氣體的光吸收而退化。所述之真空整合型硬遮罩製程的優點包含:EUV系統的真空操作開啟了使用對氧氣和水分敏感之化合物的可能性;沉積系統與EUV系統在設備中的真空整合使得能夠使用這些材料。金屬前驅物的光分解會產生非線性反應,其中光分解係藉由金屬膜的吸附性增加而增強。金屬比光阻更擅長熱化高能二次電子,從而提高對比度或LER。直接使用金屬薄膜作為遮罩或與圖案放大一起使用可以使薄膜更薄並減少所需的曝光時間。從遮罩的角度來看,金屬薄膜可以製作更好的蝕刻硬遮罩並降低所需的厚度。此外,可以根據在此描述的製程來進行進一步顯影和最佳化與EUV真空和光學兼容的材料、具有適當金屬沉積劑量閾值的有機金屬前驅物、以及具有多次光分解事件以消除給定空間中成核位點的成核膜。
在2015年1月30日提交的美國專利申請案第14/610,038號中可以找到對光阻輻射操作的進一步討論,出於所有目的藉由引用將其併入本文。 曝光後烘烤
如上所述,光微影製程通常涉及一或多個烘烤步驟以促進在光阻的曝光和未曝光區域之間產生化學對比度所需的化學反應。烘烤可以在沉積成像層之後/在EUV曝光之前(例如應用後烘烤(PAB))及/或暴露於EUV(例如曝光後烘烤(PEB))之前進行。
在各個實施例中,烘烤策略係涉及烘烤環境的仔細控制、反應氣體的引入及/或烘烤溫度之升高速率的仔細控制。在一些實施例中,可以使用上面討論的PEB策略。這種策略對於基於EUV光阻(PR)的金屬氧化物特別有用。
在典型的EUV微影工作流程中,旋塗金屬氧化物阻劑材料通常會經歷兩個烘烤步驟:一個是在施加阻劑以蒸發吸收在薄膜中的任何殘留溶劑之後,另一個為在EUV曝光之後。第二次烘烤,通常稱為PEB,係設計用於多種用途:1)推動EUV曝光期間產生之有機碎片的完全蒸發;2)將金屬氫化物(EUV暴露期間β-H消除反應的另一種產物)氧化成金屬氫氧化物;3)促進相鄰-OH基團之間的交聯,形成交聯的金屬氧化物網路。烘烤溫度係經過精心選擇,以實現最佳的EUV微影性能。PEB溫度太低會導致有機碎片移除不完全以及交聯不足,從而導致在給定劑量下顯影的化學對比度降低。太高的PEB溫度也會產生不利影響,包含未曝光區域的嚴重氧化和薄膜收縮(在本例中,該區域係藉由顯影圖案化薄膜而移除,以形成遮罩),以及在PR和下層之間的界面處所不希望的相互擴散,由於不溶性浮渣,這兩者都會導致化學對比度的損失和缺陷密度的增加。將烘烤溫度和烘烤時間作為唯一的調整鈕,可調諧性和製程窗是非常有限的。
如上所述,在PEB處理中仔細控制烘烤環境並如本文所述引入反應性氣體物質,係提供了額外的化學品調整鈕以微調交聯處理。調整PR材料中交聯行為動能的能力將提供更寬的製程窗口,其藉由最小化相互擴散及其他相關缺陷形成機制而允許進一步最佳化微影性能。此外,烘烤溫度的上升速率和壓力控制(大氣壓力及以下)為另一個有用的製程參數,可藉由操縱這些參數來微調交聯處理。
在2020年2月4日提交的美國專利申請案第62/970,020號和2020年6月24日提交之專利申請案PCT/US20/70171中可以找到對PAB和PEB操作的進一步討論,為了所有目的而藉由引用併入本文。 EUV 曝光後的圖案顯影
在EUV曝光和潛在的PEB之後,成像層的曝光和未曝光區域之間的選擇性係藉由乾式顯影、濕式顯影或區域選擇性ALD來利用。例如,乾式或濕式顯影製程可以移除未曝光區域而留下曝光區域。EUV曝光後對成像層的後續處理將取決於基板材料以及使用該基板製造之半導體裝置的所需特徵。例如,可以藉由多種微影技術在基板上產生特徵,例如在由圖案化曝光工具界定之曝光(正型)或未曝光(負型)區域中變得選擇性地可溶於乾式或液體顯影劑中的膜。
乾式顯影可以提高性能(例如防止因濕式顯影中之表面張力造成的線塌陷)並提高產量(例如藉由避免需要濕式顯影軌道)。乾式顯影及/或全乾式處理的其他優點可能包含消除使用有機溶劑顯影劑、降低對黏著性問題的敏感性、增加EUV吸收以提高劑量效率、以及沒有基於溶解度的限制。 EUV 光阻乾式顯影
如上所述,薄膜的曝光區域係藉由EUV圖案化而產生,相對於未曝光區域,這些區域具有改變的物理或化學特性。例如在曝光區域中,金屬-碳鍵裂解可能藉由消除β-氫化物發生,留下反應性和可接近的金屬氫化物官能團,這些官能團可以藉由金屬-氧橋轉化為氫氧化物和交聯的金屬氧化物官能基,其可以用於產生化學對比度以作為負型阻劑或作為硬遮罩的模板。整體而言,烷基中的β-H 數量越多,薄膜就越敏感。曝光後,可以烘烤薄膜以引起金屬氧化物薄膜的額外交聯。
曝光及未曝光區域之間的特性差異可用於後續處理,例如溶解未曝光區域或在曝光區域上沉積材料。例如,可以使用乾式方法來顯影圖案,以形成含金屬氧化物的遮罩。在2019年12月19日提交之專利申請案PCT/US2019/067540、2020年6月25日提交之專利申請案PCT/US20/39615以及於2019年10月8日提交的美國專利申請案第62/912,330號中描述了在這些處理中有用的方法和設備,其揭露的方法和設備係藉由引用而併入本文。
在熱顯影製程中,光圖案化含金屬EUV阻劑係在曝光和未曝光區域之間之蝕刻選擇性於最佳化的溫度下暴露於顯影化學物質。較低的溫度可能會增加蝕刻選擇性的對比度,而較高的溫度可能會降低蝕刻選擇性的對比度。在一些實施例中,溫度可以介於約-60°C和約120°C之間、介於約-20°C和約60°C之間、或介於約-20°C和約20°C之間,例如約-10℃。腔室壓力可以調整,其中腔室壓力可能會影響顯影處理中曝光和未曝光區域之間的蝕刻選擇性。在一些實施例中,腔室壓力可以相對低且伴隨沒有稀釋,其中腔室壓力可以在約0.1 mTorr和約300 mTorr之間、在約0.2 mTorr和約100 mTorr之間、或在約0.5 mTorr和約50 mTorr之間。在一些實施例中,腔室壓力可介於約20 mTorr與約800 mTorr之間,或介於約20 mTorr與約500 mTorr之間,例如約300 mTorr。在一些實施例中,腔室壓力可以在高流量下相對較高並且伴隨著稀釋,其中腔室壓力可以在約100 Torr和約760 Torr之間或在約200 Torr和約760 Torr之間。可以調整反應物流速,其中反應物流動可以影響顯影期間曝光和未曝光區域之間的蝕刻選擇性。在一些實施例中,反應物流動可以在約50 sccm和約2000 sccm之間、在約100 sccm和約2000 sccm之間、或在約100 sccm和約1000 sccm之間,例如約500 sccm。在高流量的情況下,反應物流量可以在大約1 L和大約10 L之間。曝光的持續時間可以在熱顯影處理中調整。曝光的持續時間可能取決於需要移除多少阻劑、顯影化學物質、阻劑中的交聯量、以及阻劑的組成和性質等因素。在一些實施例中,曝光的持續時間可以介於約5秒和約5分鐘之間、介於約10秒和約3分鐘之間、或介於約10秒和約1分鐘之間。
熱顯影製程可能會將光圖案化含金屬EUV阻劑暴露於氣相或液相中的某些含鹵化物化學物質。在一些實施例中,顯影化學物質包含氫鹵化物、氫氣和鹵化物氣體、三氯化硼、有機鹵化物、醯基鹵化物、羰基鹵、亞硫醯鹵、或其混合物。氫鹵化物可包含但不限於HF、HCl、HBr和HI。例如,氫鹵化物可以是HCl或HBr。氫氣和鹵化物氣體可包含但不限於與F 2、Cl 2、Br 2或I 2混合的氫氣(H 2)。三氯化硼(BCl 3)可以與任何上述氫鹵化物或氫氣和鹵化物氣體結合使用。有機鹵化物可包含但不限於C xH yF z、C xH yCl z、C xH yBr z和C xH yI z,其中x、y和z的值等於或大於0。醯基鹵化物可以包含但不限於CH 3COF、CH 3COCl、CH 3COBr和CH 3COI。羰基鹵可以包含但不限於COF 2、COCl 2、COBr 2和COI 2。亞硫醯鹵可以包含但不限於SOF 2、SOCl 2、SoBr 2和SOI 2。在一些實施例中,含鹵的化學物質可以在有或沒有惰性氣體/載氣(例如He、Ne、Ar、Xe和N 2)的情況下流動。
熱顯影處理可以在沒有電漿的情況下完成。藉由應用非電漿熱方法,因為可以在低成本熱真空室/烤箱中同時批量顯影多個晶圓,從而顯著提高生產率。然而,在一些實施例中,熱顯影製程之後可能接著是暴露於電漿。暴露於電漿之後可能會發生用於解吸、除渣、平滑化或其他處理操作。
在電漿顯影製程中,光圖案化含金屬EUV阻劑係暴露於包含一或多種氣體之自由基/離子的顯影化學物質。用於處理半導體基板的處理室可以是電漿產生室或耦合到遠離處理室的電漿產生室。在一些實施例中,乾式顯影可以藉由遠端電漿發生。電漿產生室可以是感應耦合電漿(ICP)反應器、變壓器耦合電漿(TCP)反應器或電容耦合電漿(CCP)反應器而採用本領域已知的那些設備和技術。電磁場係作用於一或多種氣體以在電漿產生室中產生電漿。來自遠端電漿的離子及/或自由基可以與光圖案化含金屬EUV阻劑相互作用。在一些實施例中,真空管線係耦合到處理室以用於壓力控制,且顯影化學物質管線可以耦合到電漿產生室以將一或多種氣體輸送到電漿產生室中。處理室可包含一或多個用於溫度控制的加熱器,例如耦合到處理室中之基板支撐件以用於基板溫度控制的加熱器。在一些實施例中,處理室內部可以塗覆有抗腐蝕膜,例如有機聚合物或無機塗層。一種這樣的塗層為聚四氟乙烯(PTFE),例如Teflon 1M。此類材料可用於本揭露內容之熱處理而沒有被電漿暴露移除的風險。
在電漿顯影製程中,在針對曝光和未曝光區域之間的蝕刻選擇性進行最佳化的條件下,將光圖案化含金屬EUV阻劑暴露於遠端電漿。可以將條件最佳化以產生溫和的電漿,其中溫和的電漿可以以高壓和低功率為特徵。腔室壓力可以調整,其中腔室壓力可能會影響顯影處理中曝光和未曝光區域之間的蝕刻選擇性。在一些實施例中,腔室壓力可等於或大於約5 mTorr,或等於或大於約15 mTorr。在一些實施例中,腔室壓力可以在高流量下相對較高並且伴隨著稀釋,其中腔室壓力可以在約100 Torr和約760 Torr之間或在約200 Torr和約760 Torr之間。可以調整RF功率位準,其中RF功率可以影響蝕刻選擇性、粗糙度、除渣和其他顯影特性。在一些實施例中,RF功率可等於或小於約1000 W、等於或小於約800 W、或等於或小於約500 W。可調節溫度,其中溫度可影響例如蝕刻選擇性之顯影的各個方面。在一些實施例中,溫度可以在約-60℃和約300℃之間、在約0℃和約300℃之間、或在約30℃和約120℃之間。可以調整氣體流速,其中氣體流速可以影響顯影期間曝光和未曝光區域之間的蝕刻選擇性。在一些實施例中,氣體流速在約50 sccm和約2000 sccm之間,在約100 sccm和約2000 sccm之間,或在約200 sccm和約1000 sccm之間,例如約500 sccm。曝光持續時間可以在電漿顯影處理中調整。曝光的持續時間可能取決於需要移除多少阻劑、顯影化學物質、阻劑中的交聯量、以及阻劑的組成和性質等因素。在一些實施例中,曝光的持續時間可以介於約1秒和約50分鐘之間、介於約3秒和約20分鐘之間、或介於約10秒和約6分鐘之間。
電漿顯影製程可能會將光圖案化含金屬EUV阻劑暴露於某些含鹵化物氣體的自由基。在一些實施例中,自由基是從遠端電漿源產生的。例如,電漿顯影可以將光圖案化含金屬EUV阻劑暴露於由遠端電漿源產生的氫氣和鹵化物氣體的自由基。在一些實施例中,含鹵化物氣體包含氫鹵化物、氫氣和鹵化物氣體、三氯化硼、有機鹵化物、醯基鹵化物、羰基鹵、亞硫醯鹵、或其混合物。氫鹵化物可包含但不限於氟化氫(HF)、氯化氫(HCl)、溴化氫(HBr)和碘化氫(HI)。例如,氫鹵化物可以是HCl或HBr。氫氣和鹵化物氣體可包含但不限於與氟氣(F 2)、氯氣(Cl 2)、溴氣(Br 2)或碘氣體(I 2)混合的氫氣(H 2)。有機鹵化物可包含但不限於C xH yF z、C xH yCl z、C xH yBr z和C xH yI z,其中x、y和z的值等於或大於0。醯基鹵化物可以包含但不限於CH 3COF、CH 3COCl、CH 3COBr和CH 3COI。羰基鹵可以包含但不限於COF 2、COCl 2、COBr 2和COI 2。亞硫醯鹵可以包含但不限於SOF 2、SOCl 2、SoBr 2和SOI 2。在一些實施例中,含鹵化物氣體可以在有或沒有惰性氣體/載氣(例如He、Ne、Ar、Xe和N 2)的情況下流動。 除渣 / 平滑化 / 固化
在某些情況下,可能會有浮渣(未曝光部分的材料(例如顯影後EUV 阻劑的區域)很可能具有高金屬濃度,如團簇)或粗糙(相同的成分,但在已顯影圖案中蝕刻特徵的側壁上)。這兩個挑戰在很大程度上可以歸因於隨機性和光的非最佳高斯分佈,導致在阻劑應保持為未曝光的區域中部分或完全的曝光材料,反之亦然。
可以在顯影期間或之後控制用於除渣和平滑化操作的製程條件。在一些實施例中,反應物流可以在約50 sccm和約1000 sccm之間或在約100 sccm和約500 sccm之間,例如約500 sccm He。在一些實施例中,溫度可以介於約-60°C和約120°C之間、介於約-20°C和約60°C之間、或介於約20°C和約40°C之間,例如約20°C . 在一些實施例中,腔室壓力可介於約1 mTorr與約300 mTorr之間、介於約5 mTorr與約100 mTorr之間、介於約5 mTorr與約20 mTorr之間,例如約10 mTorr。在離子能量高的情況下,電漿功率可能相對較低。在一些實施例中,電漿功率可以在約50 W和約1000 W之間,在約100 W和約500 W之間,或在約100 W和約300 W之間,例如約300 W。在一些實施例中,晶圓偏壓為大約10 V和大約500 V之間,大約50 V和大約300 V之間,例如大約200 V。可以使用高RF頻率產生電漿。在一些實施例中,RF頻率為13.56 MHz。暴露於惰性氣體電漿的持續時間可以相對較短以避免在電漿暴露期間過度暴露於UV輻射。在一些實施例中,暴露的持續時間在約0.5秒和約5秒之間,在約1秒和約3秒之間,例如約2秒。
He解吸除渣以及清潔未曝光阻劑殘留物可以具有固化曝光的阻劑以使其硬化的附帶益處,從而在後續操作中增強其硬遮罩功能以蝕刻下面的基板。這種阻劑硬化係藉由將暴露於 EUV 的阻劑暴露於由 He 電漿產生的UV輻射來實現,這可以在除渣/平滑化完成並關閉偏壓後繼續進行。如果不需要或不執行除渣/平滑化,則可以替代地執行He電漿固化。
在一些實施例中,He電漿除渣/平滑化可如上所述般地與乾式顯影循環進行,以獲得增強的結果。依照這種方式,例如圖案之未曝光區域的大部分有機成分便藉由乾式顯影被移除,接下來簡短的 He 電漿操作可以移除表面的一些濃縮金屬,進而打開通往剩餘之下方有機材料的通道而可以接著在隨後的乾式顯影操作/循環中移除。可以使用 He 電漿的另一個循環來移除任何剩餘的金屬,以留下乾淨平滑的特徵表面。可繼續循環直到所有或基本上所有的浮渣和粗糙殘留物都被移除,留下乾淨且平滑的特徵表面。
在一些實施例中,He解吸除渣和平滑化可與濕式顯影製程一起使用。濕式顯影具有非常高的選擇性,並已顯示出明顯的開/關行為,導致濕式顯影處理無法移除被錯誤地部分或完全曝光的區域。濕式顯影處理之後便留下剩餘的殘留物,導致浮渣和高線邊緣及寬度粗糙度。有趣的是,由於乾式顯影製程的可調性,其中蝕刻速率和選擇性可以基於多個調整鈕(例如時間、溫度、壓力、氣體/流量)進行調節,它可以進一步藉由移除這些部分暴露的殘留物而應用於移除浮渣及平滑化含金屬光阻線條。 設備
當前的 EUV 阻劑塗層技術通常使用在大氣中施加的旋塗阻劑。此技術不允許大氣控制或影響,且僅允許將單一化學混合物應用於整個薄膜堆疊。
如下面圖4及圖6所示的腔室包含許多元件以實現 EUV 阻劑的乾式沉積,該腔室包含一個四區式靜電卡盤,其用於將晶圓基板的溫度控制在 +/-0.5 C,以及一個四前驅物蒸汽輸送系統連接到雙氣室氣體分配板。
在各個實施例中,將腔室、氣體分配板和相關的輸送管道加熱以防止任何前驅物凝結。
在各個實施例中,還結合了氣箱以將所需的氣體成分輸送到腔室。
在各個實施例中,ESC為RF供電的以增強腔室的清潔能力。
在各個實施例中,將閘閥及所有內部腔室部件加熱以防止或最小化在晶圓表面上以外的沉積。
在各個實施例中,於圍繞晶圓的外圍使用氣體排除環以防止在背側和頂部邊緣斜角上的沉積。
這些設計的創新之一為將不同的技術元件耦合到一個腔室中以實現所需的沉積性能。晶圓溫度的均勻性、化學成分藉由氣體分配板的漸變分佈、沉積排除環以及經加熱的腔室表面在各個實施例中協同工作,以實現卓越的薄膜特性。
在一些實施例中,可以在本文所述的操作期間使用雙氣室分形(DPF)噴淋頭。在此討論的雙氣室分形噴淋頭可以配置用以將處理氣體均勻地分配到位於半導體處理室中之半導體晶圓上方的處理容積。這可能有助於促進更均勻的晶圓處理,因為整個晶圓表面會大致同時暴露於此種製程氣體,而不是例如暴露至從噴淋頭周圍流出之前先從噴淋頭中心流出一段時間的噴淋頭情況下的製程氣體。DPF 噴淋頭的更多細節在美國專利申請案第62/914,616中描述,其名稱為DUAL PLENUM SHOWERHEAD,其併入本文中之揭露內容係至少涉及處理室的配置。
圖4描繪了具有處理室主體402之處理站400之實施例的示意圖,以用於保持適用於實現所述乾式顯影、清潔、二次加工、除渣及平滑化實施例的低壓環境。在共同的低壓處理工具環境中可以包含複數處理站400。例如,圖5描繪了多站式處理工具500的實施例,例如可從加州弗里蒙特市的Lam Research Corporation獲得的 VECTOR®處理工具。在一些實施例中,包含以下詳細討論之那些處理站400的一或多個硬體參數可以由一或多個電腦控制器以程式化方式調整。
處理站可以配置為叢集工具中的一個模組。圖7描繪了適合於實施本文所述之實施例中具有真空整合式沉積及圖案化模組的半導體處理叢集工具架構。這種叢集處理工具架構可以包含阻劑沉積、阻劑曝光(EUV掃描器)、阻劑乾式顯影以及蝕刻模組,如上文所述及下文參考圖6及圖7所進一步描述者。
在一些實施例中,某些處理功能可以在同一模組中連續執行,例如乾式顯影及蝕刻。本揭露內容之實施例係指向用於在EUV掃描器中於光圖案化之後將晶圓接收到乾式顯影/蝕刻室的方法及設備,該晶圓包含設置在要蝕刻的層或層堆疊上的光圖案化EUV阻劑薄膜層;乾式顯影光圖案化EUV阻劑薄膜層;接著使用圖案化的EUV阻劑作為遮罩來蝕刻下層,如本文所述。
返回圖4,處理站400係與反應物輸送系統401流體連通以將處理氣體輸送到分配噴淋頭406。反應物輸送系統401係可選地包含混合容器404,用於混合以及/或調節輸送到噴淋頭406的處理氣體。一或多個混合容器入口閥420可以控制引入到混合容器404的處理氣體。在使用電漿暴露的情況下,亦可以將電漿輸送到噴淋頭406或可以在處理站400中產生。如上所述,在至少一些實施例中,非電漿熱暴露是有利的。
圖4包含可選的汽化點403,用於汽化欲供應到混合容器404的液體反應物。在一些實施例中,可設置位在汽化點403上游的液體流量控制器(LFC),以控制用於汽化與輸送至處理站400之液體的質量流量。例如,LFC可包括位在LFC下游的熱質量流量計(MFM)。接著可回應由與MFM電連通的比例-積分-微分(proportional-integral-derivative, PID)控制器提供的回饋控制訊號,來調整LFC的柱塞閥(plunger valve)。
噴淋頭406係朝向基板412來配送處理氣體。在圖4所示的實施例中,基板412位在噴淋頭406下方並顯示為安置在基座408上。噴淋頭406可具有任何適當的形狀,並且可具有用以配送處理氣體到基板412的任何適當數量與配置的端口。
在一些實施例中,基座408可升高或降低,以使基板412暴露於基板412與噴淋頭406之間的容積。吾人將可以瞭解的是,在一些實施例中,可藉由適當的電腦控制器程式化地調整基座高度。
在一些實施例中,基座408可藉由加熱器410進行溫度控制。在一些實施例中,在光圖案化阻劑之非電漿熱暴露至乾式顯影化學物質(例如HBr 、HCl或BCl 3)期間,基座408可被加熱到大於0°C且高達300°C或更高的溫度,例如50至120°C,例如約65至80°C,如在所揭露之實施例中所述。
進一步地,在一些實施例中,可藉由蝴蝶閥418來提供對處理站400的壓力控制。如圖4的實施例所示,蝴蝶閥418將下游真空泵(未顯示)提供的真空予以節流。然而,在一些實施例中,處理站400的壓力控制亦可藉由改變引入到處理站400之一或多個氣體的流速來調整。
在一些實施例中,可相對於基座408調整噴淋頭406的位置,以改變基板412與噴淋頭406之間的容積。進一步地,吾人將可以瞭解的是,基座408及/或噴淋頭406的垂直位置可藉由位於本揭露內容範疇內之任何適當的機構來改變。在一些實施例中,基座408可包括用於旋轉基板412之方位的旋轉軸。吾人將可以瞭解的是,在一些實施例中,可藉由一或多個適當的電腦控制器程式化地執行一或多個這種範例性調整。
在使用電漿的情況下,例如在溫和之基於電漿的乾式顯影實施例中及/或在同一腔室中進行的蝕刻操作中,噴淋頭406及基座408係與射頻(RF)電源414及匹配網路416電連通,以供電給電漿。在一些實施例中,可藉由控制處理站壓力、氣體濃度、RF源功率、RF源頻率、及電漿功率脈衝時間點的一或多者來控制電漿能量。例如,RF電源414與匹配網路416可在任何適當的功率下運作,以形成具有期望組成之自由基物種的電漿。適當的功率範例係上至約500 W。
在一些實施例中,可經由輸入/輸出控制(IOC) 排序指令提供用於控制器的指令。在一例中,可將設定處理階段之條件的指令包含在處理配方的相應配方階段中。在一些情況下,處理配方階段可依序地安排,使得處理階段的全部指令與該處理階段同時執行。在一些實施例中,可將設定一或多個反應器參數的指令包含在配方階段中。例如配方階段可以包含用於設置乾式顯影化學物質反應氣體(例如HBr或HCl)之流速的指令、以及用於配方階段的時間延遲指令。在一些實施例中,控制器可以包含以下關於圖5之系統控制器550描述的任何特徵。
如上所述,多站式處理工具可以包含一或多個處理站。圖5顯示具有入站負載鎖502及出站負載鎖504的多站式處理工具500之實施例的示意圖,入站負載鎖502以及出站負載鎖504中的一或兩者可以包含遠端電漿源。處於大氣壓下的機器人506係配置用以將晶圓從透過盒508裝載的匣中經由大氣端口510而移到入站負載鎖502中。機器人506係將晶圓放置在入站負載鎖502中的基座512上,關閉大氣端口510,並且抽空負載鎖。其中入站負載鎖502包含遠端電漿源,晶圓可以在引入到處理室514之前暴露至入站負載鎖502中的遠端電漿處理以處理其矽氮化物表面。此外,晶圓也可以在入站負載鎖502中加熱,以例如去除水分以及吸附的氣體。接著,打開通向處理室514的腔室輸送口516,且另一個機器人(未示出)會將晶圓放入用於處理之反應器中所示之第一站之基座上的反應器中。儘管圖5中描繪的實施例包含負載鎖,但吾人應當理解,在一些實施例中,可以提供晶圓直接進入處理站。
所描繪的處理室514包含四個處理站,在圖5所示的實施例中從1到4編號。每一站都有一個經加熱的基座(顯示在站1的518)以及氣體管線入口。吾人將理解的是,在一些實施例中,每個處理站可以具有不同或多個目的。例如,在一些實施例中,處理站可以是在乾式顯影跟蝕刻處理模式之間切換。額外的或是可替代的,在一些實施例中,處理站可以包含一或多個成對的乾式顯影跟蝕刻處理站。儘管所示的處理室514包含四個站,但吾人應理解,根據本揭露內容之處理室可具有任何合適數量的站。例如,在一些實施例中,處理室可以具有五個或更多的站,而在其他實施例中,處理室可以具有三個或更少的站。
圖5描繪了用於在處理室514內傳送晶圓之晶圓處理系統590的實施例。在一些實施例中,晶圓處理系統590可以在各個處理站之間以及/或在處理站與負載鎖之間傳送晶圓。吾人將理解到可以採用任何合適的晶圓處理系統。非限制性範例包含晶圓傳送帶以及晶圓處理機器人。圖5還描繪了用於控制處理工具500之處理條件以及硬體狀態的系統控制器550的實施例。系統控制器550可以包含一或多個記憶體裝置556、一或多個大容量儲存裝置554以及一或多個處理器552。處理器552可以包含CPU或電腦、類比及/或數位輸入/輸出連接器、步進馬達控制器面板等。
在一些實施例中,系統控制器550係控制了處理工具500的所有活動。系統控制器550係執行系統控制軟體558,系統控制軟體558乃儲存在大容量儲存裝置554中、加載到記憶體裝置556中,並在處理器552中執行。或者,控制邏輯可以硬編碼至控制器550中。專用積體電路、可程式化邏輯裝置(例如場域可程式化閘極陣列或FPGA)等可用於此類目的。在下面的討論中,只要使用「軟體」或「編碼」之處,便可以使用功能上可相較之硬編碼邏輯來取代。系統控制軟體558可以包含用於控制時間、氣體混合物、氣體流速、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、卡盤及/或承受器位置以及由處理工具500執行之特定處理的其他參數。系統控制軟體558可以任何合適的方式配置。例如,可以編寫諸多處理工具部件副程式或控制對象,以控制用於執行各個處理工具製程之處理工具部件的操作。系統控制軟體558可以用任何合適的電腦可讀程式語言來編碼。
在一些實施例中,系統控制軟體558可以包含用於控制上述諸多參數的輸入/輸出控制(IOC)排序指令。在一些實施例中,可以採用與系統控制器550相關聯之儲存在大容量儲存裝置554及/或記憶體裝置556中之其他電腦軟體及/或程式。為此目的之程式或程式段的範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式以及電漿控制程式。
基板定位程式可以包含用於處理工具部件的程式碼,其係用於將基板裝載到基座518上,並控制基板與處理工具500的其他部分之間的間距。
處理氣體控制程式可包含用於下列各者之編碼: 控制多種氣體之組成(例如此處所述之HBr或HCl)及流速、以及可選地用於在沉積之前使氣體流入一或多個處理站以穩定處理站中的壓力。壓力控制程式可以包含藉由調節例如處理站之排氣系統中的節流閥、流入處理站的氣體流量等來控制處理站中之壓力的編碼。
加熱器控制程式可以包含用於控制流至加熱單元(用於加熱基板)之電流的編碼。可替代地,加熱器控制程式可以控制傳熱氣體(例如氦氣)向基板的輸送。
根據本文的實施例,電漿控制程式可以包含用於設定施加到一或多個處理站中之處理電極的RF功率位準的編碼。
根據此處之實施例,壓力控制程式可包含用於維持反應室中之壓力的編碼。
在一些實施例中,可以存在與系統控制器550相關聯的使用者介面。該使用者介面可以包含顯示器、設備之圖形軟體顯示器及/或處理條件、以及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器550調節的參數可以涉及處理條件。非限制性範例包含處理氣體成分及流速、溫度、壓力、電漿條件(例如RF偏壓功率位準)等。這些參數可以利用使用者介面輸入而以配方的形式提供給使用者。
可以藉由來自諸多處理工具感應器之系統控制器550的類比及/或數位輸入連接器來提供用於監控處理的信號。用於控制處理的信號可以在處理工具500之類比及數位輸出連接器上輸出。可以監控之處理工具感應器的非限制性範例包含質量流量控制器、壓力感應器(例如壓力計)、熱電偶等。適當程式化的反饋以及控制演算法可與來自這些感應器的資料一起使用,以維持處理條件。
系統控制器550可以提供用於實施上述沉積處理的程式指令。程式指令可控制多種處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等。指令可控制參數以根據本文所述之各個實施例來操作乾式顯影及/或蝕刻處理。
系統控制器550通常包含一或多個記憶體裝置及一或多個處理器,其係配置用以執行指令使得設備會根據所揭露之實施例的方法執行。包含用於控制根據所揭露實施例之處理操作之指令的機器可讀媒體可以耦合到系統控制器550。
在一些實施方式中,系統控制器550為系統的一部分,其可以是上述範例中的一部分。此種系統可以包含半導體處理設備,其包含一或多個處理工具、一或多個腔室、一或多個用於處理的平台及/或特定的處理部件(晶圓基座、氣流系統等)。這些系統可以與電子設備整合在一起,以控制在半導體晶圓或基板的處理前、中、後的操作。電子設備可以稱之為「控制器」,其可以控制一或多個系統的各個元件或子部件。取決於處理條件及/或系統的類型,控制器550可以經程式化而控制此處揭露的任何處理,包含處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓傳送進出工具及其他傳送工具及/或連接到特定系統或與特定系統相接的負載鎖。
廣義來說,控制器550可以定義為具有多個積體電路、邏輯、記憶體及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為專用積體電路(ASIC)的晶片及/或一或多個微處理器或執行程式指令之微控制器(例如軟體)。程式指令可以是以諸多個別設定(或程式檔案)的形式與控制器通訊的指令,其係界定用於在半導體晶圓或系統上或針對半導體晶圓或系統執行特定處理的操作參數。在一些實施例中,操作參數可以是由製程工程師定義之配方的一部分,以在製造下列一或多個的期間完成一或多個處理步驟: 晶圓之層、材料、金屬、氧化物、矽、二氧化矽、表面、電路以及/或晶粒。
在一些實施方式中,系統控制器550可以是電腦的一部份或是耦合至電腦,而電腦則是整合至系統、耦合至系統或與系統聯網,或前述的組合。例如,控制器可以在「雲端」中或可以是晶圓廠電腦主機系統的全部或一部分,如此可以允許對晶圓處理的遠端存取。該電腦可以啟動對系統進行遠端存取,以監控製造操作的當前進度、檢查過去製造操作的歷史、檢查來自多個製造操作的趨勢或性能指標、改變當前處理的參數、設定處理步驟以接續當前處理、或開始新的處理。在一些例子中,遠端電腦(例如伺服器)可以藉由網路向系統提供處理配方,該網路可以包含區域網路或網際網路。遠端電腦可以包含使用者介面,而使得能夠對參數及/或設定進行輸入或程式化,接下來將參數及/或設定從遠端電腦傳送到系統。在一些例子中,系統控制器550係接收資料形式的指令,其為在一或多個操作期間要執行的每個處理步驟指定參數。吾人應理解,參數係針對於欲進行處理的類型以及控制器用以與之相接或控制的工具類型。因此如上所述,可以例如透過包含被聯網在一起並朝著共同目的(例如本文所述的處理及控制)而工作的一或多個離散控制器來分佈系統控制器550。用於此種目的之分佈式控制器的例子為腔室上的一或多個積體電路,其與遠端(例如在平台等級或作為遠端電腦的一部分)的一或多個積體電路進行通信,這些積體電路相結合以控制腔室中的處理。
非限制性地,系統範例可以包含電漿蝕刻室或模組、沉積室或模組、旋轉清洗室或模組、金屬電鍍室或模組、清潔室或模組、斜角緣部蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、徑跡室或模組、EUV微影室(掃描器)或模組、乾式顯影室或模組、以及可以與半導體晶圓製造及/或生產中相關聯或用於其中之任何其他半導體處理系統。
如上所述,取決於工具要執行的一或多個處理步驟,系統控制器550可以與下列一或多個通信: 其他工具電路或模組、其他工具部件、叢集工具、其他工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器或在半導體製造工廠中用於將晶圓容器往返工具位置及/或裝載端口之材料運輸的工具。
現在描述感應耦合電漿(ICP)反應器,其在某些實施例中可適用於適於實施某些實施例的蝕刻操作。儘管本文描述了ICP反應器,但在一些實施例中,吾人應當理解也可以使用電容耦合電漿反應器。
圖6示意性地顯示根據本文某些實施例之感應耦合電漿蝕刻設備600的橫剖面圖,其適於實施某些實施例或實施例之態樣,例如乾式顯影及/或蝕刻,其中一個例子為加州弗里蒙特市的Lam Research Corporation生產的Kiyo®反應器。在其他實施例中,亦可實施具有此處描述之執行乾式顯影及/或蝕刻處理功能的其他工具或工具類型。
感應耦合電漿蝕刻設備600包含整體處理室,其結構上由腔室壁601以及窗611界定。腔室壁601可以由不銹鋼或鋁製成。窗611可由石英或其他介電材料製成。可選的內部電漿格柵650係將整體處理室分成上部子腔室602以及下部子腔室603。在大部分的實施例中,可以移除電漿格柵650,從而利用由子腔室602以及603製成的腔室空間。卡盤617係定位在下部子腔室603內之靠近底部內表面處。卡盤617係配置用以接收以及支承在其上執行蝕刻及沉積處理的半導體晶圓619。卡盤617可以是靜電卡盤,用於在晶圓存在時支撐晶圓619。在一些實施例中,邊緣環(未示出)係圍繞卡盤617,並且當晶圓存在於卡盤617之上時,邊緣環具有的上表面係與晶圓619之頂表面大致平齊。卡盤617還包含用於夾持晶圓619以及使晶圓619脫夾的靜電電極。為此可以提供濾波器以及直流(DC)箝位電源(未示出)。也可以提供用於將晶圓619抬離卡盤617的其他控制系統。卡盤617可以使用RF電源623充電。RF電源623係藉由連接件627而連接到匹配電路621。匹配電路621係藉由連接件625而連接到卡盤617。以這種方式,RF電源623便連接到卡盤617。在各個實施例中,靜電卡盤之偏壓功率可設定在約50 V或者可以取決於根據所揭露實施例中執行之處理而設定在不同的偏壓功率。例如,該偏壓功率可設定在介於約20 Vb及100 V之間、或介於約30 V及150 V之間。
用於產生電漿之元件係包含位於窗611上方的線圈633。在一些實施例中,在所揭露的實施例並不使用線圈。線圈633係由導電材料製成並且包括至少一完整的匝圈。圖6 所示之線圈633 範例包括三個匝圈。線圈633之橫剖面係以符號顯示,具有「X」符號的線圈係旋轉延伸進入頁面,而具有「●」線圈則旋轉延伸出頁面。用於產生電漿之元件亦包含配置用以供應RF功率至線圈633 的RF電源641。一般而言,RF電源641係透過連接件645而連接到匹配電路639。匹配電路639則透過連接件643而連接到線圈633。 以這種方式,RF電源641便連接到線圈633。一種可選的法拉第屏蔽649係位於線圈633及窗611之間。法拉第屏蔽649係相對於線圈633而保持間隔開的關係。在一些實施例中,法拉第屏蔽649係設置在窗611的正上方。在一些實施例中,法拉第屏蔽649係設置在窗611及卡盤617之間。在一些實施例中,法拉第屏蔽649並非相對於線圈633而保持間隔開的關係。例如,法拉第屏蔽649係直接位於窗611的正下方而無間隙。線圈633、法拉第屏蔽649以及窗611中之每一個的配置係用以實質與彼此平行。法拉第屏蔽649可以防止金屬或其他物質沉積在處理室的窗611上。
處理氣體可透過位於上部子腔室602中的一或多個主要氣流入口660及/或透過一或多個側氣流入口670來供應。類似的,雖然未明確顯示,可以使用類似的氣流入口來供應處理氣體至感應耦合電漿處理室。例如一段式或兩段式的機械乾式泵及/或渦輪分子泵640的真空泵可以用來將處理氣體自處理室抽出、並維持處理室內的壓力。例如,真空泵可用於在ALD吹掃操作期間抽空下部子腔室603。可以使用閥控電路來將真空泵流體連接至處理室,以便選擇性地控制由真空泵提供之真空環境應用。這可以透過在操作電漿處理期間採用封閉式迴圈流動限制裝置來達成,例如節流閥(未顯示)、鐘擺閥(未顯示)。類似的,亦可以採用連接至感應耦合電漿處理室之真空泵及閥控流體連接部。
在設備600的操作期間,一或多種處理氣體可以透過氣流入口660及/或670供應。在某些實施例中,可僅透過主要氣流入口660或僅透過側氣流入口670供應處理氣體。在一些情況下,圖中顯示之氣流入口可以替換成更複雜的氣流入口,例如一或多個噴淋頭。法拉第屏蔽649及/或可選的格柵650可以包括內部通道及孔,以允許將處理氣體輸送到處理室中。法拉第屏蔽649及/或可選的格柵650其中之一或兩者都可以用作輸送處理氣體的噴淋頭。在一些實施例中,液體汽化和輸送系統可以位於處理室的上游,使得一旦液體反應物或前驅物被汽化,汽化的反應物或前驅物便藉由氣流入口660及/或670而引入到處理室中。
射頻功率係從RF電源641供應至線圈633,以引起RF電流流過線圈633。流過線圈633之RF電流會在線圈633附近產生電磁場。電磁場則會在上部子腔室602 內產生感應電流。所產生之各個離子及自由基與晶圓619的物理及化學相互作用係選擇性地蝕刻晶圓619上之特徵部並選擇性沉積層在晶圓619上。
如果使用電漿格柵650使得有上部子腔室602以及下部子腔室603兩者存在,感應電流便會作用於上部子腔室602中存在的氣體,而在上部子腔室602中產生電子-離子電漿。可選的內部電漿格柵650會限制下部子腔室603中的熱電子數量。在某些實施例中,此設備的設計以及操作係俾使存在於下部子腔室603中的電漿為離子-離子電漿。
上部電子-離子電漿以及下部離子-離子電漿兩者都可以包含正離子以及負離子,儘管離子-離子電漿將具有更大的負離子對正離子的比率。揮發性的蝕刻及/或沉積副產物可以透過端口622 而自下部子腔室603中除去。本文揭露之卡盤617可以在約10℃至約250℃之間的升高溫度下操作。溫度將取決於處理操作以及特定配方。
當安裝在潔淨室或製造設施中時,設備600可以與設施(未示出)耦合。設施包含提供處理氣體、真空、溫度控制以及環境顆粒控制的管道。當安裝在目標製造設施中時,這些設施係耦合到設備600。此外,設備600可以耦合到傳送腔室,其允許機器人使用典型的自動化將半導體晶圓傳送進出設備600。
在一些實施例中,系統控制器630(其可包含一或多個實體或邏輯控制器)係控制了處理室的一些或全部操作。系統控制器630可包含一或多個記憶體裝置和一或多個處理器。在一些實施例中,設備600包含用於在執行所揭露實施例時控制流速和持續時間的切換系統。在一些實施例中,設備600可具有高達約600 ms或高達約750 ms的切換時間。切換時間可能取決於流動化學物質、選擇的配方、反應器結構和其他因素。
在一些實施方式中,系統控制器630是系統的一部分,其可以是上述例子的一部分。這樣的系統可以包含半導體處理設備,其包含一或多個處理工具、一或多個腔室、一或多個用於處理的平台及/或特定的處理部件(晶圓基座、氣流系統等)。這些系統可以與電子設備整合在一起,以控制在半導體晶圓或基板的處理前、中、後的操作。該電子設備可以整合至系統控制器630,其可以控制一或多個系統的各個部件或子部件。取決於處理參數及/或系統類型,系統控制器可以經程式化而控制此處揭露的任何處理,包含處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置以及操作設定、晶圓傳送進出工具及其他傳送工具及/或連接到特定系統或與特定系統相接的負載鎖。
廣義來說,系統控制器630可以定義為具有諸多積體電路、邏輯、記憶體及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為專用積體電路(ASIC)的晶片及/或一或多個微處理器或執行程式指令之微控制器(例如軟體)。程式指令可以是以諸多個別設定(或程式檔案)的形式傳遞給控制器的指令,其定義用於在半導體晶圓或系統上或針對半導體晶圓或系統執行特定處理的操作參數。在一些實施例中,操作參數可以是由製程工程師定義之配方的一部分,以在製造或移除下列一或多個的期間完成一或多個處理步驟: 晶圓之層、材料、金屬、氧化物、矽、二氧化矽、表面、電路以及/或晶粒。
在一些實施方式中,系統控制器630可以是電腦的一部份或是耦合至電腦,而電腦則是整合至系統、耦合至系統或與系統聯網,或前述的組合。例如,控制器可以在「雲端」中或可以是晶圓廠電腦主機系統的全部或一部分,如此可以允許對晶圓處理的遠端存取。該電腦可以啟動對系統進行遠端存取,以監控製造操作的當前進度、檢查過去製造操作的歷史、檢查來自複數製造操作的趨勢或性能指標、改變當前處理的參數、設定處理步驟以接續當前處理、或開始新的處理。在一些例子中,遠端電腦(例如伺服器)可以藉由網路向系統提供處理配方,該網路可以包含區域網路或網際網路。遠端電腦可以包含使用者介面,而使得能夠對參數及/或設定進行輸入或程式化,接下來將參數及/或設定從遠端電腦傳送到系統。在一些例子中,系統控制器630係接收資料形式的指令,其為在一或多個操作期間要執行的每個處理步驟指定參數。吾人應理解,參數係針對於欲進行處理的類型以及控制器用以與之相接或控制的工具類型。因此如上所述,可以例如透過包含被聯網在一起並朝著共同目的(例如本文中所描述的處理以及控制)而工作的一或多個離散控制器來分佈系統控制器630。用於此種目的之分佈式控制器的例子為腔室中的一或多個積體電路,其與位於遠端(例如在平台等級或作為遠端電腦的一部分)的一或多個積體電路進行通信,這些積體電路相結合以控制腔室中的處理。
系統範例可以包含電漿蝕刻室或模組、沉積室或模組、旋轉清洗室或模組、金屬電鍍室或模組、清潔室或模組、斜角緣部蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、ALD室或模組、ALE室或模組、離子植入室或模組、徑跡室或模組、EUV微影室(掃描器)或模組、乾室顯影室或模組、以及可以與半導體晶圓製造及/或生產中相關聯或用於其中之任何其他半導體處理系統,而不受任何限制。
如上所述,取決於工具要執行的一或多個處理步驟,控制器可以與下列一或多個通信: 其他工具電路或模組、其他工具部件、叢集工具、其他工具介面、相鄰工具、鄰近工具、遍布工廠各處的工具、主電腦、另一控制器或用於可將晶圓容器往返於半導體製造工廠的工具位置及/或裝載端口之材料運輸的工具。
EUVL圖案化可以使用任何合適的工具進行,通常稱為掃描器,例如由荷蘭維爾德霍芬的ASML提供的TWINSCAN NXE:3300B®平台。EUVL圖案化工具可以是如本文所述之將基板從裝置移入及移出以進行此處所述之沉積及蝕刻的獨立裝置。或者,如下所述,EUVL圖案化工具可以是更大之多部件工具上的模組。圖7描繪了適用於實施本文所述處理之半導體處理叢集工具架構,其具有真空整合沉積、EUV圖案化及乾式顯影/蝕刻模組而與真空傳送模組相接。雖然可以在沒有這種真空整合設備的情況下進行該處理,但是這種設備在一些實施方式中可能是有利的。
圖7 描繪了適用於實施本文所述處理之半導體處理叢集工具架構,其具有與真空傳送模組相接之真空整合沉積及圖案化模組。用於在多個儲存設施以及處理模組之間「傳送」晶圓之傳送模組的配置可以稱為「叢集工具架構」系統。根據特定處理之需求,沉積及圖案化模組為真空整合。例如用於蝕刻的其他模組亦可包含在叢集中。
真空傳送模組(VTM) 738係與四個處理模組720a-720d相接,其可以被各別最佳化以執行諸多製造處理。舉例來說,處理模組720a-720d可以安裝用於執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝離、及/或其他半導體處理。例如,模組720a可以是ALD反應器,其可以操作以在如本文所述之非電漿熱原子層沉積中進行,例如可從加州弗里蒙特市的Lam Research Corporation獲得的 VECTOR®處理工具。且模組720b可以是PECVD工具,例如Lam Vector®。吾人應當理解,該圖不一定按比例繪製。
氣閘742以及746,也稱為負載鎖或傳送模組,係與 VTM738以及圖案化模組740相接。例如,如上所述,合適的圖案化模組可以是由荷蘭維爾德霍芬的ASML提供的TWINSCAN NXE:3300B®平台。這種工具架構係允許例如半導體基板或晶圓的工作件在真空下傳送,以免在曝光前發生反應。考慮到環境氣體(例如H 2O、O 2等)對入射光子的強光吸收,EUVL還需要大大降低的壓力,這項事實促進了沉積模組與微影工具的整合。
如上所述,此整合架構只是用於實現所述處理之工具的一個可能實施例。還可以使用更傳統的獨立式EUVL掃描器及沉積反應器(例如Lam Vector工具)來實施該處理,這些反應器可以是獨立式的,也可以是作為模組而與其他工具(例如蝕刻、剝離等)整合在叢集架構中(例如Lam Kiyo或Gamma工具),例如參考圖7所描述的,但沒有整合的圖案化模組。
氣閘742可以是「往外的」負載鎖,指的是將基板從服務沉積模組720a的 VTM738傳送到圖案化模組740,且氣閘746可以是「往內的」負載鎖,指的是將基板從圖案化模組740傳送回到 VTM738。往內的負載鎖746還可以提供到工具外部的介面,以用於基板的進出。每一處理模組具有將模組相接到 VTM738的刻面。例如沉積處理模組720a具有刻面736。在每個刻面內,感應器(例如所示的感應器1-18)係用於偵測當在各個站之間移動時晶圓726的通過。圖案化模組740以及氣閘742及746可以類似地配備有額外的刻面及感應器(未示出)。
主 VTM機器人722係在包含氣閘742及746以及模組之間傳送晶圓726。在一實施例中,機器人722具有一臂,而在另一實施例中,機器人722具有兩個臂,其中每一臂均具有末端效應器724以拾取晶圓(例如晶圓726)而用於運輸。前端機器人744係用於將晶圓726從往外的氣閘742傳送到圖案化模組740中、從圖案化模組740傳送到往內的氣閘746中。前端機器人744還可以在往內的負載鎖及工具外部之間傳送晶圓726以接近及遠離基板。因為往內的氣閘模組746具有在大氣及真空之間匹配環境的能力,晶圓726能夠在兩種壓力環境之間移動而不會被損壞。
吾人應當注意,EUVL工具通常在比沉積工具更高的真空下操作。如果是這種情況,吾人希望在沉積與EUVL工具之間的傳送期間增加基板的真空環境,以允許基板在進入圖案化工具之前脫氣。往外的氣閘742可以藉由將傳送之晶圓保持在較低壓力下(不高於圖案化模組740中的壓力)一段時間並排出任何廢氣來提供此功能,從而使圖案化工具740的光學裝置不被基板的廢氣污染。用於往外排出廢氣之氣閘的合適壓力不超過1E-8 Torr。
圖8展示了圖7之替代性半導體製程叢集工具架構,其不具有圖案化模組740。相反的,大氣傳送模組(ATM)840中的前端機器人832係用於將晶圓826從裝載端模組(LPM)842中的卡匣或前開式晶圓傳送盒(FOUP)834 傳送到氣匣830。處理模組820內之模組中心828可以是用於放置晶圓826的一個位置。在ATM 840中的對準器844係用於對準晶圓。
在一範例性處理方法中,係將晶圓放置在LPM 842的其中一個FOUP 834中。前端機器人832係將晶圓從FOUP 834傳送到對準器844,如此讓晶圓826在被蝕刻或處理之前適當的置中。在對準之後,晶圓便被前端機器人832移動到氣匣830中。因為氣匣具有匹配ATM和VTM 之間之環境的能力,所以晶圓826能夠在兩個壓力環境下之間移動而不受損壞。晶圓826被機器人822從氣匣模組830移動穿過VTM 838而到處理模組 820a-820d 其中之一中。為了實現該晶圓移動,機器人822 係使用在其每個臂上的末端效應器824。一旦晶圓826已經被處理,它就被機器人822從處理模組820a-820d移動到氣匣模組830。從這裡,晶圓826可以被前端機器人832移動到FOUP 834之一或到對準器 844。
如上所述,在多站式處理工具中可包含一或多個處理站。圖9顯示具有入站負載鎖902及出站負載鎖904的多站式處理工具900之實施例的示意圖,入站負載鎖902以及出站負載鎖904中的一或兩者可以包含遠端電漿源。處於大氣壓下的機器人906係配置用以將基板或晶圓從透過盒908裝載的匣中經由大氣端口而移到入站負載鎖902中。機器人906係將基板放置在入站負載鎖902中的基座912上,關閉大氣端口,並且抽空負載鎖。其中入站負載鎖902包含遠端電漿源,基板可以在引入到處理室914A-C之前暴露至入站負載鎖中的遠端電漿處理。此外,基板也可以在入站負載鎖902中加熱,以例如去除水分以及吸附的氣體。接著,打開通向處理室914A-C其中之一的腔室輸送口916,且另一個機器人(未示出)會將基板放入用於處理之反應器中所示之第一站之基座上的反應器中。儘管圖9中描繪的實施例包含負載鎖,但吾人應當理解,在一些實施例中,可以提供基板直接進入處理站。在諸多實施例中,當基板被機器人906放置到基座912上時,將浸泡氣體引入站。
所描繪的處理室914B包含四個處理站,在圖9所示的實施例中從1到4編號。每一站都有一個經加熱的基座(顯示在站1的918)以及氣體管線入口。吾人將理解的是,在一些實施例中,每個處理站可以具有不同或多個目的。例如,在一些實施例中,處理站可以是在ALD跟PEALD處理模式之間切換。額外的或是可替代的,在一些實施例中,處理室914可以包含一或多個成對的ALD跟電漿增強ALD處理站。儘管所示的處理室914包含四個站,但吾人應理解,根據本揭露內容之處理室可具有任何合適數量的站。例如,在一些實施例中,處理室可以具有五個或更多的站,而在其他實施例中,處理室可以具有三個或更少的站。此外,儘管圖9所示的多站式處理工具900包含三個處理室,但在一些實施例中,多站式處理工具可以具有四個處理室。在一些實施例中,多站式處理工具可以具有四個或更多的處理室,而在其他實施例中,多站式處理工具可以具有一個、兩個、或三個處理室。
圖9描繪了用於在處理室914內傳送基板之晶圓處理系統990的實施例。在一些實施例中,晶圓處理系統990可以在各個處理站之間以及/或在處理站與負載鎖之間傳送晶圓。吾人將理解到可以採用任何合適的晶圓處理系統。非限制性範例包含晶圓傳送帶以及晶圓處理機器人。圖9還描繪了用於控制處理工具900之處理條件以及硬體狀態的系統控制器950的實施例。系統控制器950可以包含一或多個記憶體裝置956、一或多個大容量儲存裝置954以及一或多個處理器952。處理器952可以包含CPU或電腦、類比及/或數位輸入/輸出連接器、步進馬達控制器面板等。在一些實施例中,系統控制器950包含用於執行例如此處描述之那些操作的機器可讀指令。
在一些實施例中,系統控制器950係控制了處理工具900的活動。系統控制器950係執行系統控制軟體958,系統控制軟體958乃儲存在大容量儲存裝置954中、加載到記憶體裝置956中,並在處理器952中執行。或者,控制邏輯可以硬編碼至控制器950中。專用積體電路、可程式化邏輯裝置(例如場域可程式化閘極陣列或FPGA)等可用於此類目的。在下面的討論中,只要使用「軟體」或「編碼」之處,便可以使用功能上可相較之硬編碼邏輯來取代。系統控制軟體958可以包含用於控制時間、氣體混合物、氣體流量、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、卡盤及/或承受器位置以及由處理工具900執行之特定處理的其他參數。系統控制軟體958可以任何合適的方式配置。例如,可以編寫諸多處理工具部件副程式或控制對象,以控制用於執行各個處理工具製程之處理工具部件的操作。系統控制軟體958可以用任何合適的電腦可讀程式語言來編碼。
在一些實施例中,腔室914A-C可以執行相同或不同的操作。此外,雖然顯示了三個腔室914A-C,但在一些實施例中可以有四個或更多的腔室,或者三個或更少的腔室。在一些實施例中,腔室914A-C中的每一個可以執行乾式沉積製程以沉積光微影層。在一些實施例中,腔室914A-C中的一或多個可用於乾式沉積製程,而腔室914A-C中之不同的一或多個可用於如本文所述的乾式顯影製程。在一些實施例中,一腔室可以用於乾式沉積製程,一腔室可以用於PAB製程,且一腔室可以用於乾式顯影製程。在一些實施例中,用於PAB製程的腔室也可用於PEB製程或替代性地用於PEB製程。在有四個腔室的實施例中,一腔室可以用於乾式沉積製程,一腔室可以用於PAB製程,一腔室可以用於PEB製程,一腔室可以用於乾式顯影製程。在一些實施例中,不相等的製程時間可以藉由不同的模組比率來解決(例如,如果PR沉積時間為PAB時間的兩倍,則該工具可以配置有兩倍於PAB模組的PR沉積模組)。
在一些實施例中,多站式處理工具900可以在每個腔室具有不同的模組以促進本文討論的實施例。例如, VECTOR® 處理模組可用於沉積製程,而KIYO® 處理模組可用於PAB、PEB 或乾式顯影製程。在一些實施例中,每種類型的模組可以有不同的數量。例如,可能有一個VECTOR 模組和一或多個 KIYO模組。
在一些實施例中,可以在相同工具之相同模組內的不同基座上實施多個操作。在一些實施例中, VECTOR模組可以整合在與KIYO模組相同的模組中。例如,腔室914的基座1和3可用於乾式沉積製程,而基座2和4可用於不同製程,例如晶圓清潔、PAB、PEB或乾式顯影製程。晶圓可在一個基座上處理以沉積PR膜,接下來機器人可用以將晶圓移動到腔室內的另一個基座以進行本文所述的後續製程。以此方式,可以保持真空且將晶圓從一基座轉到另一基座比在處理模組之間轉移晶圓更快。因此,效率(生產量)得到提高而不會明顯犧牲技術性能,且交叉污染得到控制或最小化,從而產生出色的薄膜性能。
此外,每個站/基座可以針對每個操作進行配置或最佳化,且基座可以彼此隔離以在沉積、清潔、烘烤、輻射照射或顯影處理之間減少或最小化交叉污染/串擾,其係例如藉由氣簾或其他站專用的阻障物(例如氣封),例如在美國專利申請案公開號第2015/0004798號以及美國專利申請案公開號第 2017/0101710號中所描述的,在這方面的揭露內容係在此藉由引用併入本文。在範圍內,每一基座處理可以處於不同的溫度和壓力。且每一站的基座、噴淋頭、牆壁等溫度可以針對每個處理獨立且最佳化的設置而無需循環。到每個站的氣體分配可以保持分開。藉由添加多個節流閥,可以獨立地維持壓力。在一些實施例中,每個站的排氣可以是局部的而不是共享的,從而排氣可以保持獨立而不交叉混合/污染氣體。
在一些實施例中,可以在同一基座上實施整合式的操作。例如,晶圓清洗和 PAB/PEB 操作可以在同一個基座上實施。這可以藉由減少晶圓清潔和烘烤操作之間的轉移或排隊時間來提供提高的吞吐量。
圖10A-E圖示了可以在工具之不同腔室內執行處理操作的各個實施例,以及可以在工具上或工具外執行之操作的處理流程圖。在各個實施例中,圖10A-E中所示的操作可以與上面圖1中描述的那些操作相同(如使用相同的附圖標記所暗示的)。雖然清潔操作未在圖10A-E中顯示,但在一些實施例中可以執行清潔操作。吾人亦應理解可能不會執行某些操作,如參考圖1所進一步解釋者。圖10A(i)顯示一實施例,其中叢集工具的每個腔室係執行乾式沉積製程,如在圖 10A(ii)中呈現之製程流程中所示。微影製程中的其他操作,例如 PAB、EUV 曝光、PEB 和顯影製程,可以在不同的工具或叢集上執行。
圖10B(i)圖示了一實施例,其中乾式沉積和乾式顯影製程可以在單一工具內進行,如圖10B(ii)中呈現的製程流程所示。在各個實施例中,可以使用兩個腔室進行乾式沉積製程,而使用一個腔室進行乾式顯影製程。可以在不同的工具上執行其他操作。
圖10C(i)顯示一實施例,其中乾式沉積、PAB和乾式顯影的每一個均在單一工具內進行,如圖10C(ii)中呈現的製程流程所示。在各個實施例中,可使用一腔室進行每一處理。在一些實施例中,基板在處理之間可能具有排隊時間。在一些實施例中,排隊時間可能是花在工具之外,例如在FOUP或其他受控環境中。在其他實施例中,晶圓可以在沒有排隊時間的情況下直接從乾式EUV沉積室移動到PAB室,或者可以在任一處理室中花費排隊時間。這對於減少排隊時間及/或維持真空可能是有利的,這兩者都可以提高得到之PR膜的品質。
圖10D(i)圖示了一實施例,其中在單一工具內執行乾式沉積、PEB和乾式顯影製程,如圖10D(ii)中呈現的製程流程所示。在一些實施例中,PAB室和PEB室可以是相同的腔室,而在其他實施例中它們是不同的腔室。如上所述,在一些實施例中,排隊時間可以在受控環境中花費在工具外,而在其他實施例中,晶圓可以直接從PEB室轉移到顯影室。這對於減少排隊時間及/或維持真空可能是有利的,這兩者都可以提高得到之PR膜的品質。
圖10E(i)圖示了一實施例,其中在單一工具內執行乾式沉積、PAB、PEB和乾式顯影,如圖10E(ii)中呈現的製程流程所示。在一些實施例中,輻射曝光可以在單獨的掃描器室中於工具外進行。如上所述,在一些實施例中,排隊時間可以在受控環境中花費在工具外,而在其他實施例中,基板可以直接從乾式沉積室移動到PAB室,或從PEB室移動到乾式顯影室。在這樣的實施例中,排隊時間可以花在工具上。在用於不同操作之同一工具上的腔室之間轉移基板可能有利於減少排隊時間並維持真空/環境控制。
圖10F(i)圖示了一實施例,其中掃描器可以與沉積室及/或濕式或乾式顯影室整合。有機金屬錫前驅物安瓿1000和EUV沉積模組1010可用於乾式沉積EUV PR。EUV曝光模組1020可用於將部分的EUV PR暴露於輻射以化學改變暴露的部分。EUV顯影模組1030可以使用濕式或乾式來移除部分EUV PR,其可能將PR視為負型阻劑或正型阻劑。圖案轉移模組1040接下來可以將EUV PR的圖案轉移到下層,例如藉由蝕刻製程以基於所顯影之EUV PR圖案形成線。在一些實施例中,可共享來自各個處理環境的副產物或使其流向單個或多個廢氣減排系統1050。在一些實施例中,如圖10F(ii)中所示的製程流程可在圖10F(i)中所示的工具中執行。
在一些實施例中,系統控制器(其可包含一或多個實體或邏輯控制器)係控制叢集工具及/或其不同模組的一些或全部操作。吾人應該注意到該控制器可以位於叢集架構的當地,也可以位於製造樓層中之叢集架構的外部,或者位於遠端的位置而透過網路連接到叢集架構。系統控制器可以包含一或多個記憶體裝置以及一或多個處理器。處理器可以包含中央處理單元(CPU)或電腦、類比以及/或數位輸入/輸出連接件、步進馬達控制器面板以及其他類似部件。在處理器上執行用於實現適當控制操作的指令。這些指令可以儲存在與控制器相關聯的記憶體裝置上,或者它們可以藉由網路提供。在某些實施例中,系統控制器係執行系統控制軟體。
系統控制軟體可以包含用於控制工具或模組操作的任何態樣的應用時間以及/或幅度的指令。可以以任何合適的方式配置系統控制軟體。例如可以編寫各個處理工具部件子例程或控制對象來控制執行各個處理工具之處理所必需之處理工具部件的操作。系統控制軟體可以用任何合適的電腦可讀程式化語言進行編碼。在一些實施例中,系統控制軟體包含用於控制上述各個參數之輸入/輸出控制(IOC)排序指令。例如半導體製造處理的每個階段可以包含一或多個由系統控制器執行的指令。例如用於設置冷凝、沉積、蒸發、圖案化以及/或蝕刻階段之處理條件的指令可以包含在相應的配方階段中。
在各個實施例中,提供了一種用於形成負型圖案光罩的設備。該設備可以包含用於圖案化、沉積及蝕刻的處理室,以及包含用於形成負型圖案光罩之指令的控制器。該指令可包含用於在處理室中藉由EUV曝光而在半導體基板上以化學增幅光阻劑(CAR)來圖案化特徵,以暴露出基板表面、乾式顯影該光圖案化阻劑、並使用該圖案化阻劑作為遮罩來蝕刻下面的層或層堆疊。
吾人應該注意,控制晶圓移動的電腦可以位於叢集架構的當地,也可以位於製造樓層中之叢集架構的外部,或者位於遠端的位置而透過網路連接到叢集架構。如上所述之關於圖6或7中的控制器可以在圖4、5、6、7、8、9或10A-F中的任一者實現,以及在圖11、12及13中所描述的工具架構中實現。
圖11、12和13提供了替代性處理工具架構的配置,例如可以至少部分地在可從加州弗里蒙特市的 Lam Research Corporation 獲得之EOS或Sens.i產品中實施,該產品可在一些實施例中使用。
從圖11開始,多站式處理工具1100可以具有設備前端模組(EFEM)以可選地藉由FOUP來接收基板。如圖12之多站式處理工具1100的剖視圖所示,EFEM機器人1204可以從EFEM 1104通過裝載端口1206來移動基板。基板可以藉由一或多個往返運輸器1208移動,往返運輸器1208可以在線性軌道1214或升降機1212上移動基板。接下來晶圓處理機器人1210可以將基板移動到處理室1108中(在圖12中,描繪了多站式處理工具1100之總共16個處理室中的 8個腔室)。如本文所討論的,每個處理室可以在多個處理模式之間切換。
返回圖11,多站式處理工具1100還可具有流體輸送系統(FDS)1112以將製程氣體和其他流體輸送至每個處理室,並具有FDS控制器1114。風扇過濾器單元1102和1106可用於分別確保處理室和走廊部分的清潔環境。在一些實施例中,如圖11和12所示,阻劑處理軌跡可以用於實施已乾式沉積之EUV PR的濕式顯影。
圖13A呈現了另一個多站式處理工具1300的俯視圖,其具有配置用以將晶圓從透過盒1308裝載的匣中移動的機器人1304。第二機器人1306可以將晶圓移動到各個處理室1302的其中之一以執行本文所述的操作。圖13B呈現了多站式處理工具1300的側視圖。可以使用如圖11、12和13所示的叢集架構來執行這裡描述的各個實施例。 結論
儘管為了清楚理解的目的已經對前述實施例進行了一些詳細的描述,但顯然可以在所附申請專利範圍內實施某些改變和修改。可以在沒有這些具體細節中的一些或全部的情況下實踐本文揭露的實施例。在其他情況下,不再詳細描述眾所周知的處理操作,以免不必要地混淆所揭露的實施例。此外,雖然將結合具體實施例對所揭露的實施例進行描述,但吾人應當理解,具體實施例並不用於限制所揭露的實施例。吾人應當注意,有許多替代方式可實現本實施例之處理、系統和設備。因此,本實施例應認為是說明性的而非限制性的,且實施例並不限於此處給定的細節。
1-18:感應器 100:處理 102,104,106,108,110,112,150:方塊、操作 102a:暴露含金屬膜區域 102b:未暴露區域 300:半導體基板 302:含金屬膜 302a:含金屬膜暴露區域 302b:未暴露區域 306:沉積金屬 400:處理站 401:反應物輸送系統 402:處理室主體 403:汽化點 404:混合容器 406:噴淋頭 408:基座 410:加熱器 412:基板 414:RF電源 416:匹配網路 418:蝴蝶閥 420:混合容器入口閥 500:處理工具 502:入站負載鎖 504:出站負載鎖 506:機器人 508:盒 510:大氣端口 512:基座 514:處理室 516:腔室輸送口 518:基座 550:控制器 552:處理器 554:大容量儲存裝置 556:記憶體裝置 558:系統控制軟體 590:晶圓處理系統 600:感應耦合電漿蝕刻設備 601:腔室壁 602:上部子腔室 603:下部子腔室 611:窗 617:卡盤 619:晶圓 621:匹配電路 622:端口 623:RF電源 625:連接件 627:連接件 630:系統控制器 633:線圈 639:匹配電路 640:機械乾式泵及/或渦輪分子泵 641:RF電源 643:連接件 645:連接件 649:法拉第屏蔽 650:格柵 660:主要氣流入口 670:側氣流入口 720a-720d:處理模組 722:機器人 724:末端效應器 726:晶圓 736:刻面 738:真空傳送模組(VTM) 740:圖案化模組 742:氣閘 744:前端機器人 746:氣閘 820:處理模組 820a-820d:處理模組 822:機器人 824:末端效應器 826:晶圓 828:模組中心 830:氣匣 832:前端機器人 834:前開式晶圓傳送盒(FOUP) 838:真空傳送模組(VTM) 840:大氣傳送模組(ATM) 842:裝載端模組(LPM) 844:對準器 900:處理工具 902:入站負載鎖 904:出站負載鎖 906:機器人 908:盒 912:基座 914:處理室 914A-C:處理室 916:腔室輸送口 918:基座 950:控制器 952:處理器 954:大容量儲存裝置 956:記憶體裝置 958:系統控制軟體 990:晶圓處理系統 1000:有機金屬錫前驅物安瓿 1010:EUV沉積模組 1020:EUV曝光模組 1030:EUV顯影模組 1040:圖案轉移模組 1050:廢氣減排系統 1100:多站式處理工具 1102:風扇過濾器單元 1104:設備前端模組(EFEM) 1106:風扇過濾器單元 1108:處理室 1112:流體輸送系統(FDS) 1114:FDS控制器 1204:EFEM機器人 1206:裝載端口 1208:往返運輸器 1210:晶圓處理機器人 1212:升降機 1214:線性軌道 1300:多站式處理工具 1302:處理室 1304:機器人 1306:第二機器人 1308:盒
圖1呈現了一範例實施例的製程流程圖。
圖2呈現了本技術的範例性化學反應方案。
圖3A-E說明了範例實施例的代表性製程流程。
圖4-9為用於執行根據所揭露實施例之方法的範例處理室的示意圖。
圖10A-F呈現了根據所揭露實施例之多種處理叢集配置的示意圖。
圖11-12和13A-B呈現了可用於執行根據所揭露實施例之方法的多種範例工具架構。
100:處理
102,104,106,108,110,112,150:方塊、操作

Claims (26)

  1. 一種整合型微影系統,其包含: 在一叢集中之複數反應室,該複數反應室係包含: 一光阻(PR)沉積室; 一應用後烘烤(PAB)室; 一曝光後烘烤(PEB)室; 一顯影室;以及 包含一或多個處理器及一或多個記憶體裝置之一控制器,其中該一或多個記憶體裝置係儲存用以控制該一或多個處理器之電腦可執行指令進行下列各者: 在該PR沉積室中接收一基板; 於該PR沉積室中在該基板之一表面上沉積一PR; 在該PAB室中接收具有該PR之該基板; 在該PAB室中處理該PR,以修正該PR之材料特性; 在處理該PR之後,在該PEB室中接收該基板,其中部分之該PR已藉由曝光至輻射而化學地改變,以產生一圖案化PR; 在該PEB室中處理該圖案化PR,以修正該圖案化PR之材料特性;以及 藉由一乾式顯影製程移除該圖案化PR之曝光部分或未曝光部分,而在該顯影室中乾式顯影該圖案化PR,該乾式顯影製程係包含暴露至一化合物以形成一PR遮罩。
  2. 如請求項1之整合型微影系統,其中該PR為一含金屬PR。
  3. 如請求項1之整合型微影系統,其中該PR為一EUV PR。
  4. 如請求項1之整合型微影系統,其中該複數反應室進一步包含一基板清潔室,且在該PAB室中接收具有該PR之該基板的該電腦可執行指令之前,該電腦可執行指令係進一步包含用於控制該一或多個處理器的指令以進行下列各者: 在該清潔室中接收具有該PR之該基板;以及 執行一乾式清潔製程,以自該基板之一斜角緣部及/或背側移除PR。
  5. 如請求項1之整合型微影系統,其中該複數反應室係進一步包含一下層沉積室,且在沉積該PR之該電腦可執行指令之前,該電腦可執行指令係進一步包含用於控制該一或多個處理器的指令以進行: 藉由一乾式製程而在該基板之該表面上沉積一下層,其中該下層係增加該PR對該基板之黏著性。
  6. 如請求項5之整合型微影系統,其中該下層沉積室為該PR沉積室。
  7. 如請求項1之整合型微影系統,其中該複數反應室係進一步包含一預處理室,且在用於沉積該PR之該電腦可執行指令之前,該電腦可執行指令係進一步包含用於控制該一或多個處理器的指令以進行: 藉由一乾式製程而處理該基板之該表面,以引起該基板之該表面上之更多的化學官能基團,以改善對該基板之PR黏著性。
  8. 如請求項1之整合型微影系統,其中該電腦可執行指令係進一步包含用於控制該一或多個處理器的指令,以執行一乾式清潔製程而在該PAB室中自該基板之一斜角緣部及/或背側移除PR。
  9. 如請求項1之整合型微影系統,其中該複數反應室係進一步包含一微影掃描器,且在該PEB室中接收該基板之該電腦可執行指令之前,該電腦可執行指令係進一步包含用於控制該一或多個處理器的指令以進行下列各者: 在該微影掃描器中接收該基板;以及 將部份之該PR曝光至輻射以產生一圖案化PR。
  10. 如請求項1-9其中之一之整合型微影系統,其中該複數反應室的每一個均處於低於大氣壓力,且該PR係處於低於大氣壓力直到該乾式顯影製程。
  11. 如請求項1-9其中之一之整合型微影系統,其中該基板之一大氣環境係經控制,以在乾式顯影該圖案化PR之前降低將該光阻暴露至水氣的機會。
  12. 如請求項1-9其中之一之整合型微影系統,其中該電腦可執行指令係進一步包含藉由一乾式製程沉積該PR的電腦可執行指令,該乾式製程係包含將一有機金屬前驅物之一蒸氣流與一反反應物之一蒸氣流混合。
  13. 如請求項1-9其中之一之整合型微影系統,其中由該複數反應室執行之該等製程均為乾式製程。
  14. 如請求項1-9其中之一之整合型微影系統,其中由該複數反應室執行之該等製程係包含濕式及乾式製程。
  15. 如請求項1-9其中之一之整合型微影系統,其中該叢集係包含多個PR沉積室。
  16. 如請求項1-9其中之一之整合型微影系統,其中該PAB室及該PEB室為相同腔室。
  17. 一種整合型基板處理系統,其包含: 複數基板處理環境,該複數基板處理環境係包含一含金屬光阻(PR)沉積環境以及選自由下列各者組成之一群組的一或多個其他不同的整合型處理環境: 一額外的含金屬光阻(PR)沉積環境; 一含金屬PR顯影環境,以及 一熱處理製程環境;以及 包含一或多個處理器及一或多個記憶體裝置之一控制器,其中該一或多個記憶體裝置係儲存用以控制該一或多個處理器之電腦可執行指令以進行下列各者: 在該含金屬PR沉積環境中接收一基板; 於該含金屬PR沉積環境中於該基板之一表面上沉積一含金屬PR;以及 在該一或多個其他不同的整合型處理環境中執行額外的PR處理操作。
  18. 如請求項17之整合型基板處理系統,其中該複數基板處理環境係進一步包含一PR乾式顯影處理環境,且該一或多個記憶體裝置係儲存用以控制該一或多個處理器之進一步的電腦可執行指令,以進行下列各者: 在部分之該含金屬PR已藉由曝光至輻射而化學地改變以產生一圖案化PR之後,藉由一乾式顯影製程移除該圖案化PR之曝光部分或未曝光部分,而在該顯影環境中乾式顯影該圖案化PR,該乾式顯影製程係包含暴露至一化合物以形成一PR遮罩。
  19. 如請求項17之整合型基板處理系統,其中該複數基板處理環境係進一步包含一熱處理製程環境,而該一或多個記憶體裝置係儲存用以控制該一或多個處理器之進一步的電腦可執行指令,以進行: 在部分之該含金屬PR已藉由曝光至輻射而化學地改變以產生一圖案化PR之前及/或之後,於該熱處理製程環境中熱處理該含金屬PR。
  20. 如請求項17之整合型基板處理系統,其中該複數基板處理環境係進一步包含一掃描器環境,且該一或多個記憶體裝置係儲存用於控制該一或多個處理器之進一步的電腦可執行指令以進行: 在該掃描器環境中將部份之該含金屬PR曝光至輻射,以化學地改變該曝光部分。
  21. 如請求項17之整合型基板處理系統,其中該複數基板處理環境為一叢集內之不同處理室。
  22. 如請求項17之整合型基板處理系統,其中該複數基板處理環境為一腔室內之不同站。
  23. 如請求項17之整合型基板處理系統,其中該複數基板處理環境係包含三個含金屬PR沉積環境。
  24. 如請求項17之整合型基板處理系統,其中該複數基板處理環境係包含兩個含金屬PR沉積環境以及一含金屬PR顯影環境,且該一或多個記憶體裝置係儲存用於控制該一或多個處理器之進一步的電腦可執行指令以進行: 藉由曝光至輻射而將部份之該含金屬PR化學地改變以產生一圖案化PR之後,藉由一乾式製程顯影該圖案化PR。
  25. 如請求項17之整合型基板處理系統,其中該複數基板處理環境係包含一含金屬PR沉積環境、一熱處理製程環境、以及一含金屬PR顯影環境,且該一或多個記憶體裝置係儲存用於控制該一或多個處理器之進一步的電腦可執行指令以進行下列各者: 藉由曝光至輻射而將部份之該含金屬PR化學地改變以產生一圖案化PR之前及/或之後,在該熱處理製程環境內熱處理該含金屬PR,以及 在該熱處理製程環境內熱處理該含金屬PR之後,藉由一乾式製程顯影該圖案化PR。
  26. 如請求項17之整合型基板處理系統,其中該複數基板處理環境係包含該含金屬PR沉積環境、一第一熱處理製程環境、一第二熱處理製程環境、以及一含金屬PR顯影環境,且該一或多個記憶體裝置係儲存用於控制該一或多個處理器之進一步的電腦可執行指令以進行下列各者: 藉由曝光至輻射而將部份之該含金屬PR化學地改變以產生一圖案化PR之前,在該第一熱處理製程環境內熱處理該含金屬PR, 藉由曝光至輻射而將部份之該含金屬PR化學地改變以產生一圖案化PR之後,在該第二熱處理製程環境內熱處理該含金屬PR,以及 在該第二熱處理製程環境內熱處理該含金屬PR之後,藉由一乾式製程顯影該圖案化PR。
TW110124741A 2020-07-07 2021-07-06 用於圖案化輻射光阻圖案化的整合型乾式製程 TW202215162A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062705616P 2020-07-07 2020-07-07
US62/705,616 2020-07-07

Publications (1)

Publication Number Publication Date
TW202215162A true TW202215162A (zh) 2022-04-16

Family

ID=79552053

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110124741A TW202215162A (zh) 2020-07-07 2021-07-06 用於圖案化輻射光阻圖案化的整合型乾式製程

Country Status (7)

Country Link
US (3) US20230045336A1 (zh)
EP (2) EP4078292A4 (zh)
JP (3) JP7382512B2 (zh)
KR (3) KR20230159618A (zh)
CN (2) CN115004110A (zh)
TW (1) TW202215162A (zh)
WO (1) WO2022010809A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR20230159618A (ko) * 2020-07-07 2023-11-21 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
WO2024070756A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58108744A (ja) * 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6112653U (ja) * 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS642330A (en) 1987-06-25 1989-01-06 Nippon Mining Co Ltd Film carrier and manufacture thereof
JPH0637050A (ja) * 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) * 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
US5925494A (en) * 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
JPH1041206A (ja) * 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
KR100265766B1 (ko) * 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
US6179922B1 (en) * 1998-07-10 2001-01-30 Ball Semiconductor, Inc. CVD photo resist deposition
JP2002015971A (ja) * 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
JP2002100558A (ja) * 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
US6911067B2 (en) 2003-01-10 2005-06-28 Blue29, Llc Solution composition and method for electroless deposition of coatings free of alkali metals
JP2004259786A (ja) * 2003-02-24 2004-09-16 Canon Inc 露光装置
US6902605B2 (en) 2003-03-06 2005-06-07 Blue29, Llc Activation-free electroless solution for deposition of cobalt and method for deposition of cobalt capping/passivation layer on copper
US6794288B1 (en) 2003-05-05 2004-09-21 Blue29 Corporation Method for electroless deposition of phosphorus-containing metal films onto copper with palladium-free activation
JP4459666B2 (ja) * 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
JP2006310681A (ja) * 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
US7691559B2 (en) * 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
US7718542B2 (en) * 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
JP4428717B2 (ja) * 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
JP4966922B2 (ja) * 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
US8105954B2 (en) * 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP5392190B2 (ja) * 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
JP5572560B2 (ja) * 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
US8703386B2 (en) * 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
KR102306612B1 (ko) * 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9377692B2 (en) * 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9451614B2 (en) 2014-07-21 2016-09-20 Qualcomm Incorporated System and methods for improving performance of a multi-SIM wireless device operating in single-SIM or multi-SIM standby mode
EP4050014A3 (en) 2014-10-23 2022-12-14 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
EP4273625A3 (en) 2015-10-13 2024-02-28 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
NL2018040A (en) * 2015-12-23 2017-06-28 Asml Netherlands Bv Method for removing photosensitive material on a substrate
JP6742748B2 (ja) * 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10096477B2 (en) * 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
US20180308687A1 (en) * 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
JP7101036B2 (ja) * 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
SG11202009703QA (en) * 2018-05-11 2020-10-29 Lam Res Corp Methods for making euv patternable hard masks
CN112204169A (zh) * 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
FI129480B (en) * 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
US20200174374A1 (en) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of storing photoresist coated substrates and semiconductor substrate container arrangement
CN113227909A (zh) * 2018-12-20 2021-08-06 朗姆研究公司 抗蚀剂的干式显影
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
KR20230159618A (ko) * 2020-07-07 2023-11-21 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스

Also Published As

Publication number Publication date
WO2022010809A1 (en) 2022-01-13
EP4078292A1 (en) 2022-10-26
EP4078292A4 (en) 2023-11-22
US20230290657A1 (en) 2023-09-14
US20230045336A1 (en) 2023-02-09
KR20230159618A (ko) 2023-11-21
JP2024010120A (ja) 2024-01-23
JP7382512B2 (ja) 2023-11-16
KR20220122745A (ko) 2022-09-02
KR20230052991A (ko) 2023-04-20
JP2023093567A (ja) 2023-07-04
CN115004110A (zh) 2022-09-02
CN116626993A (zh) 2023-08-22
JP2023507677A (ja) 2023-02-24
EP4235757A2 (en) 2023-08-30
US20240145272A1 (en) 2024-05-02
EP4235757A3 (en) 2023-12-27
KR102601038B1 (ko) 2023-11-09

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
JP2022538554A (ja) フォトレジスト膜のチャンバ乾式洗浄
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US20240036483A1 (en) Process tool for dry removal of photoresist
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
JP2023551893A (ja) 有機蒸気によるフォトレジストの現像
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상
WO2023009364A1 (en) Rework of metal-containing photoresist
WO2023215136A1 (en) Post-development treatment of metal-containing photoresist
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes