KR20230159895A - 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어 - Google Patents

금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어 Download PDF

Info

Publication number
KR20230159895A
KR20230159895A KR1020237037775A KR20237037775A KR20230159895A KR 20230159895 A KR20230159895 A KR 20230159895A KR 1020237037775 A KR1020237037775 A KR 1020237037775A KR 20237037775 A KR20237037775 A KR 20237037775A KR 20230159895 A KR20230159895 A KR 20230159895A
Authority
KR
South Korea
Prior art keywords
substrate
processing chamber
gas
processing
metal
Prior art date
Application number
KR1020237037775A
Other languages
English (en)
Inventor
다니엘 피터
사만다 시암화 탄
정이 유
다 리
멍 쑤
욱 최
지 연 김
알렌 제이. 젠슨
샤히드 하산 라빕
영희 이
홍시앙 자오
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230159895A publication Critical patent/KR20230159895A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

반도체 기판 상의 금속-함유 오염을 제어하기 위한 다양한 기법들이 본 명세서에 제공된다. 이러한 기법들은 현상 후 소성 (post-development bake; PDB) 처리, 화학적 처리, 플라즈마 처리, 광 처리, 및 배면 및 베벨 에지 세정 중 하나 이상을 수반할 수도 있다. 기법들은 특정한 애플리케이션에 대해 목표된 대로 결합될 수도 있다. 많은 경우들에서, 기법들은 포토레지스트 현상 동작 동안 생성되는 금속-함유 오염을 해결하도록 사용된다.

Description

금속 함유 포토레지스트로부터 금속성 (METALLIC) 오염의 제어
본 명세서의 실시 예들은 반도체 프로세싱 분야에 관한 것이다. 특히, 다양한 실시 예들은 포토리소그래피 및 관련 프로세스들을 사용하여 반도체 기판을 패터닝하는 것에 관한 것이다. 금속성 (metallic) 오염을 제어하기 위한 다양한 기법들이 논의된다.
집적 회로들과 같은 반도체 디바이스들의 제조는 포토리소그래피 (photolithography) 를 수반하는 다단계 프로세스이다. 일반적으로, 프로세스는 웨이퍼 상에 재료의 증착, 및 반도체 디바이스의 구조적 피처들 (예를 들어, 트랜지스터들 및 회로) 을 형성하기 위해 리소그래픽 기법들을 통해 재료를 패터닝하는 것을 포함한다. 당업계에 공지된 통상적인 포토리소그래피 프로세스의 단계들은: 기판을 준비하는 단계; 스핀 코팅에 의해서와 같이 포토레지스트를 도포하는 단계; 포토레지스트의 노출된 영역들로 하여금 현상 용액에 더 잘 또는 덜 용해성 (soluble) 이 되게 하도록, 목표된 패턴의 광에 포토레지스트를 노출하는 단계; 포토레지스트의 노출된 영역들 또는 노출되지 않은 (unexposed) 영역들을 제거하기 위해 현상 용액을 도포함으로써 포토레지스트 패턴을 현상하는 단계; 및 에칭 또는 재료 증착에 의해서와 같이 포토레지스트가 제거된 기판의 영역들 상에 피처들을 생성하기 위한 후속 프로세싱 단계를 포함한다.
반도체 설계의 발전은 반도체 기판 재료들 상에 훨씬 더 작은 피처들을 생성할 필요성을 생성하였고, 생성하는 능력에 의해 구동되었다. 이 기술의 발달 (progression) 은 치밀 집적 회로들에서 트랜지스터들의 밀도가 2 년마다 2 배가 되는 (double) "Moore의 법칙"으로 특징화되었다. 사실, 칩 설계 및 제작은 최신 마이크로프로세서들이 단일 칩 상에 수십억 개의 트랜지스터들 및 다른 회로 피처들을 포함할 수도 있도록 발달되었다. 이러한 칩들 상의 개별적인 피처들은 대략 22 나노미터 (㎚) 이하, 일부 경우들에서 10 ㎚ 미만일 수도 있다.
이러한 작은 피처들을 갖는 디바이스를 제작하는 것의 일 과제는 충분한 분해능을 갖는 포토리소그래피 마스크들을 신뢰성 있고 재생 가능하게 생성하는 능력이다. 현재 포토리소그래피 프로세스들은 통상적으로 포토레지스트를 노출하기 위해 통상적으로 193 ㎚ 자외선 광 (UV light) 을 사용한다. 광이 반도체 기판 상에서 생성될 목표된 사이즈의 피처들보다 훨씬 더 큰 파장을 갖는다는 사실은 고유의 이슈들을 생성한다. 광의 파장보다 더 작은 피처 사이즈들을 달성하는 것은 멀티패터닝과 같은 복잡한 분해능 향상 기법들의 사용을 필요로 한다. 따라서, 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 갖는 극자외선 (extreme ultraviolet radiation; EUV) 과 같은 더 짧은 파장 광을 사용하는 포토리소그래피 기법들의 개발에 상당한 관심 및 연구 노력이 있다.
그러나, EUV 포토리소그래피 프로세스들은 낮은 전력 출력 및 패터닝 동안 광의 손실을 포함하는 문제들을 제시할 수 있다. 193 ㎚ UV 리소그래피에 사용된 것들과 유사한 종래의 유기 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 은 EUV 리소그래피에 사용될 때, 특히 EUV 영역에서 낮은 흡수 계수들을 갖고, 광-활성화된 화학 종의 확산은 블러 (blur) 또는 라인 에지 거칠기를 발생시킬 수 있기 때문에 잠재적인 결점들을 갖는다. 또한, 하부 디바이스 층들을 패터닝하기 위해 필요한 에칭 내성을 제공하기 위해, 종래의 CAR 재료들의 패터닝된 작은 피처들은 패턴 붕괴의 위험이 있는 고 종횡비들을 발생시킬 수 있다. 따라서, 감소된 두께, 더 큰 흡광도, 및 더 큰 에칭 내성과 같은 특성들을 갖는, 개선된 EUV 포토레지스트 재료들에 대한 필요성이 남아 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 기술 (technology) 의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 기술에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용되었다.
본 명세서의 다양한 실시 예들은 기판 상의 오염을 제어하기 위한 방법들, 장치 및 시스템들에 관한 것이다. 기판은 통상적으로 반도체 기판이다. 개시된 실시 예들의 일 양태에서, 기판 상의 오염을 제어하는 방법이 제공되고, 방법은, (a) (i) 기판의 전면을 프로세싱하는 단계로서, 프로세싱은 기판의 배면 상에 오염 형성을 유발하는, 기판의 전면을 프로세싱하는 단계, 또는 (ii) 기판의 배면 상에 오염을 갖는 기판을 수용하는 단계로서, 오염은 금속을 포함하는, 기판을 수용하는 단계 중 하나; 및 단계 (a) 후에, 프로세싱 후 소성 (post-processing bake) 프로세스에서 기판을 가열하는 단계로서, 기판을 가열하는 단계는 기판의 배면 상의 금속의 농도를 감소시키는, 기판을 가열하는 단계를 포함한다.
일부 실시 예들에서, 기판의 전면을 프로세싱하는 단계는, 포토레지스트 층을 현상하는 프로세스; 기판을 인-시츄 (in-situ) 세정하는 프로세스; 패터닝 애플리케이션에서 맨드릴을 당기는 (pull) 프로세스; 기판 상의 피처를 평활화하는 (smooth) 프로세스; 및 포토레지스트 층을 디스커밍하는 (descum) 프로세스로 구성된 그룹으로부터 선택된 적어도 일 프로세스를 포함할 수도 있다. 이들 또는 다른 실시 예들에서, 단계 (a) 는 (i) 기판 상에서 포토레지스트 층을 현상하는 단계, 또는 (ii) 기판의 전면 상에서 현상된 포토레지스트 층 및 기판의 배면 상에서 오염을 갖는 기판을 수용하는 단계 중 하나를 포함할 수도 있고, 오염 내의 금속은 기판의 전면 상의 포토레지스트 층으로부터 유래되고 (originate), 그리고 단계 (b) 의 프로세싱 후 소성 프로세스는 포토레지스트 층이 적어도 부분적으로 현상될 때 발생하는 현상 후 소성 (post-development bake) 프로세스이다. 이들 또는 다른 실시 예들에서, 단계 (b) 의 현상 후 소성 프로세스 동안, 기판은 약 1 내지 10 분의 지속 기간 동안 약 160 내지 300 ℃의 온도로 소성될 수도 있다.
이들 또는 다른 실시 예들에서, 방법은 프로세싱 가스에 기판을 노출하는 단계를 더 포함할 수도 있고, 프로세싱 가스는 N2, H2, Ar, He, Xe, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함한다. 이들 또는 다른 실시 예들에서, 방법은 기판 상의 금속-함유 재료의 휘발성을 상승시키기 위해 반응성 프로세싱 가스에 기판을 노출하는 단계를 더 포함할 수도 있고, 금속 함유 재료는 금속을 포함한다. 일부 실시 예들에서, 방법은 기판 상의 금속-함유 재료의 안정성을 상승시키기 위해 반응성 프로세싱 가스에 기판을 노출하는 단계를 더 포함할 수도 있고, 금속 함유 재료는 금속을 포함한다. 이들 또는 다른 실시 예들에서, 방법은 염소-함유 가스, 산소-함유 가스, 불소-함유 가스, 암모니아 (NH3), 요오드화 수소 (HI), 이원자 요오드 (I2), 및 이들의 조합들로 구성된 그룹으로부터 선택된 반응성 프로세싱 가스에 기판을 노출하는 단계를 더 포함할 수도 있다. 일부 경우들에서, 기판은 염소-함유 가스에 노출될 수도 있고 그리고 염소-함유 가스는 BCl3, Cl2, HCl, SiCl4, SOCl2, PCl3, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함할 수도 있다. 일부 경우들에서, 기판은 산소-함유 가스에 노출될 수도 있고 그리고 산소-함유 가스는 O2, O3, H2O, SO2, CO2, CO, COS, H2O2, NOx, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함할 수도 있다. 일부 경우들에서, 기판은 불소-함유 가스에 노출될 수도 있고, 그리고 불소-함유 가스는 HF, CxFyHz, NF3, SF6, F2, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함할 수도 있다.
이들 또는 다른 실시 예들에서, 방법은 기판 상의 금속-함유 재료의 휘발성을 상승시키기 위해 플라즈마에 기판을 노출하는 단계를 더 포함할 수도 있고, 금속 함유 재료는 금속을 포함한다. 일부 실시 예들에서, 방법은 기판 상의 금속-함유 재료의 안정성을 상승시키기 위해 플라즈마에 기판을 노출하는 단계를 더 포함할 수도 있고, 금속 함유 재료는 금속을 포함한다. 이들 또는 다른 실시 예들에서, 방법은 이원자 수소 (H2), 이원자 질소 (N2), 아르곤, 헬륨, 크립톤, 메탄 (CH4), 산소-함유 가스, 불소-함유 가스, 염소-함유 가스, 수소 할라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는 플라즈마 생성 가스로부터 생성된 플라즈마에 기판을 노출하는 단계를 더 포함할 수도 있다. 일부 실시 예들에서, 플라즈마 생성 가스는 산소-함유 가스를 포함할 수도 있고, 산소-함유 가스는 O2, O3, CO, CO2, COS, SO2, NOx, H2O, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함할 수도 있다. 일부 실시 예들에서, 플라즈마 생성 가스는 불소-함유 가스를 포함할 수도 있고, 그리고 불소-함유 가스는 NF3, CF4, CH3F3, CH2F2, CHF3, F2, SF6, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함할 수도 있다. 일부 실시 예들에서, 플라즈마 생성 가스는 염소-함유 가스를 포함할 수도 있고, 그리고 염소-함유 가스는 BCl3, Cl2, HCl, SiCl4, SOCl2, PCl3, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함할 수도 있다. 일부 실시 예들에서, 플라즈마 생성 가스는 (i) 이원자 수소 (H2), 및 (ii) 이원자 질소 (N2) 또는 희가스 (noble gas) 중 적어도 하나를 포함할 수도 있다.
이들 또는 다른 실시 예들에서, 현상 후 소성 프로세스에서 기판을 가열하는 단계는 기판의 배면 상의 금속의 농도를 적어도 10 배만큼 감소시킬 수도 있다. 이들 또는 다른 실시 예들에서, 방법은 플라즈마에 기판을 노출하는 단계를 더 포함할 수도 있고, 현상 후 소성 프로세스에서 기판을 가열하는 단계 및 플라즈마에 기판을 노출하는 단계는 기판의 배면 상의 금속의 농도를 적어도 100 배만큼 감소시킨다.
이들 또는 다른 실시 예들에서, 방법은 기판의 배면 상의 금속의 농도를 감소시키기 위해 광에 기판을 노출하는 단계를 더 포함할 수도 있다. 일부 실시 예들에서, 광은 UV 파장들, 가시 파장들, 또는 IR 파장들 중 적어도 하나를 포함할 수도 있다. 일부 실시 예들에서, 광은 IR 램프 또는 복수의 LED들을 통해 제공될 수도 있고, 그리고 기판이 광에 노출되는 동안 기판은 약 60 초 이하의 지속 기간 동안 약 250 내지 400 ℃의 온도로 가열될 수도 있다.
이들 또는 다른 실시 예들에서, 현상 후 소성 프로세스에서 기판을 가열하는 단계는 포토레지스트 층이 기판 상에서 여전히 현상되는 동안 시작될 수도 있다.
이들 또는 다른 실시 예들에서, 방법은 단계 (a) 가 제 1 프로세싱 챔버에서 발생하고 그리고 단계 (b) 가 제 2 프로세싱 챔버에서 발생하도록, 단계 (a) 후에 기판을 제 1 프로세싱 챔버로부터 제 2 프로세싱 챔버로 이송하는 단계를 더 포함할 수도 있다. 이들 또는 다른 실시 예들에서, 단계 (a) 는 프로세싱 챔버에서 발생할 수도 있고, 방법은 단계 (a) 에서 포토레지스트 층이 현상되는 동안 약 40 ℃ 이상의 온도로 프로세싱 챔버를 가열하는 단계를 더 포함할 수도 있다. 이들 또는 다른 실시 예들에서, (a) 는 프로세싱 챔버에서 발생할 수도 있고, 그리고 방법은 약 100 ℃ 이상의 온도로 프로세싱 챔버를 유지하는 동안 프로세싱 챔버를 퍼징하는 단계를 더 포함할 수도 있고, 퍼징은 단계 (a) 후에 발생한다. 일부 실시 예들에서, 방법은 불활성 가스로 프로세싱 챔버를 스윕핑하는 단계를 더 포함할 수도 있고, 퍼징 및 스윕핑은 펌핑 퍼징 시퀀스의 일부이다.
이들 또는 다른 실시 예들에서, 방법은 단계 (a) 및 단계 (b) 후에 기판의 배면 상에서 습식 세정을 수행하는 단계를 더 포함할 수도 있다. 이들 또는 다른 실시 예들에서, 기판의 배면 상에서 습식 세정을 수행하는 단계는 기판의 배면 상의 금속의 농도를 적어도 10 배만큼 더 감소시킬 수도 있다. 이들 또는 다른 실시 예들에서, 습식 세정은 또한 기판의 전면 상의 베벨 에지 영역을 세정할 수도 있다. 이들 또는 다른 실시 예들에서, 기판의 배면 상에서 습식 세정을 수행하는 단계는 희석된 HF에 기판의 배면을 노출하는 것을 포함할 수도 있다. 이들 또는 다른 실시 예들에서, 기판의 배면 상에서 습식 세정을 수행하는 단계는 희석된 HCl 또는 NH4OH, H2O2, 및 H2O를 포함하는 표준 세정 1 (standard clean 1; SC-1) 용액에 기판의 배면을 노출하는 단계를 더 포함할 수도 있다.
다양한 실시 예들에서, 포토레지스트 층은 건식 증착을 사용하여 형성될 수도 있다. 다른 실시 예들에서, 포토레지스트 층은 습식 증착을 사용하여 형성될 수도 있다. 다양한 실시 예들에서, 포토레지스트 층은 건식 프로세싱을 사용하여 현상될 수도 있다. 일부 실시 예들에서, 포토레지스트 층은 할로겐-함유 화학 물질을 사용하여 현상될 수도 있다. 일부 실시 예들에서, 포토레지스트 층은 습식 프로세싱을 사용하여 현상될 수도 있다.
다양한 실시 예들에서, 단계 (b) 의 현상 후 소성 프로세스는 프로세싱 챔버에서 발생할 수도 있고, 그리고 단계 (b) 의 현상 후 소성 프로세스 동안, (i) 프로세싱 챔버 내 압력은 약 0.01 내지 1 Torr로 유지될 수도 있고, (ii) 염소-함유 가스는 약 1 내지 10 분의 지속 기간 동안 약 200 내지 10,000 sccm의 레이트로 프로세싱 챔버에 제공될 수도 있고, (iii) 프로세싱 챔버의 하나 이상의 컴포넌트들의 온도는 약 20 내지 150 ℃로 유지될 수도 있고, 그리고 (iv) 기판은 단계 (b) 동안 플라즈마에 노출되지 않을 수도 있는 조건들이 사용될 수도 있다.
다양한 실시 예들에서, 포토레지스트 층은 프로세싱 챔버에서 단계 (a) 에서 현상될 수도 있고, 단계 (b) 는 (a) 와 동일한 프로세싱 챔버에서 발생할 수도 있고, 그리고 방법은, (i) 프로세싱 챔버 내 압력은 약 0.01 내지 1 Torr일 수도 있고, (ii) 퍼지 가스의 플로우는 약 200 내지 10,000 sccm의 레이트로 프로세싱 챔버에 제공될 수도 있고, 퍼지 가스는 이원자 질소 (N2), 희가스, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하고, 퍼지 가스는 약 1 내지 10 분의 지속 기간 동안 프로세싱 챔버에 제공되고, 그리고 (iii) 프로세싱 챔버의 하나 이상의 컴포넌트들은 약 100 내지 300 ℃로 유지될 수도 있고, 그리고 프로세싱 챔버 내 기판 지지부는 약 120 내지 300 ℃로 유지될 수도 있는 조건들을 사용하여 프로세싱 챔버를 퍼징하는 단계를 더 포함할 수도 있다.
다양한 실시 예들에서, 단계 (a) 는 제 1 프로세싱 챔버에서 발생할 수도 있고 그리고 단계 (b) 는 제 2 프로세싱 챔버에서 발생할 수도 있고, 그리고 단계 (b) 의 현상 후 소성 프로세스 동안, (i) 제 2 프로세싱 챔버 내 압력은 약 0.1 내지 760 Torr일 수도 있고, (ii) 가스의 플로우는 약 1 내지 10 분의 지속 기간 동안 약 200 내지 10,000 sccm의 레이트로 제 2 프로세싱 챔버에 제공될 수도 있고, 기판은 가스의 플로우에 노출될 수도 있고, 가스의 플로우는 공기, 이원자 질소 (N2), 이원자 산소 (O2), 물 (H2O), 희가스, 또는 이들의 조합 중 적어도 하나를 포함하고, 그리고 (iii) 기판은 약 140 내지 300 ℃의 온도로 소성될 수도 있는 조건들이 사용될 수도 있다.
이들 또는 다른 실시 예들에서, 방법은, (i) 프로세싱 챔버 내 압력은 약 0.1 내지 1 Torr일 수도 있고, (ii) 플라즈마 생성 가스는 약 3 내지 30 초의 지속 기간 동안 약 50 내지 5,000 sccm의 레이트로 제공될 수도 있고, 플라즈마 생성 가스는 (a) H2, (b) H2 및 N2, (c) H2 및 희가스, (d) H2를 포함하지 않는 N2, (e) H2를 포함하지 않는 희가스, (f) 산소-함유 가스, (g) 불소-함유 가스, 및 (h) 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스 또는 가스 혼합물을 포함할 수도 있고, 그리고 (iii) 플라즈마는 플라즈마 생성 가스로부터 생성되고 그리고 기판은 플라즈마에 노출되는 조건들 하에서 프로세싱 챔버에서 기판을 플라즈마에 노출하는 단계를 더 포함할 수도 있다.
이들 또는 다른 실시 예들에서, 단계 (a) 및 단계 (b) 중 적어도 하나는 프로세싱 챔버에서 발생할 수도 있고, 그리고 방법은 프로세싱 챔버의 내부 표면들로부터 금속을 제거하기 위해 프로세싱 챔버를 세정하는 단계를 더 포함할 수도 있다. 일부 실시 예들에서, 프로세싱 챔버는, (i) 프로세싱 챔버 내 압력은 약 0.1 내지 10 Torr일 수도 있고, (ii) H 라디칼들을 포함하는 플라즈마는 프로세싱 챔버에 노출될 수도 있고, H 라디칼들은 금속 하이드라이드를 형성하도록 프로세싱 챔버의 내부 표면들 상의 금속과 반응하고, (iii) 플라즈마는 약 300 내지 4,000 W의 무선 주파수 (radio frequency; RF) 전력을 사용하여 생성될 수도 있고, 그리고 (iv) 프로세싱 챔버는 약 25 내지 250 ℃로 유지될 수도 있는 조건들을 사용하여 세정될 수도 있다. 이들 또는 다른 실시 예들에서, 프로세싱 챔버는, (i) 프로세싱 챔버 내 압력은 약 0.1 내지 10 Torr일 수도 있고, 그리고 펌핑 및 퍼징 프로세스의 일부로서 더 낮은 압력과 더 높은 압력 사이에서 순환될 수도 있고, (ii) 프로세싱 챔버는 세정 동안 플라즈마에 노출되지 않고, (iii) 가스 플로우는 세정 동안 프로세싱 챔버에 제공될 수도 있고, 가스 플로우는 이원자 질소 (N2), 이원자 산소 (O2), 희가스, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하고, 그리고 (iv) 프로세싱 챔버는 약 25 내지 250 ℃로 유지될 수도 있는 조건들을 사용하여 세정될 수도 있다.
이들 또는 다른 실시 예들에서, 방법은, (i) 제 1 단계에서, 기판은 약 1 내지 3 L/min의 레이트로 제공된 제 1 세정 용액에 노출될 수도 있고, 제 1 세정 용액은 희석된 HF를 포함하고, (ii) 제 2 단계에서, 기판은 약 1 내지 3 L/min의 레이트로 제공된 제 2 세정 용액에 노출될 수도 있고, 제 2 세정 용액은 희석된 HCl, 표준 세정 1, 및 이들의 조합들로 구성된 그룹으로부터 선택된 용액을 포함하고, (iii) 제 1 단계 및 제 2 단계는 함께 약 20 내지 300 초의 지속 기간을 가질 수도 있고, 그리고 (iv) 기판은 약 15 내지 60 ℃로 유지될 수도 있는 조건들을 사용하여 기판의 배면 상에서 습식 세정을 수행하는 단계를 더 포함할 수도 있다.
이들 또는 다른 실시 예들에서, 기판의 배면 또는 베벨 에지 영역 중 적어도 하나 상의 금속의 농도는 적어도 약 1E11 atoms/㎠ 이하로 적어도 10 배만큼 감소될 수도 있다. 이들 또는 다른 실시 예들에서, 기판의 배면 또는 베벨 에지 영역 중 적어도 하나 상의 금속의 농도는 적어도 약 1E10 atoms/㎠ 이하로 적어도 10 배만큼 감소될 수도 있다.
이들 또는 다른 실시 예들에서, 금속은 주석일 수도 있다.
개시된 실시 예들의 또 다른 양태에서, 기판을 프로세싱하기 위한 시스템이 제공되고, 시스템은, 프로세싱 챔버; 프로세싱 챔버로 가스 및/또는 플라즈마를 도입하기 위한 프로세싱 챔버로의 유입구; 프로세싱 챔버로부터 재료들을 제거하기 위한 프로세싱 챔버로의 유출구; 히터; 기판 지지부; 및 본 명세서에 청구되거나 그렇지 않으면 기술된 방법들 중 임의의 하나 이상의 방법들을 유발하도록 구성된 제어기를 포함한다.
개시된 실시 예들의 또 다른 양태에서, 기판을 프로세싱하기 위한 시스템이 제공되고, 시스템은, 프로세싱 챔버; 프로세싱 챔버로 가스 및/또는 플라즈마를 도입하기 위한 프로세싱 챔버로의 유입구; 프로세싱 챔버로부터 재료들을 제거하기 위한 프로세싱 챔버로의 유출구; 히터; 기판 지지부; 및 제어기를 포함하고, 제어기는, (a) (i) 기판의 전면을 프로세싱하는 단계로서, 프로세싱은 기판의 배면 상에서 오염 형성을 유발하는, 기판의 전면을 프로세싱하는 단계, 또는 (ii) 기판의 배면 상에서 오염을 갖는 기판을 수용하는 단계로서, 오염은 금속을 포함하는, 기판을 수용하는 단계 중 하나; 및 (b) 단계 (a) 후에, 프로세싱 후 소성 프로세스에서 기판을 가열하는 단계로서, 기판을 가열하는 단계는 기판의 배면 상의 금속의 농도를 감소시키는, 기판을 가열하는 단계를 유발하도록 구성된다.
일부 실시 예들에서, 기판의 전면을 프로세싱하는 단계는, 포토레지스트 층을 현상하는 프로세스; 기판을 인-시츄 세정하는 프로세스; 패터닝 애플리케이션에서 맨드릴을 당기는 프로세스; 기판 상의 피처를 평활화하는 프로세스; 및 포토레지스트 층을 디스커밍하는 프로세스로 구성된 그룹으로부터 선택된 적어도 일 프로세스를 포함할 수도 있다.
이들 또는 다른 실시 예들에서, 제어기는 (i) 기판 상에서 포토레지스트 층을 현상하는 단계, 또는 (ii) 기판의 전면 상에서 현상된 포토레지스트 층 및 기판의 배면 상에서 오염을 갖는 기판을 수용하는 단계 중 하나를 유발함으로써 단계 (a) 를 유발하도록 구성될 수도 있고, 오염 내의 금속은 기판의 전면 상의 포토레지스트 층으로부터 유래되고, 그리고 단계 (b) 의 프로세싱 후 소성 프로세스는 포토레지스트 층이 적어도 부분적으로 현상될 때 발생하는 현상 후 소성 프로세스이다.
다양한 실시 예들에서, 단계 (a) 및 단계 (b) 모두 동일한 프로세싱 챔버에서 발생할 수도 있다. 다른 실시 예들에서, 단계 (a) 는 프로세싱 챔버에서 발생할 수도 있고, 그리고 단계 (b) 는 제 2 프로세싱 챔버에서 발생할 수도 있고, 제 2 프로세싱 챔버는 프로세싱 챔버와 상이한 프로세싱 챔버이다.
이들 또는 다른 실시 예들에서, 시스템은 프로세싱 챔버 내에 플라즈마를 제공하도록 구성된 플라즈마 생성기를 더 포함할 수도 있다. 일부 경우들에서, 플라즈마 생성기는 플라즈마가 프로세싱 챔버 외부의 제 1 위치에서 생성되고 프로세싱 챔버 내부의 제 2 위치로 전달되도록 리모트 플라즈마 생성기일 수도 있다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 일부 실시 예들에 따른 포토레지스트를 증착하고 현상하고 그리고 처리하기 위한 예시적인 방법의 흐름도를 제시한다.
도 2a 내지 도 2d는 특정한 실시 예들에 따른 습식 배면 및 베벨 에지 세정 프로세스의 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다.
도 3a 내지 도 3c는 특정한 실시 예들에 따른 건식 배면 및 베벨 에지 세정 프로세스의 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다.
도 4는 일부 실시 예들에 따른 건식 배면 및 베벨 에지 세정을 수행하기 위한 프로세스 챔버의 개략적인 예시를 도시한다.
도 5a는 일부 실시 예들에 따른 프로세스 챔버에서 기판을 지지하기 위한 캐리어 링 (carrier ring) 의 사시도를 도시한다.
도 5b는 일부 실시 예들에 따른 기판의 배면을 지지하고 콘택트하는 캐리어 링의 단면 개략도를 도시한다.
도 6은 일부 실시 예들에 따른 배면 및 베벨 에지 세정 동작들을 수행하기 적합한 저압 분위기를 유지하기 위한 예시적인 프로세스 스테이션의 개략적인 예시를 도시한다.
도 7은 본 명세서에 기술된 다양한 현상, 세정, 재작업 (rework), 디스커밍 (descum) 및 평활화 (smooth) 동작들의 구현에 적합한 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다.
도 8은 본 명세서에 기술된 특정한 실시 예들 및 동작들을 구현하기 위한 예시적인 유도 커플링된 플라즈마 장치의 단면 개략도를 도시한다.
도 9는 본 명세서에 기술된 프로세스들의 구현 예들에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 모듈 및 패터닝 모듈을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다.
도 10은 본 명세서의 다양한 실시 예들에 따른 습식 프로세싱 챔버를 도시한다.
도 11 및 도 12는 본 명세서에 기술된 다양한 프로세싱 단계들 후에 기판의 배면 상의 주석의 농도를 도시하는 실험 결과들을 도시한다.
도 13a 및 도 13b는 큐 시간 (queue time) 의 상이한 지속 기간들에 걸쳐 기판의 배면 상의 주석의 농도를 도시하는 실험 결과들을 도시한다.
도 14는 현상 후 소성 (post development bake; PDB) 단계 동안 플라즈마 처리를 부가하는 이점을 도시하는 실험 결과들을 도시한다.
도 15는 본 명세서에 기술된 다양한 프로세싱 단계들 후에 남아 있는 주석 오염이 집중되는 곳을 도시하는 실험 결과들을 도시한다.
도 16a 및 도 16b는 특히 라인 임계 치수 (line critical dimension) (도 16a) 및 라인 폭 거칠기 (line width roughness; LWR) (도 16b) 에 대해, 본 명세서에 기술된 바와 같은 현상 후 소성 프로세스의 유효성을 도시하는 실험 결과들을 도시한다.
도 17a 및 도 17b는 다양한 온도들에서 수행된 현상 후 소성에서 프로세싱 후 기판의 전면 상의 잔류 브롬의 농도 (도 17a) 및 기판의 배면 상의 주석 오염의 농도 (도 17b) 를 도시하는 실험 결과들을 도시한다.
도 18a 및 도 18b는 현상 후 소성 프로세스를 수행하기 위해 사용된 프로세스 챔버를 주기적으로 세정하는 이점을 도시하는 실험 결과들을 도시한다.
도 19a 및 도 19b는 다양한 실시 예들에 따른 플라즈마 처리의 최적화와 관련된 실험 결과들을 도시한다.
도 20 및 도 21은 다양한 실시 예들에 따른 예시적인 프로세스 플로우들을 예시한다.
도 22는 프로세싱 장치에서 발생할 수 있는 기판-대-기판 오염을 예시한다.
본 개시 (disclosure) 는 일반적으로 반도체 프로세싱 분야에 관한 것이다. 특정한 양태들에서, 본 개시는 예를 들어 포토레지스트 패터닝의 맥락에서 기판의 배면 및 베벨 에지 상에 증착된 원치 않은 포토레지스트 및 금속들 및 금속 브로마이드들과 같은 관련 재료들을 제거하기 위한 포토레지스트들 (예를 들어, EUV-감응 금속 및/또는 금속 옥사이드-함유 포토레지스트들) 의 처리 및 세정을 위한 프로세스 및 장치에 관한 것이다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
예를 들어, 본 개시가 주로 포토레지스트 증착, 포토레지스트 현상 및 포토레지스트 처리의 맥락에서 제공되지만, 실시 예들은 이렇게 제한되지 않는다. 본 명세서에 기술된 다양한 기법들은 또한 다른 맥락들에서, 특히 기판으로부터 금속 할라이드와 같은 금속-함유 종의 가스 배출 (outgassing) 을 제한하고 그리고/또는 기판 (특히, 이로 제한되지 않지만 기판의 배면 및 베벨 에지 영역) 으로부터 금속-함유 종을 제거하는 것이 목표되는 경우들에 적용될 수도 있다. 이러한 기법들은 다른 금속들 및 할로겐들이 또한 사용될 수도 있지만 금속이 주석이고 그리고/또는 원치 않은 재료가 주석 브로마이드인 경우들에서 특히 유용할 수도 있다. 개시된 기법들 중 하나 이상의 구현으로부터 이익을 얻을 수도 있는 다른 프로세스들의 예들은 인-시츄 세정, 맨드릴 풀링 (pull), 평활화 (smooth) 동작들, 및 포토레지스트 디스커밍 (descum) 동작들을 포함하지만, 이로 제한되지 않는다. "현상 후" 발생하는 것으로 본 명세서에 기술된 프로세스들은 상기 열거된 맥락들에서 다른 타입들의 동작들 (예를 들어, 증착, 에칭, 처리, 등) 후에 발생할 수도 있다는 것이 이해된다. 예를 들어, 현상 후 소성 (post development bake; PDB) 동작은 대신 증착 후 소성, 에칭 후 소성, 처리 후 소성, 등으로 수행될 수도 있다. 일부 이러한 경우들에서, 본 명세서에 기술된 포토레지스트 층은 또 다른 금속-함유 또는 금속 할라이드-함유 층으로 대체될 수도 있다. 명확성 및 간결성의 목적들을 위해, 본 개시는 포토레지스트 증착, 현상 및 처리의 맥락에서 실시 예들에 초점을 맞춘다.
도입
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피 (lithography) 를 수반한다. 193 ㎚ 포토리소그래피와 같은 종래의 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토레지스트 상에 프린팅하고, 이에 따라 현상 후 패턴을 형성하기 위해 포토레지스트에서 포토레지스트의 특정한 부분들을 제거하는 화학 반응을 유발함으로써 프린팅된다.
(ITRS (International Technology Roadmap for Semiconductors) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚, 및 이를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 구조체의 통상적인 비아 또는 라인의 폭은 통상적으로 약 30 ㎚보다 더 크지 않다. 발전된 반도체 집적 회로들 (Integrated Circuits; IC들) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동한다.
극자외선 (extreme ultraviolet; EUV) 리소그래피는 종래의 포토리소그래피 방법들로 달성될 수 있는 것보다 더 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술 (technology) 을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 복사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
EUV 리소그래피는 하부 층들을 에칭하는 데 사용하기 위해 마스크들을 형성하도록 패터닝되는 EUV 레지스트들을 사용한다. EUV 레지스트들은 액체-기반 스핀-온 (spin-on) 기법들 (techniques) 에 의해 생성된 폴리머-기반 화학적으로 증폭된 레지스트들 (chemically amplified resists; CARs) 일 수도 있다. CAR들에 대한 대안은, 예를 들어, 적어도 포토패터닝 가능한 금속 옥사이드-함유 막들의 개시를 위해 본 명세서에 참조로 인용된, 미국 특허 공보 제 US 2017/0102612 호 및 제 US 2016/0116839 호에 기술되고, OR, Corvallis 소재의, Inpria로부터 입수 가능한 것과 같은 직접 포토패터닝 가능한 금속 옥사이드-함유 막들이다. 이러한 막들은 스핀-온 기법들에 의해 생성되거나 건식 기상 증착될 수도 있다. 금속 옥사이드-함유 막은 예를 들어, 2018년 6월 12일 허여되고 명칭이 "EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS"인 미국 특허 제 9,996,004 호, 2019년 5월 9일에 출원되고 명칭이 "METHODS FOR MAKING EUV PATTERNABLE HARD MASKS"인 제 PCT/US19/31618 호에 기술된 바와 같이, 30 ㎚ 이하의 패터닝 분해능을 제공하는 진공 분위기 (ambient) 에서 EUV 노출에 의해 직접 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크들을 형성하기 위해 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시들이 본 명세서에 참조로서 인용된다. 일반적으로, 패터닝은 레지스트 내에 포토 패턴을 형성하기 위해 EUV 복사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토 패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 또한 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 복사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (deep-UV), X-선 범위의 보다 저 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 애플리케이션들에 종속될 수도 있다. 따라서, 본 출원에 기술된 방법들은 단지 본 기술에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
직접 포토패터닝 가능한 EUV 레지스트들은 유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡착을 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다.
종래의 습식, 예를 들어, 스핀-온, 프로세싱 또는 건식 증착에 의해 기판에 포토레지스트 막 (예를 들어, EUV 포토레지스트 막) 의 도포 동안, 웨이퍼 베벨 에지 및/또는 배면 상에서 레지스트 재료의 일부 의도되지 않은 증착이 있을 수도 있다. 유사하게, 기판 상의 포토레지스트 막의 현상은 이들 동일한 영역들에서 (예를 들어, 금속들 및 금속 할라이드들을 포함하는) 오염을 유발할 수 있다. 이 배면 및 베벨 에지 오염은 패터닝 (스캐너) 및 현상 툴들 및 다운스트림 (downstream) 프로세싱 툴들 및 계측 툴들의 오염을 포함하는, 다운스트림 프로세싱 문제들을 유발할 수 있다. 이러한 오염은 툴들의 성능뿐만 아니라 웨이퍼의 전면 상에서 증착된 막에 유해할 (detrimental) 수 있다. 많은 경우들에서, 이 배면 및 베벨 에지 증착의 제거는 습식 세정 기법들에 의해 수행되지만, 건식 세정 기법들이 또한 사용될 수도 있다.
도 22는 건식 현상 단계 동안 제 1 기판으로부터 유래된 (originate) 금속성 (metallic) 오염이 제 2 기판 상에서 재증착될 수 있는 방법을 도시하는, FOUP (front opening unified pod) 내로 로딩된 반도체들 기판들의 일 쌍을 예시한다. 이러한 재증착은 복수의 기판들이 단일 FOUP 또는 유사한 인클로저 (enclosure) 에 저장될 때 발생할 수 있다. FOUP는 제어된 실시 예에서 반도체 기판들을 안전하게 홀딩하도록 설계된 특수 컨테이너로서, 기판들로 하여금 프로세싱 및/또는 계측을 위해 필요에 따라 상이한 장치 사이에서 이송되게 한다. 제 1 스테이지에서, 포토레지스트의 현상 전에, 제 1 기판은 FOUP의 제 1 슬롯에 있고 그리고 제 2 기판은 FOUP의 제 2 슬롯에 있다. 기판들은 노출된 부분 및 노출되지 않은 부분 모두를 포함하는 포토레지스트 층 (2201) 을 각각 포함한다. 제 2 스테이지에서, 포토레지스트 (2201) 가 현상된다. 이 예에서, 건식 현상 프로세스가 사용된다. 그러나, 다양한 다른 실시 예들에서, 습식 현상 프로세스가 사용될 수도 있다. 현상 프로세스는 포토레지스트 (2201) 의 노출되거나 노출되지 않은 부분들을 선택적으로 제거하고, 이에 따라 포토레지스트 내에 패턴을 형성한다. 현상 프로세스 동안, 현상 부산물들 중 일부 (예를 들어, R-SnBrx, 여기서 1 ≤ x ≤ 3) 는 기판의 전면 상에서 바람직하지 않게 재증착된다. 제 3 스테이지에서, 현상 부산물들로 오염된 기판은 FOUP의 제 1 슬롯 내로 로딩된다. 시간이 흐름에 따라, 제 1 슬롯 내 기판의 전면으로부터 오염은 제 2 슬롯 내 기판의 배면으로 이송될 수 있다. 이러한 오염의 확산은 바람직하지 않다.
본 개시는 기판 상의 금속-함유 막으로부터 금속 및/또는 금속 할라이드 종의 가스 배출을 최소화하기 위한 다양한 기법들을 제공한다. 일부 경우들에서, 방법들은 잠재적 오염 종이 기판 및 프로세싱 챔버로부터 제거될 수 있게, 잠재적 오염 종이 더 휘발성이 되도록 기판을 처리하는 단계를 수반한다. 일부 경우들에서, 방법들은 잠재적 오염 종이 다운스트림 프로세싱 동안 기판으로부터 가스 배출 가능성이 더 적게, 잠재적 오염 종이 더 안정하게 되도록 기판을 처리하는 단계를 수반한다. 다양한 경우들에서, 방법들은 현상 동안 생성된 오염을 해결하기 위해 포토레지스트 현상 후에 기판의 배면 및 베벨 에지 영역을 세정하는 단계를 수반한다. 이들 기법들은 특정한 애플리케이션에 대해 목표된 대로 결합될 수도 있다. 다양한 실시 예들에서, 기법들은 또한 기판 상의 원치 않은 표면 마이그레이션 (migration) 및/또는 원치 않은 반응들을 방지하거나 감소시키도록 작용할 수도 있다. 유리하게, 본 명세서의 기법들은 포토레지스트에 규정된 패턴에 대해 작거나 무시할만한 효과를 나타냈다. 또한, 이러한 기법들은 라인 폭 거칠기 (line width roughness; LWR) 의 개선들을 제공할 수 있다.
본 명세서에 기술된 특정한 동작들은 기판의 전면 상의 막 열화 없이 배면 및 베벨 에지 영역들로부터 재료의 제거를 보장하도록 특정한 영역들로 제한될 수도 있다. 이들 동작들은 예를 들어, 배면 및 베벨 에지 세정 동작들을 포함할 수도 있다. 본 명세서에 기술된 다른 동작들은 예를 들어 하나 이상의 기판 표면 상의 금속-함유 종을 의도적으로 변경하도록 기판의 전면, 또는 전체 기판 상에서 작용할 수도 있다.
일부 실시 예들에서, 기판 상의 원치 않은 재료는 EUV 레지스트 재료를 포함한다. 일부 실시 예들에서, 원치 않은 재료는 EUV 레지스트 재료의 금속과 현상 화학 물질의 할로겐 사이의 반응으로부터 유래된 금속, 금속 할라이드들, 및/또는 유기금속 할라이드들을 포함한다. 이들은 에칭 부산물들 또는 현상 부산물들로 지칭될 수도 있다. 이러한 부산물들은 특히 금속-함유 포토레지스트 재료 내에 남아 있을 가능성이 있고, 이는 금속 브로마이드들 및 금속 클로라이드들을 최대 약 1E16 atoms/㎠의 농도들로 보유할 (retain) 수 있고, 이는 디바이스 제작을 위해 통상적으로 허용 가능한 크기보다 약 100 배 내지 1000 배 더 높다. 일부 경우들에서, 금속은 주석이고, 금속 할라이드는 SnBrx이고, 그리고/또는 유기금속 할라이드는 RSnBrx이다. 이들 또는 다른 경우들에서, 금속은 주석이고, 금속 할라이드는 SnClx이고, 그리고/또는 유기금속 할라이드는 RSnClx이다. 또한, 다른 금속들 및 할라이드들이 사용될 수 있다. 많은 경우들에서, 원치 않은 재료는 기판의 배면 및 베벨 에지 영역들 상에 증착된다.
도 1은 일부 실시 예들에 따른 포토레지스트를 증착하고 현상하기 위한 예시적인 방법의 흐름도를 제시한다. 프로세스 (100) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 더 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (100) 의 하나 이상의 동작들은 도 6 내지 도 9 중 어느 하나에 기술된 장치를 사용하여 수행될 수도 있다. 일부 실시 예들에서, 프로세스 (100) 의 동작들은 하나 이상의 비-일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (100) 의 블록 (102) 에서, 포토레지스트 층이 증착된다. 이는 기상 증착 프로세스와 같은 건식 증착 프로세스 또는 스핀-온 증착 프로세스와 같은 습식 프로세스일 수도 있다.
포토레지스트는 금속-함유 EUV 레지스트일 수도 있다. 일반적으로 말하면, 종래의 화학적으로 증폭된 포토레지스트 재료들은 상당한 양의 금속을 포함하지 않고, 그리고 동일한 정도로 관련 금속성 오염 문제들을 겪지 않는다. 이와 같이, 본 명세서의 방법들은 임의의 타입의 포토레지스트 또는 다른 막 상에서 실시될 수 있지만, 금속-함유 EUV 레지스트로부터 오염을 방지할 (combat) 때 가장 큰 가치를 가질 수도 있다. EUV 감응 금속 또는 금속 옥사이드-함유 막이 습식 (예를 들어, 스핀-온) 증착 기법 또는 건식 (예를 들어, 화학적 기상 증착 (chemical vapor deposition; CVD)) 증착 기법을 포함하는, 임의의 적합한 기법에 의해 반도체 기판 상에서 증착될 수도 있다. 예를 들어, 기술된 프로세스들은 (예를 들어, OR, Corvallis 소재의 Inpria Corp로부터 입수 가능한) 스핀-코팅 가능 포뮬레이션들 (formulations) 및 건식 진공 증착 기법들을 사용하여 도포된 포뮬레이션들 모두에 적용 가능한, 유기주석 옥사이드들에 기초한 EUV 포토레지스트 조성물들에 대해 입증되었고, 이하에 더 기술된다. 본 개시에 기술된 포토레지스트는 종종 금속-함유 EUV 레지스트 재료로서 기술되지만, 본 개시의 프로세스 동작들은 실리콘-기반 막들 또는 탄소-기반 막들과 같은 임의의 다른 막들에 적용될 수도 있다는 것이 이해될 것이다.
반도체 기판들은 포토리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함할 수도 있다. 일부 실시 예들에서, 반도체 기판들은 실리콘 웨이퍼들이다. 반도체 기판들은 그 위에 불규칙한 표면 토포그래피 (topography) 를 갖는 피처들 ("하부 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다. 본 명세서에 참조된 바와 같이, 기판의 전면은 상부에 막들이 의도적으로 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다. 기판의 배면은 전면 반대편이다. 하부 피처들은 이 개시의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 개시의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에서 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다.
EUV-감응 박막들이 반도체 기판 상에서 증착될 수도 있고, 이러한 막들은 후속 EUV 리소그래피 및 프로세싱에 대한 레지스트들로서 동작 가능하다. 이러한 EUV-감응 박막들은 EUV에 노출 시, 더 치밀한 M-O-M 결합된 금속 옥사이드 재료들에 이들의 교차 결합 (crosslinking) 을 허용하여, 저 밀도 M-OH 풍부 재료들의 금속 원자들에 결합된 벌키 (bulky) 펜던트 치환들의 손실과 같은 변화들을 겪는 (undergo) 재료들을 포함한다. EUV 패터닝을 통해, 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출 영역 또는 노출되지 않은 영역을 용해시키도록, 또는 노출 영역 또는 노출되지 않은 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 실시 예들에서, 노출되지 않은 막은 이러한 후속 프로세싱이 수행되는 조건들 하에서 노출된 막보다 더 소수성인 (hydrophobic) 표면을 갖는다. 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이를 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 이하에 더 기술된 바와 같이 습식 프로세싱 또는 건식 프로세싱에 의한 것일 수도 있다.
다양한 실시 예들에서, 박막들은 유기금속성 (organometallic) 재료들, 예를 들어 주석 옥사이드를 포함하는 유기주석 재료들, 또는 다른 금속 옥사이드 재료들/모이어티들 (moieties) 이다. 유기금속성 화합물들은 대응-반응 물질 (counter-reactant) 과 유기금속성 전구체의 증기상 반응으로 제조될 수도 있다. 다양한 실시 예들에서, 유기금속성 화합물들은 대응-반응 물질들과 벌키 알킬기들 또는 플루오로알킬을 갖는 유기금속성 전구체들의 특정한 조합들의 혼합 및 반도체 기판 상에서 증착하는 저 밀도, EUV-감응 재료를 생성하기 위해 증기상의 혼합물의 중합을 통해 형성된다.
다양한 실시 예들에서, 유기금속성 전구체들은 증기상 반응에서 살아남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위 결합된 다른 리간드들 (ligands) 또는 이온들은 대응-반응 물질들에 의해 대체될 수 있다. 유기금속성 전구체들은 화학식
MaRbLc
(화학식 1) 의 전구체들을 포함하고,
여기서, M은 높은 패터닝 복사-흡수 단면을 갖는 원소이고; R은 CnH2n+1과 같은 알킬이고, 바람직하게 n은 3 이상이고; L은 대응-반응 물질과 반응성인 리간드, 이온 또는 다른 모이어티이고; a는 1 이상이고; b는 1 이상이고; 그리고 c는 1 이상이다
다양한 실시 예들에서, M은 1 x 1072/㏖ 이상의 원자 흡수 단면을 갖는다. M은 예를 들어, 주석, 하프늄, 텔루륨, 비스무트, 인듐, 요오드, 안티몬, 게르마늄, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시 예들에서, M은 주석이다. R은 플루오르화될 수도 있고, 예를 들어, 화학식 CnFxH(2n+1)을 갖는다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 기로부터 선택될 수도 있다. L은 아민들 (예컨대 디알킬아미노, 모노알킬아미노), 알콕시, 카르복실레이트들, 할로겐들, 및 이들의 혼합물들로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응 물질에 의해 용이하게 치환된 임의의 모이어티일 수도 있다.
유기금속성 전구체들은 임의의 폭넓고 다양한 후보 금속-유기 전구체들일 수도 있다. 예를 들어, M이 주석이면, 이러한 전구체들은 t-부틸 트리스(디메틸아미노) 주석, i-부틸 트리스(디메틸아미노) 주석, n-부틸 (트리스)디메틸아미노 주석, sec-부틸 트리스(디메틸아미노) 주석, i-프로필(트리스)디메틸아미노 주석, n-프로필 (트리스)디메틸아미노 주석, 및 t-부틸 트리스(t-부톡시) 주석과 같은 유사한 알킬(트리스)(t-부톡시) 주석 화합물들을 포함한다. 일부 실시 예들에서, 유기금속성 전구체들은 부분적으로 플루오르화된다.
대응-반응 물질들은 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 상기 화학식 1의 L) 을 대체하는 능력을 갖는다. 대응-반응 물질들은 물, 과산화물들 (예를 들어, 과산화수소), 디하이드록시 알코올들 또는 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올들 또는 플루오르화된 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 및 하이드록실 모이어티들의 다른 소스들을 포함할 수 있다. 다양한 실시 예들에서, 대응-반응 물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 유기금속성 전구체와 반응한다. 다른 잠재적인 대응-반응 물질들은 황 브리지들을 통해 금속 원자들을 교차 결합하는 수소 설파이드 및 수소 디설파이드를 포함한다.
박막들은 막의 화학적 또는 물리적 특성들을 수정하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 유기금속성 전구체 및 대응-반응 물질들에 더하여 선택 가능한 (optional) 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 반도체 기판 상에서 증착 전, 박막의 증착 후, 또는 둘 모두에 증기상 형성 동안 도핑에 의해서와 같이 도입될 수도 있다. 일부 실시 예들에서, 순한 리모트 H2 플라즈마는 일부 Sn-L 결합들을 Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 상승시킬 수 있다.
다양한 실시 예들에서, EUV-패터닝 가능한 막들이 제조되고 그리고 당업계에 공지된 기상 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에서 증착된다. 이러한 프로세스들에서, 중합된 유기금속성 재료는 증기상으로 또는 반도체 기판의 표면 상에 인 시츄 (in situ) 형성된다. 적합한 프로세스들은 금속 전구체들 및 대응-반응 물질들이 시간 또는 공간에서 분리되는 예를 들어, CVD, ALD, 및 불연속적인, ALD-유사 프로세스와 같은, CVD 컴포넌트를 사용하는 ALD를 포함한다.
일반적으로, 방법들은 중합된 유기금속성 재료를 형성하기 위해 유기금속성 전구체의 증기 스트림을 대응-반응 물질 (counter-reactant) 의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속성 재료를 증착하는 단계를 포함한다. 일부 실시 예들에서, 2 개 이상의 유기금속성 전구체가 증기 스트림에 포함된다. 일부 실시 예들에서, 2 개 이상의 대응-반응 물질이 증기 스트림에 포함된다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다.
일 예시적인 연속 CVD 프로세스에서, (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들을 형성하기 위해 별개의 유입구 경로들 내의, 유기금속성 전구체 및 대응-반응 물질의 소스의 2 개 이상의 가스 스트림들은, 이들이 가스상 (gas phase) 으로 혼합되고 반응하는, CVD 장치의 증착 챔버에 도입된다. 스트림들은 예를 들어, 별개의 주입 유입구들 또는 듀얼-플레넘 (dual-plenum) 샤워헤드를 사용하여 도입될 수도 있다. 장치는 유기금속성 전구체의 스트림들 및 대응-반응 물질이 챔버에서 혼합되도록 구성되고, 중합된 유기금속성 재료를 형성하기 위해 유기금속성 전구체 및 대응-반응 물질로 하여금 반응하게 한다. 본 기술의 메커니즘, 기능 또는 실용성 (utility) 을 제한하지 않고, 금속 원자들이 대응-반응 물질들에 의해 교차 결합되기 때문에 이러한 증기상 반응으로부터의 생성물이 분자량이 더 무거워지고, 이어서 응결되거나 그렇지 않으면 반도체 기판 상에서 증착되는 것으로 여겨진다. 다양한 실시 예들에서, 벌키 알킬기들의 입체 장애 (steric hindrance) 는 치밀하게 패킹된 네트워크의 형성을 방지하고, 다공성, 저 밀도 막들을 생성한다.
CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 10 mTorr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 일부 실시 예들에서, 반도체 기판의 온도는 반응 물질 스트림들의 온도 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃, 또는 주변 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에서 중합된 유기금속성 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생한다.
일부 실시 예들에서, EUV-패터닝 가능한 막들이 제조되고 그리고 당업계에 공지된 습식 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에서 증착된다. 예를 들어, 유기금속성 재료는 반도체 기판의 표면 상의 스핀-코팅에 의해 형성된다.
반도체 기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 0.5 ㎚ 내지 100 ㎚ 범위일 수도 있고, EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기 충분한 두께일 수도 있다. 예를 들어, 레지스트 막의 전체 흡수는 레지스트 막의 하단의 레지스트 재료가 충분히 노출되도록 30 % 이하 (예를 들어, 10 % 이하, 또는 5 % 이하) 일 수도 있다. 일부 실시 예들에서, 막 두께는 5 ㎚ 내지 40 ㎚ 또는 10 ㎚ 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성을 제한하지 않고, 습식 스핀-코팅 증착 프로세스들과 달리, 건식 증착 프로세스들은 기판의 표면 접착 특성들에 대해 더 적은 제한들을 제시하고, 따라서 매우 다양한 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
블록 (104) 에서, 반도체 기판의 배면 및 베벨 에지를 세정하기 위해 세정 프로세스가 수행된다. 배면 및 베벨 에지 세정은 기판 배면 및 베벨 에지 상의 다양한 레벨들의 산화 또는 교차 결합을 갖는 막을 균등하게 제거하도록 EUV 레지스트 막을 비-선택적으로 에칭할 수도 있다. 습식 증착 프로세싱 또는 건식 증착 프로세싱에 의한 EUV-패터닝 가능한 막의 도포 동안, 기판 베벨 에지 및/또는 배면 상에서 레지스트 재료의 일부 의도되지 않은 증착이 있을 수도 있다. 의도되지 않은 증착은 나중에 반도체 기판의 상단 표면으로 이동하고 입자 결함들이 되는 바람직하지 않은 입자들을 야기할 (lead) 수도 있다. 게다가, 이 베벨 에지 및 배면 증착은 패터닝 툴들 (스캐너), 현상 툴들 및 계측 툴들의 오염을 포함하는, 다운스트림 프로세싱 문제들을 유발할 수 있고, 이는 이어서 다른 기판들을 오염시키도록 작용할 수 있다. 이 베벨 에지 및 배면 증착의 제거는 단독으로 또는 본 명세서에 기술된 다른 기법들과 조합하여, 습식 세정 기법들 또는 건식 세정 기법들을 사용하여 달성될 수도 있다.
스핀-코팅된 금속-유기 포토레지스트들을 세정하기 위한 현재의 최신 기술은 습식 세정 프로세싱에 의한 것이다. 일 예는 도 2a 내지 도 2d를 참조하여 이하에 기술된다. 에지 비드 제거 (edge bead removal; EBR) 는 웨이퍼의 전면 및 배면 모두 상의 젖은 트랙에서 수행된다. 노즐은 웨이퍼의 전면 및 배면 모두에서 웨이퍼의 에지 위에 포지셔닝되고 (position), 그리고 웨이퍼가 회전하는 동안 용매가 디스펜싱된다. 유기 용매 (예를 들어: PGME, PGMEA, 2-헵타논 (2-heptanone)) 는 에지 상의 포토레지스트를 용해시켜, 베벨 에지 영역을 세정한다. 배면이 오염되면, 웨이퍼는 웨이퍼의 배면 세정을 위해 또 다른 습식 세정 스테이션으로 갈 수 있다. 스핀-코팅을 위해, 척과 콘택트하는 웨이퍼 영역은 통상적으로 청정한 (clean) 상태를 유지하고 별도의 배면 세정이 항상 사용되는 것은 아니다. 희석된 불산 (dHF), 희석된 염산 (dHCl), 희석된 황산, 또는 표준 세정 1 (standard clean 1; SC-1) 과 같은 부가적인 세정 용액들이 금속 오염을 감소시키기 위해 필요할 수도 있다. EUV 스캐너에 들어가기 전에, 배면 스크럽이 일반적으로 수행된다.
건식 배면 및 베벨 에지 세정 기법들이 습식 기법들 대신 사용될 수도 있다. 건식 배면 및 베벨 에지 세정은 에칭 가스를 사용하여 수행될 수도 있다. 에칭 가스는 수소 가스, 수소 할라이드, 수소 가스 및 할라이드 가스, 또는 붕소 트리클로라이드일 수도 있다. 프로세스 챔버는 에칭 가스가 기판의 배면에 액세스할 수 있도록 기판을 상승시키는 복수의 최소 콘택트 면적 (minimum contact area; MCA) 지지부들을 갖는 기판 지지부를 구비할 수도 있다. 기판 지지부는 도 5a와 관련하여 이하에 기술된 바와 같이 캐리어 링 (carrier ring) 일 수도 있다. 에칭 가스는 기판 지지부 아래로부터 제 1 에칭 가스 플로우로 전달될 수도 있다. 가스 분배기는 에칭 가스가 전면의 중심에 도달하는 것을 제한하도록 기판의 전면의 중심에서 커튼 가스를 전달할 수도 있다. 가스 분배기는 또한 기판의 전면의 주변부에서 제 2 에칭 가스 플로우로 에칭 가스를 전달할 수도 있다. 복사 열 소스와 같은 열 소스는 건식 배면 및 베벨 에지 세정 동안 기판 상에서 적용될 수도 있다. 복사 열 소스는 기판 지지부 아래에 포지셔닝될 수도 있다. 배면 세정 및 베벨 에지 세정은 모두 동일한 프로세스 챔버에서 수행된다. 일부 실시 예들에서, 증착 동작들 및 건식 배면 및 베벨 에지 세정은 동일한 프로세스 챔버에서 수행된다. 일부 실시 예들에서, 도포 후 소성 (post-application bake; PAB) 및 건식 배면 및 베벨 에지 세정은 동일한 프로세스 챔버에서 수행된다. 단일 챔버 내 툴들/챔버들의 통합은 쓰루풋 (throughput) 을 증가시키고, 비용을 감소시키고, 그렇지 않으면 이송들 사이에서 발생할 오염 가능성을 감소시킨다.
일부 실시 예들에서, 건식 세정 프로세스는 다음 가스들: HBr, HCl, HI, BCl3, SOCl2, Cl2, BBr3, H2, O2, PCl3, CH4, 메탄올, 암모니아, 포름산, NF3, HF 중 하나 이상을 갖는 증기 및/또는 플라즈마를 수반한다. 일부 실시 예들에서, 건식 세정 프로세스는 본 명세서에 기술된 건식 현상 프로세스와 동일한 화학 물질들을 사용할 수도 있다. 예를 들어, 배면 및 베벨 에지 세정은 수소 할라이드 현상 화학 물질을 사용할 수도 있다. 배면 및 베벨 에지 세정 프로세스를 위해, 증기 및/또는 플라즈마는 기판의 전면 상의 어떠한 막 열화도 없이, 배면 및 베벨 에지 증착물들만이 제거되는 것을 보장하도록 기판의 특정한 영역으로 제한되어야 한다.
프로세스 조건들은 배면 및 베벨 에지 세정을 위해 최적화될 수도 있다. 일부 실시 예들에서, 더 높은 온도, 더 높은 압력, 및/또는 더 높은 반응 물질 플로우는 상승된 에칭 레이트를 야기할 수도 있다. 건식 베벨 에지 및 배면 세정을 위한 적합한 프로세스 조건들은: 포토레지스트 막의 조성 및 특성들에 따라, 100 sccm 내지 10000 sccm의 반응 물질 플로우 (예를 들어, 500 sccm의 HCl, HBr, HI, 또는 H2 및 Cl2, Br2, 또는 I2, BCl3, 또는 H2), 20 ℃ 내지 120 ℃ (예를 들어, 100 ℃) 의 온도, 20 mTorr 내지 대기압 (예를 들어, 300 mTorr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 에서 0 내지 500 W의 플라즈마 전력, 그리고 약 10 초 내지 150 초의 시간 동안일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 더 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
블록 (104) 의 배면 및 베벨 에지 세정이 블록 (106) 의 PAB 처리 전에 도시되지만, 블록 (104) 의 배면 및 베벨 에지 세정이 블록 (102) 의 포토레지스트의 증착 후에 그리고 블록 (112) 의 현상 전에 프로세스 (100) 동안 임의의 스테이지에서 수행될 수도 있다는 것이 이해될 것이다. 따라서, 블록 (104) 의 배면 및 베벨 에지 세정은 포토레지스트 증착 후, PAB 처리 후, EUV 노출 후, 또는 노출 후 소성 (post-exposure bake; PEB) 처리 후 수행될 수도 있다. 이하에 더 논의된 바와 같이, 부가적인 배면 및 베벨 에지 세정은 예를 들어 블록 (118) 과 관련하여 기술된 바와 같이, 프로세스 (100) 에서 나중에 수행될 수도 있다. 블록 (104) 의 제 1 배면 및 베벨 에지 세정 동작은 블록 (102) 의 포토레지스트 증착으로부터 유래하는 원치 않은 증착물의 제거를 타깃팅하는 (target) 한편, 블록 (118) 의 제 2 배면 및 베벨 에지 세정 동작은 블록 (112) 의 포토레지스트 현상 동안 생성된 원치 않은 오염의 제거를 타깃팅한다. 일부 실시 예들에서, 배면 및 베벨 에지 세정 동작들 (104 및 118) 중 하나 또는 모두는 생략될 수도 있다.
습식 또는 건식 배면 및 베벨 에지 세정 동작은 대안적으로, 원래 (original) 포토레지스트가 손상되었거나 그렇지 않으면 결함이 있을 때와 같이, 도포된 EUV 포토레지스트가 제거되고 그리고 포토레지스트 재도포를 위해 반도체 기판이 준비되는, 전체 포토레지스트 제거 또는 포토레지스트 "재작업 (rework)"으로 확장될 수도 있다. 포토레지스트 재작업은 하부 반도체 기판을 손상시키지 않고 달성되어야 하고, 따라서 산소-기반 에칭이 방지되어야 한다. 대신, 본 명세서에 기술된 바와 같은 할라이드-함유 화학 물질들의 변형들이 사용될 수도 있다. 포토레지스트 재작업 동작은 프로세스 (100) 동안 임의의 스테이지에서 적용될 수도 있다는 것이 이해될 것이다. 따라서, 포토레지스트 재작업 동작은 포토레지스트 증착 후, 제 1 베벨 에지 및 배면 세정 또는 제 2 베벨 에지 및 배면 세정 후, PAB 처리 후, EUV 노출 후, PEB 처리 후, 현상 후, PDB 처리 후, 화학적, 플라즈마, 및/또는 광 처리 후 적용될 수도 있다. 일부 실시 예들에서, 포토레지스트 재작업은 포토레지스트의 노출된 영역 및 노출되지 않은 영역의 비-선택적 제거를 위해 수행될 수도 있지만, 하부 층에 대해서는 선택적이다.
일부 실시 예들에서, 포토레지스트 재작업 프로세스는 다음 가스들: HBr, HCl, HI, BCl3, Cl2, BBr3, H2, PCl3, CH4, 메탄올, 암모니아, 포름산, NF3, HF 중 하나 이상을 갖는 증기 및/또는 플라즈마를 수반한다. 일부 실시 예들에서, 포토레지스트 재작업 프로세스는 본 명세서에 기술된 건식 현상 프로세스와 동일한 화학 물질들을 사용할 수도 있다. 예를 들어, 포토레지스트 재작업은 수소 할라이드 현상 화학 물질을 사용할 수도 있다.
프로세스 조건들은 포토레지스트 재작업을 위해 최적화될 수도 있다. 일부 실시 예들에서, 더 높은 온도, 더 높은 압력, 및/또는 더 높은 반응 물질 플로우는 상승된 에칭 레이트를 야기할 수도 있다. 포토레지스트 재작업을 위한 적합한 프로세스 조건들은, 포토레지스트 막의 조성 및 특성들에 따라, 100 sccm 내지 500 sccm의 반응 물질 플로우 (예를 들어, 500 sccm의 HCl, HBr, HI, BCl3 또는 H2 및 Cl2 또는 Br2), -10 ℃ 내지 140 ℃ (예를 들어, 80 ℃) 의 온도, 20 mTorr 내지 1000 mTorr (예를 들어, 300 mTorr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 에서 300 W 내지 800 W (예를 들어, 500 W) 의 플라즈마 전력, 0 내지 200 Vb의 웨이퍼 바이어스 (더 높은 바이어스가 더 단단한 하부 기판 재료들에 사용될 수도 있음) 그리고 EUV 포토레지스트를 완전히 제거하기 충분한 약 20 초 내지 3 분의 시간 동안일 수도 있다. 일부 실시 예들에서, 포토레지스트 재작업은 플라즈마의 적용 없이 수행될 수 있다. 포토레지스트 재작업은 상승된 온도들 (예를 들어, 80 ℃ 내지 120 ℃) 에서 수소 할라이드 (예를 들어, HBr) 와 같은 할라이드-함유 가스를 사용하여 열적으로 수행될 수 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 더 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
프로세스 (100) 의 블록 (106) 에서, 선택 가능한 도포 후 소성 (PAB) 이 EUV-패터닝 가능한 막의 증착 후 그리고 EUV 노출 전 그리고/또는 배면 및 베벨 에지 세정을 수행한 후에 수행된다. PAB 처리는 열적 처리, 화학적 노출, 및 EUV-패터닝 가능한 막의 EUV 감도 (sensitivity) 를 상승시키기 위한 수분의 조합을 수반할 수도 있어, EUV-패터닝 가능한 막에서 패턴을 현상하기 위해 EUV 도즈를 감소시킨다. PAB 처리 온도는 EUV-패터닝 가능한 막의 감도를 상승시키기 위해 튜닝되고 최적화될 수도 있다. 예를 들어, 처리 온도는 약 90 ℃ 내지 약 200 ℃ 또는 약 150 ℃ 내지 약 190 ℃일 수도 있다. 일부 실시 예들에서, PAB 처리는 100 sccm 내지 10000 sccm 범위로 흐르는 가스 분위기, 수 % 내지 최대 100 %의 양 (예를 들어, 20 % 내지 50 %) 의 수분 함량, 대기압과 진공 사이의 압력, 그리고 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간 동안 수행될 수도 있다. 일부 실시 예들에서, PAB 처리는 약 100 ℃ 내지 200 ℃의 온도로 약 1 분 내지 2 분 동안 수행된다.
프로세스 (100) 의 블록 (108) 에서, 금속-함유 EUV 레지스트 막은 패턴을 현상하기 위해 EUV 복사선에 노출된다. 일반적으로 말하면, EUV 노출은 화학적 조성의 변화 및 금속-함유 EUV 레지스트 막의 교차 결합에서 변화를 유발하여, 후속 현상을 위해 이용될 (exploit) 수 있는 에칭 선택도의 콘트라스트를 생성한다.
이어서 금속-함유 EUV 레지스트 막은 통상적으로 상대적으로 고 진공 하에서 막의 영역을 EUV 광에 노출함으로써 패터닝될 수도 있다. 본 명세서에 유용한 것들 중 EUV 디바이스들 및 이미징 (imaging) 방법들은 당업계에 공지된 방법들을 포함한다. 특히, 상기 논의된 바와 같이, 막의 노출된 영역들은 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 EUV 패터닝을 통해 생성된다. 예를 들어, 노출된 영역들에서, 금속-탄소 결합 분열 (cleavage) 이 베타-하이드라이드 (beta-hydride) 제거를 통해 발생할 수도 있어서,
금속-산소 브리지들을 통해 하이드록사이드 및 교차 결합된 금속 옥사이드 모이어티들로 변환될 수 있는 반응성 및 액세스 가능한 금속 하이드라이드 기능성들을 남기고, 이는 네거티브 톤 레지스트 (negative tone resist) 또는 하드 마스크에 대한 템플릿 (template) 으로서 화학적 콘트라스트 (contrast) 를 생성하도록 사용될 수 있다. 일반적으로, 알킬기의 더 큰 수의 베타-H는 더 감응성 막을 발생시킨다. 노출에 이어, 금속 옥사이드 막의 부가적인 교차 결합을 유발하도록 금속-함유 EUV 레지스트 막은 소성될 수도 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는 노출되지 않은 영역들을 용해시키고 또는 노출된 영역들 상에 재료들을 증착하는 것과 같은 후속 프로세싱에 이용될 수도 있다. 예를 들어 패턴은 금속 옥사이드-함유 마스크를 형성하기 위해 건식 방법을 사용하여 현상될 수 있다. 이러한 프로세스들에서 유용한 방법들 및 장치는 2019년 12월 19일 출원된 PCT 특허 출원 제 PCT/US2019/067540 호에 기술되고, 방법들 및 장치의 개시를 위해 본 명세서에 참조로서 인용된다.
특히, 다양한 실시 예들에서, 표면 상에 존재하는 하이드로카빌-종단된 주석 옥사이드는, 특히 노출이 EUV를 사용하여 진공에서 수행될 때 이미징 층의 노출된 영역(들)에서 수소-종단된 주석 옥사이드로 변환된다. 그러나, 진공으로부터 공기로 노출된 이미징 층들을 제거하거나, 산소, 오존, H2O2, 또는 물의 제어된 도입은 표면 Sn-H의 Sn-OH로의 산화를 발생시킬 수 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는, 예컨대 이미징 층에 재료를 선택적으로 첨가하거나 이미징 층으로부터 재료를 제거하도록 하나 이상의 시약들과 조사된 영역, 조사되지 않은 영역, 또는 둘 모두를 반응시킴으로써 후속 프로세싱에서 이용될 수도 있다.
본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 예를 들어, 10 mJ/㎝2 내지 100 mJ/㎝2의 도즈들로, EUV 노출은 입체 장애를 완화하고, 저 밀도 막이 붕괴하는 공간을 제공할 수도 있다. 또한, 베타-하이드라이드 제거 반응들에서 생성된 반응성 금속-H 결합은 막의 하이드록실들과 같은 이웃하는 활성기들과 반응할 수 있어서,
추가 교차 결합 및 치밀화로 이어지고, 노출된 영역(들)과 노출되지 않은 영역(들) 사이의 화학적 콘트라스트를 생성한다.
EUV 광에 대한 금속-함유 EUV 레지스트 막의 노출에 이어서, 포토패터닝된 금속-함유 EUV 레지스트가 제공된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV-노출된 영역 및 노출되지 않은 영역을 포함한다.
프로세스 (100) 의 블록 (110) 에서, 선택 가능한 노출 후 소성 (PEB) 은 포토패터닝된 금속-함유 EUV 레지스트의 에칭 선택도의 콘트라스트를 더 증가시키도록 수행된다. 포토패터닝된 금속-함유 EUV 레지스트는 포토패터닝된 금속-함유 EUV 레지스트의 EUV-노출된 영역들의 교차 결합을 용이하게 하도록 다양한 화학 종의 존재 하에 열적으로 처리된다.
다양한 실시 예들에서, 소성 전략은 소성 분위기의 신중한 제어, 반응성 가스들의 도입, 및/또는 소성 온도의 램핑 (ramping) 레이트의 신중한 제어를 수반한다. 유용한 반응성 가스들의 예들은 예를 들어, 공기, H2O, H2O2 증기, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, 알코올, 아세틸 아세톤, 포름산, Ar, He, 또는 이들의 혼합물들을 포함한다. PEB 처리는 (1) EUV 노출 동안 생성된 유기 단편들 (organic fragments) 의 완전한 증발을 구동하고 그리고 (2) 금속 하이드라이드 종 (EUV 노출 동안 베타-H 제거 반응으로부터의 다른 생성물) 을 금속 하이드록사이드로 산화시키고, 그리고 (3) 이웃하는 -OH기들 사이의 교차 결합을 용이하게 하고 교차 결합된 금속 옥사이드 네트워크를 형성하도록 설계된다. 소성 온도는 최적의 EUV 리소그래피 성능을 달성하도록 신중하게 선택된다. 너무 낮은 PEB 온도는 불충분한 교차 결합뿐만 아니라 유기 단편들의 불완전한 제거를 초래할 것이고, 결과적으로 주어진 도즈에서 현상을 위한 보다 적은 화학적 콘트라스트를 야기할 것이다. 너무 높은 PEB 온도는 또한 노출되지 않은 영역 (이 예에서 마스크를 형성하기 위해 패터닝된 막의 현상에 의해 제거되는 영역) 에서 심각한 산화 및 막 수축을 포함하여 유해한 영향들을 가질 뿐만 아니라, 포토패터닝된 금속-함유 EUV 레지스트와 하부 층 사이의 계면에서 목표되지 않은 상호확산 (interdiffusion) 을 가질 것이고, 이들 모두는 화학적 콘트라스트들의 손실 및 불용성 스컴 (scum) 으로 인한 결함 밀도의 상승에 원인이 될 수 있다. PEB 처리 온도는 약 100 ℃ 내지 약 300 ℃, 약 170 ℃ 내지 약 290 ℃, 또는 약 200 ℃ 내지 약 240 ℃일 수도 있다. 일부 실시 예들에서, PEB 처리는 100 sccm 내지 10000 sccm 범위로 흐르는 가스 분위기, 수 % 내지 최대 100 %의 양 (예를 들어, 20 % 내지 50 %) 의 수분 함량, 대기압과 진공 사이의 압력, 그리고 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간 동안 수행될 수도 있다. 일부 실시 예들에서, PEB 열적 처리들은 에칭 선택도를 더 상승시키도록 반복될 수도 있다.
프로세스 (100) 의 블록 (112) 에서, 포토패터닝된 금속-함유 EUV 레지스트가 레지스트 마스크를 형성하도록 현상된다. 다양한 실시 예들에서, 노출된 영역들이 제거되거나 (포지티브 톤) 노출되지 않은 영역들이 제거된다 (네거티브 톤). 일부 실시 예들에서, 현상은 포토패터닝된 금속-함유 EUV 레지스트의 노출된 영역 또는 노출되지 않은 영역 상에 선택적인 증착, 이어서 에칭 동작을 포함할 수도 있다. 다양한 실시 예들에서, 이들 프로세스들은 건식 프로세스들 또는 습식 프로세스들일 수도 있다. 현상을 위한 프로세스들의 예들은 EUV 노출 도즈 및 노출 후 소성을 겪은 다음 현상되는, 유기주석 옥사이드 함유 EUV-감응 포토레지스트 박막 (예를 들어, 10 내지 30 ㎚ 두께, 예컨대 20 ㎚) 을 수반한다. 포토레지스트 막은 예를 들어, 이소프로필(트리스)(디메틸아미노)주석과 같은 유기주석 전구체와 수증기의 가스상 반응에 기초하여 증착될 수도 있고, 또는 유기 매트릭스 내에 주석 클러스터들을 포함하는 스핀-온 막일 수도 있다.
포토패터닝된 금속-함유 EUV 레지스트는 현상 화학 물질로의 노출에 의해 현상된다. 일부 실시 예들에서, 현상 화학 물질은 할라이드-함유 화학 물질을 포함한다. 예를 들어, 브롬-함유 화학 물질, 염소-함유 화학 물질, 및/또는 불소-함유 화학 물질이 사용될 수도 있다. 다양한 실시 예들에서, 할라이드-함유 화학 물질은 HBr, HCl, HI, 및 HF와 같은 수소 할라이드이다. 건식 현상 기법들은 전체가 참조로서 본 명세서에 인용된, 2020년 6월 25일 출원된 PCT 특허 출원 번호 제 PCT/US2020/039615 호에서 더 논의된다.
특정한 실시 예들에서 블록 (112) 에서 현상 동작은 최적화될 수도 있다. 이러한 최적화들은 건식 현상 기법들이 사용되는 경우에 특히 유용할 수도 있다. 최적화는 기판의 배면 및 베벨 에지 영역 상에 증착될 수도 있는, 기판 상의 포토레지스트로부터 가스 배출되는 금속 및/또는 금속 할라이드를 감소시키도록 행해질 수도 있다. 특정한 최적화 기법들은 잠재적 오염 종의 제거를 촉진할 수도 있는 한편, 다른 기법들은 다운스트림 가스 배출 동안 가스 배출 능력을 감소시키도록 오염 종을 패시베이팅할 (passivate) 수도 있다. 본 명세서에 기술된 다양한 최적화들은 특정한 애플리케이션에 대해 목표된 대로 결합될 수 있다.
다양한 실시 예들에서, 블록 (112) 에서 포토레지스트 현상 동작은 가열된 프로세싱 챔버 내에서 현상을 수행함으로써 최적화될 수도 있다. 이러한 가열은 챔버 내 전체 부산물 응결/축적을 감소시키고, 이는 기판 상에서 더 적은 원치 않은 부산물 증착을 발생시킨다. 다양한 실시 예들에서, 프로세싱 챔버 및/또는 샤워헤드는 상승된 온도, 예를 들어 약 40 ℃ 이상, 또는 약 65 ℃ 이상, 또는 약 80 ℃ 이상, 또는 약 100 ℃ 이상으로 유지된다. 이들 또는 다른 경우들에서, 프로세싱 챔버 및 샤워헤드는 약 300 ℃ 이하, 또는 약 250 ℃ 이하, 또는 약 200 ℃ 이하, 또는 약 150 ℃ 이하, 또는 약 100 ℃ 이하, 또는 약 80 ℃ 이하의 최대 온도로 유지될 수도 있다. 일부 경우들에서, 온도는 건식 현상 동안 능동적으로 (actively) 제어되고 변화된다. 일부 이러한 경우들에서, 온도는 건식 현상 동안 능동적으로 상승된다. 다른 이러한 경우들에서, 온도는 건식 현상 동안 능동적으로 감소된다.
이들 또는 다른 실시 예들에서, 블록 (112) 에서 포토레지스트 현상 동작은 감소된 압력의 불활성 분위기에서 고온 퍼징 단계를 수행함으로써 최적화될 수도 있다. 퍼징 단계는 블록 (112) 의 포토레지스트 현상 동작의 종료 시, 또는 종료 직후, 현상 동작이 발생하는 동일한 프로세싱 챔버에서 수행될 수도 있다. 불활성 분위기를 확립하도록 제공될 수도 있는 예시적인 가스들은 예를 들어, Ar, He, N2, Kr, Xe, 및 H2를 포함한다. 이러한 가스들의 조합들이 또한 사용될 수 있다. 예시적인 가스 플로우 레이트들은 약 200 내지 10,000 sccm일 수도 있다. 퍼징은 약 1 내지 10 분, 일부 경우들에 적어도 약 2 분, 또는 적어도 약 5 분의 지속 기간을 가질 수도 있다. 퍼징 단계는 약 5 mTorr 내지 약 10 Torr의 압력에서 수행될 수도 있다. 일부 경우들에서, 압력은 적어도 약 10 mTorr일 수도 있다. 이들 또는 다른 실시 예들에서, 압력은 약 1 Torr 이하일 수도 있다. 퍼징 단계 동안 온도 (예를 들어, 프로세싱 챔버, 샤워헤드, 및/또는 기판 지지부의 온도) 는 상승된 온도, 예를 들어 약 100 ℃ 이상, 또는 약 120 ℃ 이상으로 유지될 수도 있다. 일부 경우들에서, 프로세싱 챔버는 약 100 내지 250 ℃, 또는 약 100 내지 300 ℃의 온도로 유지될 수도 있고, 그리고 기판 지지부는 약 120 내지 250 ℃, 또는 약 120 내지 300 ℃의 온도로 유지될 수도 있다.
이들 또는 다른 실시 예들에서, 펌핑 퍼징 시퀀스는 블록 (112) 에서 현상 프로세스의 종료 무렵, 또는 종료 직후에 사용될 수도 있다. 이러한 프로세스는 감소된 압력으로 프로세싱 챔버를 펌핑하는 (pump down) 단계, 및 불활성 가스로 프로세싱 챔버를 스윕핑하는 (sweeping) 단계의 하나 이상의 사이클들을 수반할 수도 있다. 이 펌핑 및 퍼징은 기판 및 챔버로부터 할로겐 및 금속 할라이드 제거의 효율을 상승시킨다.
이들 또는 다른 경우들에서, 최적화는 블록 (114) 과 관련하여 이하에 기술된 소성 단계와 같은, 열적 처리를 수반할 수도 있다. 이들 또는 다른 경우들에서, 최적화는 블록 (116) 과 관련하여 이하에 기술된 처리 동작들과 같은, 화학적, 플라즈마, 및/또는 광 처리를 수반할 수도 있다. 이와 같이, 블록들 (114 및/또는 116) 과 관련하여 기술된 동작들은 일부 경우들에서 현상 동작의 종료 무렵, 블록 (112) 의 포토레지스트 현상 동작과 오버랩할 (overlap) 수도 있다. 다른 실시 예들에서, 블록들 (114 및/또는 116) 의 동작들은 블록 (112) 의 포토레지스트 현상 동작이 완료된 후 발생할 수도 있다.
블록 (114) 에서, 기판은 PDB (post-development bake) 에 노출된다. PDB는 포토레지스트의 일부 또는 전부가 블록 (112) 에서 현상된 후 발생한다. 이 단계는 "현상 후 소성"으로 지칭되지만, 이 단계는 또한 상기 설명된 바와 같이, 현상 단계와 어느 정도 오버랩할 수도 있다는 것이 이해된다.
블록 (102) 의 포토레지스트 증착 단계와 매우 유사하게, 블록 (112) 의 포토레지스트 현상 단계는 기판의 배면 및 베벨 에지 상에 금속 및 금속 할라이드 오염을 유발할 수 있다. 상기 언급된 바와 같이, 많은 경우들에서 HBr과 같은 할라이드-함유 화학 물질을 사용하여 현상이 이루어진다. 일부 경우들에서, 할라이드 화학 물질은 포토레지스트의 금속과 반응하여, 포토레지스트를 부분적으로 용해시키고 그리고 기판 상에, 예를 들어 기판의 배면 및 베벨 에지 상에 재증착될 수 있는 금속 할라이드들 및/또는 다른 금속-함유 종의 형성을 유발한다. 이러한 오염은 다른 기판들 및 다운스트림 프로세싱 장비 및 계측 툴들의 연속적인 가스 배출 및 오염을 포함하는, 상기 기술된 이유들로 인해 유해하다.
PDB 처리는 다운스트림 프로세싱 동안 금속 및 금속 할라이드 가스 배출의 가능성을 감소시키기 위해 열적 처리, (블록 (116) 과 관련하여 더 논의된) 선택 가능한 화학적 노출, 선택 가능한 플라즈마 노출, 및 선택 가능한 광 노출의 조합을 수반할 수도 있다. 열적 처리는 기판을 상승된 온도들에 노출하는 것을 수반한다. 예를 들어, 기판은 약 1 내지 10 분의 지속 기간 동안, 약 160 내지 300 ℃의 온도로 소성될 수도 있다. 일부 경우들에서 온도는 약 160 내지 250 ℃, 또는 약 160 내지 220 ℃로 유지될 수도 있다. 이러한 소성은 기판 지지부, 프로세싱 챔버, 샤워헤드, 및/또는 프로세싱 가스들을 가열하는 것을 수반할 수도 있다. 일부 경우들에서, 프로세싱 챔버의 벽들은 상승된 온도로 가열되고 그리고/또는 유지될 수도 있다. 챔버 벽들에 대한 예시적인 온도들은 약 20 내지 120 ℃일 수도 있다. 일부 경우들에서, PDB 처리 동안 압력은 최소 약 0.01 Torr 또는 0.1 Torr, 내지 최대 약 1 Torr, 10 Torr, 또는 대기압 (예를 들어, 약 760 Torr) 으로 유지될 수도 있다. PDB 처리 동안 프로세싱 챔버에 제공될 수도 있는 예시적인 프로세싱 가스들은 N2, N2/O2의 혼합물, He, Ar, Xe, H2, 염소-함유 가스들, 불소-함유 가스들, 산소-함유 가스들, 및 이들의 조합들을 포함하지만, 이로 제한되지 않는다. 예시적인 염소-함유 가스, 불소-함유 가스 및 산소-함유 가스가 이하에 논의된다. 예시적인 플로우 레이트들은 약 200 내지 10,000 sccm이다.
PDB 처리는 기판 표면으로부터 물리 흡착된 (physisorbed) 금속 할라이드 종 (예를 들어, SnBrx 및/또는 다양한 실시 예들에서 다른 주석 할라이드들 또는 금속 할라이드들) 을 탈착한다 (desorb). 그러나, PDB 처리는 기판의 관련 부분들 (예를 들어, 배면 및 베벨 에지 영역) 로부터 금속 할라이드 종을 완전히 제거하는 데 효과적이지 않을 수도 있고, 그리고 화학 흡착된 (chemisorbed) 할라이드 종 (예를 들어, 금속 할라이드 종) 은 PDB 처리 후 기판 상에서 남아 있을 수도 있다. 또한, 기판의 전면 상의 금속-함유 포토레지스트는 계속해서 추가 가스 배출 및 관련 오염의 원천 (source) 이 된다. 다양한 실시 예들에서, 남아 있는 화학 흡착된 종/오염은 블록 (118) 에서 이하에 기술된 습식 세정 동작에서 제거될 수도 있다.
일부 실시 예들에서, PDB 처리는 반응성 화학 물질 또는 플라즈마에 기판을 노출하는 것을 수반하지 않는 열적 처리이다. 블록 (114) 의 PDB 처리와 관련하여 반응성 화학 물질 또는 플라즈마가 사용되지 않는 경우들에서, 상기 기술된 펌핑 및 퍼징 시퀀스의 사용은 기판 상의 오염을 감소시키는 데 특히 유리하다. PDB 처리가 열적 처리이고 추가 세정 단계들이 사용되지 않는 (예를 들어, 블록들 (116 및 118) 이 생략된) 실시 예들에서, 가스 배출은 더 긴 시간프레임들에 걸쳐 예를 들어, 수일 동안, 여전히 이슈일 수도 있다. 이러한 실시 예들에서, 블록 (114) 에서 기판이 PDB 처리에 노출된 후 그리고 기판이 추가 프로세싱을 위해 사용되기 전 특정한 기판에 대한 큐 시간 (queue time) 은 예를 들어, 약 1 일, 약 2 일, 약 3 일, 또는 약 5 일의 최대 큐 시간을 사용하여 제어될 수도 있다. 이 큐 시간의 제어는 기판 각각으로부터 유래되는 가스 배출/재오염의 양을 제한하고, 이에 따라 기판, 기판들 근방, 및 다운스트림 프로세싱 장비 및 계측 툴들에 대한 오염을 제한한다. 이론 또는 작용 메커니즘에 얽매이지 않고, 재오염 메커니즘은 표면 호핑 (hopping) 또는 확산 메커니즘이라고 여겨진다. 추가적인 펌핑 퍼징 시퀀스들, 뿐만 아니라 큐 시간 제어는 이러한 재오염을 제한할 수 있다. 물론, 이러한 기법들은 기판이 PDB 처리 후 추가 세정을 겪지 않는 실시 예들에서 특히 유리하지만, 펌핑 퍼징 시퀀스뿐만 아니라 큐 시간 제어가 또한 추가 세정 단계들이 사용되는 다른 실시 예들에서 사용될 수도 있다는 것이 이해된다. 또한, 이러한 펌핑 퍼징 시퀀스는 예를 들어, 블록들 (112, 114, 및/또는 116) 의 동작들과 관련하여, 도 1의 방법 동안 언제든 수행될 수도 있다.
일부 실시 예들에서, 블록 (114) 의 PDB 처리는 블록 (116) 과 관련하여 기술된 바와 같이, 반응성 화학 물질, 플라즈마, 및/또는 광에 기판을 노출하는 것을 수반할 수도 있다. 일부 다른 실시 예들에서, 블록 (116) 과 관련하여 기술된 동작들은 블록 (114) 의 PDB 처리 후에 발생할 수도 있다. 상기 언급된 바와 같이, 블록 (114) 의 PDB 처리는 또한 블록 (112) 의 포토레지스트 현상 단계와 오버랩할 수도 있다. 이와 같이, 블록 (116) 의 동작들은 또한 블록 (112) 의 동작들과 오버랩할 수도 있다는 것이 이해된다. 다른 경우들에서, 기판은 예를 들어 블록들 (112 및/또는 114) 의 동작들이 발생하는 동일하거나 상이한 프로세싱 챔버에서, 블록들 (112 및/또는 114) 의 동작들이 완료된 후, 블록 (116) 의 동작에서 화학적 처리, 플라즈마 처리, 및/또는 광 처리에 노출될 수도 있다.
블록 (116) 은 선택 가능하게 화학적 처리, 플라즈마 처리, 및/또는 광 처리에 기판을 노출하는 것을 수반한다. 이러한 처리들은 특정한 애플리케이션에 대해 목표된 대로 결합될 수도 있다. 일부 경우들에서, 처리는 금속-함유 종 (예를 들어, 금속 또는 금속 할라이드) 이 더 휘발성이 되게 금속-함유 종을 변경하도록 의도되고, 이에 따라 금속-함유 종으로 하여금 진공 연결을 통해 기판 및 프로세싱 챔버로부터 제거되게 한다. (예를 들어, 하나 이상의 퍼징들을 수반할 수도 있는) 이러한 처리들은 휘발성인 종의 제거를 촉진하도록 상대적으로 고온들 및 저압들로부터 이익을 얻는다.
이 상승된 휘발성을 달성하기 위한 일 기법은 염소-함유 화학 물질 (예를 들어, BCl3, Cl2, HCl, SiCl4, SOCl2, 및 PCl3 중 하나 이상) 에 기판을 노출하는 것이다. 이 기법은 포토레지스트가 HBr과 같은 브롬-기반 화학 물질을 사용하여 블록 (112) 에서 현상된 경우들에서 특히 유리하다. 블록 (116) 의 염소-함유 화학 물질에 대한 기판의 노출은 이전에 존재하는 오염 종 (예를 들어, 금속들 및 금속 브로마이드들) 보다 더 휘발성인 종 (예를 들어, 금속 클로라이드들) 의 형성을 발생시킨다. 상승된 휘발성을 달성하기 위한 또 다른 기법은 상대적으로 고온들, 예를 들어, 적어도 약 200 ℃, 또는 적어도 약 250 ℃에서 기판을 수소 (예를 들어, H2) 에 노출하는 것이다. 화학적 노출은 약 1 내지 10 분의 지속 기간을 가질 수도 있다. 오염 종의 휘발성을 상승시키는 것은 기판 및 프로세싱 챔버로부터 오염 종의 제거를 촉진한다. 기판이 화학적 처리, 플라즈마 처리, 및/또는 광 처리에 노출되는 다양한 실시 예들에서, 프로세싱 챔버는 처리 후에 (예를 들어, 상기 기술된 퍼징 시퀀스 및/또는 펌핑 퍼징 시퀀스를 사용하여) 퍼징될 수도 있다.
일부 경우들에서, 블록 (116) 의 처리는 금속-함유 종이 더 안정하게 되게 금속-함유 종을 변경하도록 의도되고, 이에 따라 이러한 종이 가스 배출하여 오염을 유발할 위험을 감소시킨다. 이 증가된 안정성을 달성하기 위한 일 기법은 금속 할라이드들로부터 금속 옥사이드들을 형성하기 위해 산소-함유 화학 물질 (예를 들어, O2, O3, H2O, SO2, CO2, CO, COS, NOx (예를 들어, NO2, NO, 및 N2O), 및 H2O2 증기 중 하나 이상) 에 기판을 노출하는 것이다. 증가된 안정성을 달성하기 위한 또 다른 기법은 금속 브로마이드들 또는 금속 클로라이드들과 같은 다른 금속 할라이드들로부터 금속 플루오라이드들을 형성하기 위해 불소-함유 화학 물질 (예를 들어, HF, CxFyHz, NF3, SF6, 및 F2 중 하나 이상) 에 기판을 노출하는 것이다. 금속-함유 종의 안정성을 촉진하도록 사용될 수도 있는 다른 화학 물질은 NH3 (특히 약 200 ℃ 초과와 같은 고온들에서 유용함), HI, 및 I2를 포함하지만, 이로 제한되지 않는다.
블록 (116) 이 화학적 처리를 수반하는 특정한 구현 예들에서, 프로세스 가스(들)는 약 200 내지 10,000 sccm의 레이트로 흐를 수도 있다. 예시적인 노출 시간들은 약 1 내지 10 분이다. (예를 들어, 기판 지지부, 챔버, 샤워헤드, 프로세스 가스들, 등 중 하나 이상에 대한) 예시적인 온도들은 약 20 내지 150 ℃일 수도 있다.
다양한 실시 예들에서, 블록 (116) 의 처리는 플라즈마에 기판을 노출하는 것을 수반한다. 플라즈마 처리는 가스 배출 및 관련 오염 메커니즘을 억제하도록 작용할 수도 있다. 많은 경우들에서, 플라즈마는 프로세싱 챔버로 전달되는 리모트로 (remotely) 생성된 플라즈마이다. 다른 경우들에서, 직접 플라즈마가 기판과 인 시츄로 생성될 수도 있다. 플라즈마는 플라즈마 생성 가스로부터 생성된다. 예를 들어, H2, N2, Ar, He, Kr, Xe, CH4, 산소-기반 가스들 (예를 들어, O2, O3, CO, CO2, COS, SO2, NOx, H2O), 불소-기반 가스들 (예를 들어, NF3, CxFy (예를 들어, CF4, 등), CxHyFz (예를 들어, CH3F3, CH2F2, CHF3, 등), F2, SF6), 염소-기반 가스들 (예를 들어, BCl3, Cl2, HCl, SiCl4, SOCl2, 및 PCl3 중 하나 이상), 및 수소 할라이드들 (예를 들어, HBr, HI, 등) 중 임의의 하나 이상을 포함하는, 다양한 플라즈마 생성 가스들이 사용될 수도 있다. 일부 특정한 실시 예들에서, 플라즈마 생성 가스는 H2/N2의 혼합물, H2/Ar의 혼합물, H2/He의 혼합물, H2/Kr의 혼합물, H2/Xe의 혼합물, H2/CH4의 혼합물, CH4/O2의 혼합물, 하나 이상의 산소-기반 가스와 불활성 가스의 혼합물, 하나 이상의 불소-기반 가스와 불활성 가스의 혼합물, 또는 하나 이상의 염소-기반 가스와 불활성 가스의 혼합물을 포함할 수도 있다. 플라즈마 생성 가스에 대한 예시적인 플로우 레이트들은 약 50 내지 10,000 sccm일 수도 있다. 일부 경우들에서 플로우 레이트는 적어도 약 100 sccm이다. 이들 또는 다른 경우들에서, 플로우 레이트는 약 5,000 sccm 이하일 수도 있다. 산소-함유 플라즈마가 사용되는 일부 경우들에서, 산소-함유 플라즈마가 탄소-함유 하부 층과 같은 임의의 노출된 탄소-기반 재료들을 공격하는 것을 방지하도록, 플라즈마 노출의 지속 기간은 특히 짧을 수도 있고 (예를 들어, 약 1 내지 30 초, 또는 약 1 내지 5 초), 때때로 플래시 (flash) 로 지칭된다.
블록 (116) 의 플라즈마 처리 동안, 프로세싱 챔버 내 압력은 약 5 mTorr만큼 낮게 그리고 약 10 Torr만큼 높게 유지될 수도 있다. 일부 경우들에서, 압력은 예를 들어 프로세싱 챔버가 터보 펌프를 포함하거나 터보 펌프와 유체로 연통하는 (in fluidic communication) 실시 예들에서, 약 5 내지 300 mTorr이다. 일부 경우들에서, 압력은 예를 들어 프로세싱 챔버가 러프 펌프 (rough pump) 이거나 러프 펌프를 포함하는 실시 예들에서, 약 100 mTorr 내지 약 10 Torr이다. 더 높은 압력들 (예를 들어, 100 mTorr 내지 10 Torr) 은 플라즈마 노출의 결과로서 기판에 대한 손상을 최소화하는 데 유리할 수도 있다. 플라즈마 처리가 오염 종을 패시베이팅하도록 타깃팅되는 일부 경우들에서, 상대적으로 더 높은 압력들, 예를 들어 약 0.1 내지 10 Torr, 또는 약 0.1 내지 5 Torr가 사용될 수도 있다. 기판은 약 1 초 내지 120 초의 지속 기간 동안 플라즈마에 노출될 수도 있다. 플라즈마는 하나 이상의 주파수들, 예를 들어 약 13.6 ㎑의 저 주파수, 및 약 10 ㎒의 고 주파수로 생성될 수도 있다. 다른 주파수들, 예를 들어 400 ㎑, 1 ㎒, 2 ㎒, 27 ㎒, 60 ㎒, 등이 또한 사용될 수도 있다. 플라즈마는 예를 들어 플라즈마가 변압기 커플링 플라즈마 (transformer coupled plasma; TCP) 또는 인 시츄로 생성된 용량 커플링 플라즈마 (capacitively coupled plasma; CCP) 인 경우들에서, 약 50 내지 300 W의 무선 주파수 (radio frequency; RF) 전력을 사용하여 생성될 수도 있다. 플라즈마가 예를 들어 마이크로파 스트립 챔버의 마이크로파 플라즈마 소스를 사용하여, 리모트로 생성되는 경우들에서, 플라즈마는 이들 또는 더 높은 전력들 (예를 들어, 약 3000 W 이하, 일부 경우들에서 약 1000 내지 3000 W) 에서 생성될 수도 있다. 플라즈마 (예를 들어, TCP 플라즈마) 의 듀티 사이클은 약 10 % 내지 100 % CW일 수도 있다.
예를 들어 플라즈마가 인 시츄로 생성되는 (예를 들어, TCP 또는 CCP) 일부 경우들에서, 압력은 약 5 내지 300 mTorr일 수도 있고, 프로세싱 챔버, 샤워헤드, 기판 지지부, 등의 온도는 약 20 내지 140 ℃일 수도 있고, 그리고 플라즈마는 약 50 내지 300 W의 RF 전력에서 생성될 수도 있다. 예를 들어 플라즈마가 (예를 들어, 때때로 MWS로 지칭되는, 마이크로파 스트립 챔버에서) 리모트로 생성되는 일부 다른 경우들에서, 압력은 최소 약 100 mTorr 내지 최대 약 10 Torr 또는 1 Torr일 수도 있고, 프로세싱 챔버, 샤워헤드, 기판 지지부, 등의 온도는 약 100 내지 300 ℃일 수도 있고, 그리고 플라즈마는 약 500 내지 3000 W의 전력에서 생성될 수도 있다.
플라즈마가 리모트로 생성되는 다양한 실시 예들에서, 다음의 조건들이 사용될 수도 있다. 프로세싱 챔버 내 압력은 약 0.1 내지 1 Torr로 유지되고, 프로세스 가스들은 약 50 내지 5000 sccm의 레이트로 흐르고, 기판은 약 3 내지 30 초의 지속 기간 동안 리모트 플라즈마에 노출되고, 그리고 리모트 플라즈마는 수소-함유 가스 (예를 들어, H2, 또는 N2, Ar, He, Kr, 또는 Xe 중 하나 이상과 조합된 H2) 로부터 생성된다. 예시적인 전력 레벨들, 주파수들, 및 다른 플라즈마 생성 조건들은 상기 및 이하에 더 논의된다.
일부 경우들에서, 플라즈마 처리는 금속 또는 금속 할라이드 종의 제거를 촉진할 수도 있다. 일부 이러한 경우들에서, 플라즈마 처리는 더 휘발성인 종을 형성하도록 오염 종을 변경할 수도 있다. 다른 경우들에서, 플라즈마 처리는 금속 또는 금속 할라이드 종으로부터 더 안정한 종의 형성을 촉진할 수도 있다. 상기 기술된 바와 같이, 더 휘발성인 종의 형성은 기판/챔버로부터 오염 종을 제거함으로써 가스 배출/오염을 감소시킬 수도 있는 한편, 더 안정한 종의 형성은 다운스트림 프로세싱 또는 큐 시간 동안 이러한 종이 휘발할 수 있는 가능성을 감소시킴으로써 가스 배출/오염을 감소시킬 수도 있다.
다양한 구현 예들에서, 플라즈마 생성 가스는 적어도 H2 (예를 들어, 일부 경우들에서 H2/N2, H2/Ar, H2/He 등) 를 포함한다. 순한 H2 플라즈마 처리의 부가는 기판 배면 및 베벨 에지 영역 상의 화학 흡착된 금속 할라이드 (예를 들어, 일부 경우들에서 주석 브로마이드) 의 양의 감소를 가능하게 한다. 이는 기판이 추가 프로세싱을 위해 사용되기 전에, 처리 후 증가된 최대 큐 시간을 허용한다. 최대 큐 시간은 오염 종이 가스 배출하는 레이트 및 오염의 최대 허용 가능한 농도에 기초한다. 일부 경우들에서, 블록 (118) 과 관련하여 이하에 기술된 습식 세정 동작들과 조합하여, H2/N2 또는 H2/불활성 가스로부터 생성된 플라즈마에 대한 기판의 노출은 (예를 들어, 기판 배면 및 베벨 에지 영역 상에) 약 1E10 atoms/㎠ 이하인 금속 농도를 제공한다. 이러한 결과들은 매우 바람직하다. 또한, 이러한 처리들은 기판의 전면 상의 포토레지스트 패턴 및 다른 재료들에 바람직하지 않은 손상을 유발하지 않고 이들 결과들을 달성하도록 도시되었다.
일부 구현 예들에서, 플라즈마 생성 가스는 상기 제공된 것들과 같은 적어도 일 산소-함유 종을 포함한다. 산소-함유 종은 금속 옥사이드를 형성하도록 금속 또는 금속 할라이드와 반응할 수도 있다. 일부 구현 예들에서, 플라즈마 생성 가스는 상기 열거된 것들과 같은 적어도 일 불소-함유 종을 포함한다. 불소-함유 종은 금속 플루오라이드를 형성하기 위해 금속 또는 금속 할라이드 (예를 들어, 일부 경우들에서 금속 브로마이드) 와 반응할 수도 있다. 금속 옥사이드 및 금속 플루오라이드는 이전에 존재하는 오염 종보다 더 안정할 수도 있고, 이에 따라 가스 배출 및 관련 오염의 위험을 감소시킨다.
다양한 실시 예들에서, 블록 (116) 의 처리는 광에 기판을 노출하는 것을 수반한다. 광 노출의 지속 기간은 약 1 내지 120 초일 수도 있다. 일부 경우들에서, 광은 상대적으로 짧은 시간 기간 (예를 들어, 약 60 초 이하) 동안 상대적으로 고온 (예를 들어, 약 250 내지 400 ℃) 에 기판을 노출하는 것을 수반하는 급속 열 어닐링 (rapid thermal anneal) 의 일부로서 제공된다. 특정한 경우들에서, 유사한 급속 열적 어닐링 프로세스가 실질적인 광 노출 없이 제공될 수도 있다. 광은 UV 파장들, 가시 파장들, 및/또는 IR 파장들에서 광을 제공할 수도 있는, 램프 또는 LED들의 집합에 의해 제공될 수도 있다. 일부 특정한 경우들에서, UV 광을 제공하는 램프가 사용된다. 이들 또는 다른 경우들에서, 가시광을 제공하는 LED들이 사용된다. LED들은 기판 지지부 또는 다른 구조체에 제공될 수도 있다. 일부 경우들에서, 광 노출은 이러한 노출 전용 모듈을 사용하여 발생할 수도 있다. 다른 경우들에서, 광 노출은 도 1의 하나 이상의 동작들과 같은 다른 목적들을 위해 또한 사용되는 프로세싱 챔버에서 발생할 수도 있다. 다양한 실시 예들에서, 블록 (116) 의 광 노출은 CA, Fremont 소재의 Lam Research로부터 입수 가능한, LUMIER?? 모듈을 사용하여 행해질 수도 있다. 일부 경우들에서, 블록 (114) 의 PDB 처리는 유사하게 이러한 모듈을 사용하여 발생할 수도 있다. 다른 장치가 또한 사용될 수도 있다.
도 1의 실시 예를 다시 참조하면, 방법은 기판의 배면 및 베벨 에지 영역으로부터 오염을 제거하기 위해 습식 세정이 수행되는 블록 (118) 으로 계속된다. 일반적으로, 블록 (104) 의 습식 세정과 관련하여 상기 제공된 상세들은 또한 블록 (118) 의 습식 세정에 적용될 수도 있다. 블록 (104) 의 습식 세정은 블록 (102) 의 포토레지스트의 증착 동안 발생하는 오염을 타깃팅하는 한편, 블록 (118) 의 습식 세정은 블록 (112) 의 포토레지스트의 현상 동안 발생하는 오염을 타깃팅한다.
일부 실시 예들에서, 블록 (118) 의 습식 세정은 희석된 HF, 희석된 HCl, 또는 표준 세정 1 (SC-1, NH4OH:H2O2:H2O의 혼합물) 중 하나 이상에 기판의 관련 부분들을 노출하는 것을 수반한다. 많은 경우들에서, 2 단계 습식 세정 프로세스가 사용되고, 제 1 단계는 희석된 HF에 대한 기판의 노출을 수반하고, 그리고 제 2 단계는 표준 세정 1 또는 희석된 HCl에 대한 기판의 노출을 수반한다. 희석된 HF는 (중량 기준) 최대 약 49 %일 수도 있고, 이는 상업적으로 입수 가능한 HF 용액에 대응한다. 이 용액은 예를 들어 물로, (체적 기준) 최대 약 1:1000 희석될 수도 있다. 희석된 HCl은 (중량 기준) 최대 약 4 % HCl일 수도 있고 그리고 물로 (체적 기준) 최대 약 1:100, 일부 경우들에서 물로 (체적 기준) 최대 약 1:10 희석될 수도 있다. 습식 세정 단계 각각은 약 20 내지 300 초의 지속 기간을 가질 수도 있다. 기판 및/또는 기판을 처리하기 위해 사용된 용액은 약 15 내지 60 ℃의 온도로 유지될 수도 있다. 용액에 대한 예시적인 플로우 레이트들은 약 1 내지 3 L/min일 수도 있다.
첨부된 도면들에 도시된 실험 결과들은 습식 세정 프로세스가 기판의 배면 상의 금속들/금속 할라이드들의 농도를 감소시키는 데 매우 효과적이고, 이에 따라 이러한 금속들이 가스 배출하고 오염 이슈들을 유발하는 것을 방지한다는 것을 예시한다. 습식 세정 프로세스는 블록 (112) 의 포토레지스트 현상과 관련하여 기술된 최적화들 중 하나 이상, 블록 (114) 의 PDB 처리와 관련하여 기술된 소성 전략들 중 하나 이상, 및/또는 블록 (116) 과 관련하여 기술된 처리 전략들 중 하나 이상과 결합될 때 특히 효과적이다.
금속 가스 배출 및 오염을 최소화하도록 사용될 수도 있는 또 다른 기법은 기판들을 프로세싱하도록 사용된 프로세스 챔버(들)를 주기적으로 세정하는 것을 수반한다. 상기 기술된 바와 같이, 도 1에 기술된 다양한 동작들은 프로세싱 챔버를 각각 포함하는, 하나 이상의 장치에서 수행될 수도 있다. 이들 프로세싱 챔버들 중 일부 또는 전부는 프로세싱 챔버의 내부 표면들로부터 금속-함유 오염을 제거하도록 주기적으로 세정되어야 한다. 이러한 챔버 세정은 나중에 프로세싱된 기판들 상의 오염 종의 재증착을 감소시키는 것을 돕는다. 일부 경우들에서, 챔버 세정은 기판당 1 회만큼 빈번할 수도 있다. 예를 들어, 챔버는 기판 각각이 프로세싱된 후 세정될 수도 있다. 다른 경우들에서, 이 빈도는 예를 들어 2 개 기판들마다, 또는 5 개 기판들마다, 또는 10 개 기판들마다로 더 낮을 수도 있다. 상이한 프로세싱 챔버들은 관련된 챔버에서 발생하는 프로세스들에 따라, 상이한 빈도들의 세정으로부터 이익을 얻을 수도 있다. 건식 챔버 세정을 위한 방법은 전체가 참조로서 본 명세서에 인용된, 2020년 6월 25일 출원된 PCT 출원 번호 제 PCT/US2020/070187 호에서 더 논의된다.
다양한 실시 예들에서, 관련된 프로세싱 챔버를 세정하는 것은 H 라디칼들을 제공하는 플라즈마 및/또는 가스 화학 물질에 챔버를 노출하는 것을 수반한다. H 라디칼들은 금속과 반응하여, 예를 들어 금속 하이드라이드들을 형성한다. 특정한 예에서, 금속은 주석이고 그리고 플라즈마에 대한 챔버의 노출은 SnxHy 종의 형성을 발생시킨다. 챔버 세정은 통상적으로 기판 및 기판 상의 재료들의 손상을 방지하기 위해 챔버 내에 존재하는 기판 없이 발생한다. 일부 경우들에서, 세정은 자동으로 발생하고, 그리고 웨이퍼리스 자동 세정 프로세스 (waferless automatic cleaning process; WAC) 로 지칭될 수도 있다. 챔버 세정 동안 챔버 압력은 약 0.1 내지 10 Torr, 예를 들어 약 0.3 내지 9 Torr일 수도 있다. 압력은 프로세싱 가스가 제공되는 동안 복수의 압력들 사이에서 가변될 수도 있다. 일부 경우들에서, 압력은 더 낮은 압력 (예를 들어, 약 1 Torr 이하, 일부 경우들에서 약 0.5 Torr) 과 더 높은 압력 (예를 들어, 약 5 Torr 이상, 일부 경우들에서 약 9 Torr) 사이에서 가변된다. 압력은 펌핑 및 퍼징 시퀀스의 일부로서 가변될 수도 있다. 예시적인 프로세싱 가스들은 H2, H 라디칼들을 생성하는 다른 수소-함유 종, N2, O2, N2 + O2, Ar, 및 다른 불활성 가스들을 포함할 수도 있지만, 이로 제한되지 않는다. 일부 경우들에서, 챔버는 플라즈마에 노출되지 않고 세정된다. 플라즈마가 사용되는 다른 경우들에서, 플라즈마는 리모트로 생성되고 그리고 세정될 챔버로 전달될 수도 있거나, 세정될 챔버 내에서 인 시츄로 직접 생성될 수도 있다. 일부 실시 예들에서, 플라즈마는 CH4와 O2 또는 NH3와 O2의 혼합물로부터 생성된다. 플라즈마는 하나 이상의 주파수들, 예컨대 약 13.56 ㎑의 저 주파수 및/또는 약 10 ㎒의 고 주파수로 생성될 수도 있다. 다른 주파수들, 예를 들어 400 ㎑, 1 ㎒, 2 ㎒, 27 ㎒, 60 ㎒, 등이 또한 사용될 수도 있다. 플라즈마는 약 300 내지 4000 W의 RF 전력을 사용하여 생성될 수도 있다. 플라즈마는 CW에 대해 약 10 % 사이의 듀티 사이클을 가질 수도 있다. 프로세싱 챔버, 기판 지지부, 샤워헤드, 등은 세정 동안 약 25 내지 220 ℃의 온도로 유지될 수도 있다. 일부 실시 예들에서, 하나 이상의 특정한 열 소스는 챔버가 세정되는 동안 프로세싱 챔버, 기판 지지부, 샤워헤드, 등 중 하나 이상을 가열하도록 사용될 수도 있다. 예를 들어, 일부 경우들에서 IR 열 소스가 사용될 수도 있다. 이들 또는 다른 실시 예들에서, LED 척/기판 지지부가 사용될 수도 있다. 다른 가열 소스들이 적절하게 사용될 수도 있다.
예를 들어 플라즈마가 인 시츄로 생성되는 (예를 들어, TCP 또는 CCP) 일부 경우들에서, 압력은 약 5 내지 300 mTorr일 수도 있고, 프로세싱 챔버, 샤워헤드, 기판 지지부, 등의 온도는 약 20 내지 140 ℃일 수도 있고, 그리고 플라즈마는 약 50 내지 300 W의 RF 전력에서 생성될 수도 있다. 예를 들어, 플라즈마가 (예를 들어, MWS 또는 다른 리모트 플라즈마 챔버에서) 리모트로 생성되는, 일부 다른 경우들에서, 압력은 약 100 mTorr 내지 약 10 Torr일 수도 있고, 프로세싱 챔버, 샤워헤드, 기판 지지부, 등의 온도는 약 100 내지 300 ℃일 수도 있고, 그리고 플라즈마는 약 1000 내지 4000 W, 예를 들어 약 1000 내지 3000 W의 전력에서 생성될 수도 있다.
일부 다른 실시 예들에서, 블록 (104) 과 관련하여 상기 기술된 바와 같은 건식 세정 프로세스가 블록 (118) 의 습식 세정 프로세스 대신에 또는 이에 더하여 사용될 수도 있다.
많은 경우들에서, 본 명세서에 기술된 기법들을 사용하여 처리된 기판은 기판의 배면 및/또는 베벨 에지 영역 상에 약 1E11 atoms/㎠ 이하, 예를 들어 약 1E10 atoms/㎠ 이하의 금속 농도를 제공한다. 다양한 실시 예들에서, 본 명세서에 기술된 기법들은 기판의 배면 및/또는 베벨 에지 영역 상의 금속의 농도를 이러한 기법들의 부재 시 (예를 들어, 블록 (112) 의 현상 동작은 종래의 건식 현상이고 그리고 블록들 (114, 116, 및 118) 의 동작들은 생략됨) 달리 달성될 것보다 10 배, 100 배, 또는 심지어 1000 배 더 낮은 레벨로 감소시키도록 사용될 수도 있다. 일부 경우들에서, 블록들 (114, 116, 및 118) 에 기술된 동작들은 블록 (112) 에서 현상 단계 후에 존재하는 농도와 비교하여, 기판의 배면 및/또는 베벨 에지 영역 상의 금속의 농도를 감소시키도록 동작한다.
일부 경우들에서, 기존의 장치는 본 명세서에 기술된 하나 이상의 프로세스들을 수행하도록 수정될 수도 있다. 예를 들어, (예를 들어, 건식 또는 습식 기법들을 사용하여) 포토레지스트를 현상하기 위해 사용된 장치는 다음의 피처들: (1) 본 명세서에 기술된 상승된 온도들에 도달하도록 구성된 기판 지지부; (2) 화학적 처리 또는 플라즈마 처리를 통해 기판을 처리하기 위해 적절한 가스들을 제공하기 위한 배관 (plumbing); (3) 프로세싱 챔버에 플라즈마를 제공하도록 구성된 플라즈마 생성기; (4) 기판 상에서 UV 복사선, 가시 복사선, 및/또는 IR 복사선을 제공하도록 구성된 하나 이상의 광원; 및/또는 (5) 본 명세서에 기술된 임의의 방법들을 유발하도록 구성된 제어기 중 임의의 하나 이상의 피처들을 포함하도록 수정될 수도 있다. 유사하게, 기판을 소성하기 위해 사용된 장치는 이들 피처들 중 임의의 하나 이상의 피처들을 포함하도록 수정될 수도 있다.
도 1을 참조하면, 일부 실시 예들에서 포토레지스트는 제 1 프로세싱 챔버에서 블록 (112) 에서 현상되고, PDB 처리는 제 2 프로세싱 챔버에서 블록 (114) 에서 수행되고, 블록 (116) 의 화학적, 플라즈마, 및/또는 광 처리는 제 3 프로세싱 챔버에서 수행되고, 그리고 습식 세정은 제 4 프로세싱 챔버에서 수행된다. 다른 실시 예들에서, 이들 단계들 중 일부는 단일 프로세싱 챔버에서 결합된다. 예를 들어, 블록 (112) 에서 포토레지스트를 현상하고 블록 (114) 에서 PDB를 수행하는 것은 제 1 프로세싱 챔버에서 발생할 수도 있고, 블록 (116) 의 처리는 제 2 챔버에서 발생할 수도 있고, 그리고 습식 세정은 제 3 챔버에서 발생할 수도 있다. 또 다른 실시 예에서, 블록 (112) 에서 포토레지스트를 현상하고, 블록 (114) 에서 PDB를 수행하고, 그리고 블록 (116) 에서 처리를 수행하는 것은 모두 제 1 챔버에서 발생하고, 그리고 블록 (118) 의 습식 세정은 제 2 챔버에서 발생한다. 블록 (114) 의 PDB를 수행하도록 사용된 챔버는 블록 (106) 의 PAB 및/또는 블록 (110) 의 PEB를 수행하도록 사용된 동일하거나 상이한 챔버일 수도 있다. 다양한 실시 예들에서, 본 명세서에 기술된 챔버들 중 임의의 2 개 이상의 챔버들은 복수의 목적들을 제공하는 (serve) 멀티-챔버 장치/툴에서 결합될 수도 있다. 적절한 기판 핸들링 장비, 로드 록들, 등이 필요에 따라 챔버들 사이에서 기판을 이송하도록 제공될 수도 있다. 또한, 제어기가 본 명세서에 기술된 바와 같이 프로세스 동작들을 제어하도록 제공될 수도 있다. 특정한 실시 예에서, 멀티-챔버 장치는 건식 프로세싱 (예를 들어, 블록들 (112, 114, 및 116) 의 동작들 중 하나 이상을 수행하기 위한 증기-기반/플라즈마-기반 프로세싱) 을 위해 구성된 적어도 일 챔버 및 (예를 들어, 블록 (118) 의 세정 동작을 수행하도록) 습식 프로세싱을 위해 구성된 적어도 일 챔버를 포함한다.
도 2a 내지 도 2d는 습식 세정 기법들을 사용하는 배면 및 베벨 에지 세정의 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다. 이들 기법들은 예를 들어 블록들 (104 및 118) 과 관련하여 기술된 습식 세정 기법들과 관련하여 사용될 수도 있다.
도 2a에 도시된 바와 같이, EUV 레지스트 재료는 기판의 전면, 배면, 및 베벨 에지 상에 증착될 수도 있다. 상기 주지된 바와 같이, 이러한 증착은 습식 스핀-온 기법들 또는 건식 증기/플라즈마-기반 기법들을 통해 발생할 수도 있다. 배면 및 베벨 에지 상에 증착된 EUV 레지스트 재료 및 관련 금속성 및 금속 할라이드 오염은 기판의 전면 상의 오염 및 다운스트림 툴들의 오염 가능성을 상승시킨다. 이러한 EUV 레지스트 재료 및 금속-함유 오염은 바람직하지 않다. 기판의 배면 및 베벨 에지로부터 EUV 레지스트 재료 및 금속-함유 오염을 제거하는 것이 바람직하다. 일부 예들에서, 기판의 전면의 주변부에 증착된 EUV 레지스트 재료를 포함하여, 기판의 전면 상에서 증착된 일부 EUV 레지스트 재료 또는 다른 금속-함유 오염을 제거하는 것이 바람직하다.
도 2b에 도시된 바와 같이, 기판의 베벨 에지 상에 증착된 원치 않은 재료는 습식 베벨 에지 세정에 의해 제거된다. 표준 에지 비드 제거 프로세스에서, PGME, PGMEA, 또는 2-헵타논과 같은 유기 용매가 제 1 프로세스 챔버 (챔버 1) 내 베벨 에지 상에 증착된 EUV 레지스트 재료를 제거하도록 디스펜싱된다. 제 1 프로세스 챔버는 스핀-세정 툴일 수도 있다. 유기 용매는 약 20 ℃와 같은 저온/약한 온도로 디스펜싱될 수도 있다. 인화성인 용매들의 모든 가열은 심각한 화재/폭발 위험을 야기한다 (introduce). 기판은 선택 가능하게 제 2 프로세스 챔버 (챔버 2) 로 진행하기 전에 린스/건조 동작들을 겪는다.
도 2c에 도시된 바와 같이, 기판의 배면 상에서 증착된 원치 않은 재료는 습식 배면 세정에 의해 제거된다. 습식 배면 세정은 제 2 프로세스 챔버에서 수행될 수도 있다. 제 2 프로세스 챔버는 기판의 배면을 세정할 수 있는 또 다른 스핀-세정 툴일 수도 있다. 예를 들어, 습식 배면 세정은 dHF, dHCl, 희석된 황산, 또는 SC-1과 같은 세정제들을 채용할 수 있다. 세정제는 약 20 ℃와 같은 저온/약한 온도로 디스펜싱될 수도 있다. 습식 배면 세정은 또한 베벨 에지 영역 상의 재료를 제거할 수도 있지만, 이는 통상적으로 베벨 에지 영역 상의 재료의 균일하거나 완전한 제거에 효과적이지 않다. 따라서, 배면 세정들 및 베벨 에지 세정들은 때때로 제 1 프로세스 챔버와 제 2 프로세스 챔버 사이에서 분리된다. 기판은 제 3 프로세스 챔버 (챔버 3) 로 진행하기 전에 린스/건조 동작들을 겪는다.
도 2d에 도시된 바와 같이, 기판은 선택 가능한 PAB 열적 처리를 겪도록 제 3 프로세스 챔버로 이송된다. 일부 실시 예들에서, 제 3 프로세스 챔버는 오븐이거나 핫 플레이트를 포함하고 이에 의해 기판이 상승된 온도에 노출된다. PAB 열적 처리는 기판 온도를 약 90 ℃ 내지 200 ℃와 같은 상승된 온도로 상승시킨다. 이는 EUV 노출을 위해 기판의 전면 상의 EUV 레지스트 재료의 리소그래피 특성들을 안정화시킨다. PAB 열적 처리는 건식 처리이다.
습식 배면 및 베벨 에지 세정 기법들과 대조적으로, 건식 배면 및 베벨 에지 세정 기법들은 더 저렴하고 환경적으로 더 안전할 수도 있다. 건식 배면 및 베벨 에지 세정 기법들은 건식 프로세싱 단계들이 더 적은 툴들/챔버들에서 수행될 수도 있도록 챔버들을 통합할 수도 있다. 건식 배면 및 베벨 에지 세정 기법들은 습식 배면 및 베벨 에지 세정 기법들과 관련된 불균일성 이슈들을 해결할 수도 있다.
일부 경우들에서, 건식 배면 및 베벨 에지 세정 기법들은 기판의 배면 및 베벨 에지로부터 재료를 제거하도록 플라즈마를 채용한다. 기존 하드웨어는 재료를 제거하기 위해 기판의 배면 및 베벨 에지에 플라즈마를 한정할 (confine) 수도 있다. 일부 다른 경우들에서, 건식 배면 및 베벨 에지 세정은 플라즈마를 스트라이킹하지 (strike) 않고 달성될 수도 있다. 예를 들어, 건식 배면 및 베벨 에지 세정은 기판의 배면 및 베벨 에지로부터 재료 (예를 들어, EUV 레지스트 재료) 를 제거하기 위해 기판의 특정한 영역들에 한정된 에칭 가스를 활용한다. 건식 배면 및 베벨 에지 세정은 배면 및 베벨 에지에서 재료의 비-선택적 제거를 촉진하도록 상승된 온도에 기판을 노출한다.
도 3a 내지 도 3c는 일부 실시 예들에 따른 포토레지스트 재료의 건식 배면 및 베벨 에지 세정의 다양한 프로세싱 스테이지들의 단면 개략도들을 도시한다. 포토레지스트 재료 (예를 들어, EUV 레지스트 재료) 의 증착은 습식 증착 기법 또는 건식 증착 기법을 사용하여 수행될 수도 있다. 습식 증착 기법들은 스핀-코팅을 포함한다. 건식 증착 기법들은 CVD (chemical vapor deposition) 또는 ALD (atomic layer deposition) 를 포함한다.
도 3a에 도시된 바와 같이, EUV 레지스트 재료 및 관련 금속 및 금속 할라이드 오염은 기판의 전면, 배면, 및 베벨 에지 상에 증착될 수도 있다. 배면 및 베벨 에지 상에 증착된 원치 않은 재료는 기판의 전면 상의 오염 및 다운스트림 툴들의 오염 가능성을 상승시킨다. 기판의 배면 및 베벨 에지로부터 원치 않은 재료를 제거하는 것이 바람직하다. 일부 예들에서, 기판의 전면의 주변부에 증착된 EUV 레지스트 재료 및 관련 금속성 및 금속 할라이드 오염을 포함하여, 기판의 전면 상에서 증착된 일부 원치 않은 재료를 제거하는 것이 바람직하다. 예를 들어, 전면에서 에지로부터 약 수 밀리미터 (예를 들어, 약 1.5 ㎜) 원치 않은 재료를 제거하는 것이 바람직할 수도 있다. 일부 실시 예들에서, EUV 레지스트 재료는 유기-금속-함유 레지스트 재료 또는 유기-금속 옥사이드이다. EUV 레지스트 재료는 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 요오드, 및 게르마늄으로 구성된 그룹으로부터 선택된 원소를 포함할 수도 있다. 원치 않은 금속성 또는 금속 할라이드 오염은 EUV 레지스트 재료의 금속과 할로겐-기반 화학 물질 사이의 반응으로부터 발생할 수도 있다. EUV 레지스트 재료의 금속은 높은 패터닝 복사-흡수 단면을 가질 수도 있다. 일부 실시 예들에서, 이 원소는 높은 EUV-흡수 단면을 가질 수도 있다. 일부 실시 예들에서, EUV 레지스트 재료는 일반적으로 Sn, O, 및 C로 구성될 수도 있다. 예를 들어, EUV 레지스트 재료는 유기주석 옥사이드를 포함한다.
도 3b에 도시된 바와 같이, 기판의 배면 및 베벨 에지 상에 증착된 EUV 레지스트 재료는 건식 세정에 의해 제거된다. 건식 세정은 기판의 배면 및 베벨 에지를 에칭 가스에 노출할 수도 있다. 일부 실시 예들에서, 에칭 가스는 수소 할라이드, 수소 가스, 수소 가스 및 할라이드 가스, 또는 붕소 트리클로라이드 (BCl3) 이다. 일 예에서, 에칭 가스는 HCl, HBr, 또는 HI와 같은 수소 할라이드이다. 또 다른 예에서, 에칭 가스는 수소 가스 (H2) 이다. 또 다른 예에서, 에칭 가스는 H2와 Cl2, Br2, 또는 I2의 혼합물이다. 여전히 또 다른 예에서, 에칭 가스는 BCl3이다. 본 개시는 임의의 특정한 이론 또는 동작 메커니즘으로 제한되지 않지만, 일부 경우들에서 접근법은 증기들을 사용하여 휘발성 생성물들을 형성하기 위해 세정 화학 물질 (예를 들어, HCl, HBr, HI, H2, 및 Cl2, Br2, 또는 I2, BCl3) 과 EUV 포토레지스트 재료들 및 관련 오염의 화학적 반응성을 활용하는 (leverage) 것으로 이해된다. EUV 포토레지스트 재료들 및 관련 오염은 다양한 온도들에서 증기들 및/또는 플라즈마를 사용하여 처리 및/또는 제거될 수도 있다. 더 높은 온도들, 압력들, 및/또는 반응 물질 플로우는 반응성을 더 가속화하거나 향상시킬 수 있다고 여겨진다. 일부 실시 예들에서, EUV 레지스트 재료 및/또는 관련 오염은 최대 1 ㎚/s의 에칭 레이트들로 제거될 수 있다. 일부 실시 예들에서, 에칭 가스는 리모트 플라즈마 소스에 의해 활성화된다. 이는 반응성을 더 가속화하거나 향상시킬 수도 있다. 일부 실시 예들에서, 에칭 가스는 아르곤, 헬륨, 질소, 또는 다른 적합한 캐리어 가스와 같은 캐리어 가스와 함께 전달된다.
일부 실시 예들에서, 포토레지스트 재료는 EUV 레지스트 재료가 아니라 실리콘-기반 재료 또는 탄소-기반 재료이다. 이러한 재료들의 제거를 위한 에칭 가스는 EUV 레지스트 재료의 제거를 위한 것과 상이할 수도 있다. 일부 실시 예들에서, 에칭 가스는 탄소-기반 재료들의 제거를 위해 O2, CO2, N2O, 등과 같은 산화 가스를 포함한다. 일부 실시 예들에서, 에칭 가스는 실리콘-기반 재료들의 제거를 위해 CxFy 또는 CxFyHz와 같은 불소-기반 가스 또는 염소-기반 가스를 포함한다.
에칭 가스를 기판의 배면 및 베벨 에지로 제한하도록 불활성 커튼 가스가 기판의 전면 상에서 전달될 수도 있다. 커튼 가스는 질소 (N2), 산소 (O2), 물 (H2O), 아르곤 (Ar), 헬륨 (He), 크세논 (Xe), 네온 (Ne), 또는 이들의 혼합물들과 같은 가스들을 포함할 수도 있다. 커튼 가스는 에칭 가스로부터 기판의 전면의 적어도 중앙 영역들을 보호하도록 기판의 전면 상에서 흐른다. 커튼 가스가 전면으로 흐름에 따라, 커튼 가스는 전면에 걸쳐 확산되어, 전면 상에서 증착된 EUV 레지스트 재료를 보호한다.
커튼 가스는 에칭 가스와 동시에 흐를 수도 있다. 제 1 에칭 가스 플로우가 기판의 배면으로 도입될 수도 있다. 제 1 에칭 가스 플로우는 기판의 배면에 걸쳐 확산될 수도 있고, 기판의 배면은 기판이 캐리어 링 상의 MCA 지지부들에 의해 지지될 때 액세스 가능할 수도 있다. 일부 실시 예들에서, 제 2 에칭 가스 플로우가 기판의 전면의 주변부로 도입될 수도 있다. 제 2 에칭 가스 플로우는 전면의 주변부를 따라 흐를 수도 있고 기판의 베벨 에지 둘레를 랩핑할 (wrap) 수도 있다. 제 1 에칭 가스 플로우는 기판 지지부 아래에 포지셔닝된 하나 이상의 하단 가스 유입구들로부터 도입될 수도 있고, 제 2 에칭 가스 플로우는 기판 지지부 위에 포지셔닝된 가스 분배기의 하나 이상의 주변 가스 유입구들로부터 도입될 수도 있다. 가스 분배기는 하나 이상의 주변 가스 유입구들을 갖는 모듈형 링을 포함할 수도 있다. 모듈형 링은 하나 이상의 주변 가스 유입구들과 기판의 전면 사이의 간격을 조절할 수도 있다. 일부 실시 예들에서, 커튼 가스는 가스 분배기의 하나 이상의 중앙 가스 유입구들로부터 흐르고, 전면으로부터 하나 이상의 주변 가스 유입구들을 분리하는 제 1 갭은 하나 이상의 중앙 가스 유입구들을 전면으로부터 분리하는 제 2 갭보다 더 크다.
기판은 건식 세정 동안 상승된 온도로 가열될 수도 있고, 상승된 온도는 약 20 ℃ 내지 약 170 ℃, 약 20 ℃ 내지 약 140 ℃ 약 40 ℃ 내지 약 140 ℃, 또는 약 100 ℃이다. 일부 실시 예들에서, 건식 세정은 상승된 압력에서 수행될 수도 있다. 프로세스 챔버 내 압력은 약 0.02 Torr 내지 대기압, 0.1 Torr 내지 대기압, 또는 약 1 Torr 내지 대기압일 수도 있다. 일부 실시 예들에서, 건식 세정은 고 플로우 레이트의 에칭 가스로 수행될 수도 있다. 에칭 가스 플로우 레이트는 약 50 sccm 내지 약 10000 sccm, 약 100 sccm 내지 약 10000 sccm, 또는 약 200 sccm 내지 약 5000 sccm일 수도 있다. 습식 세정 기법들과 달리, 본 개시의 비-플라즈마 열 세정 기법은 에칭 레이트를 제어하기 위해 온도, 압력, 및 가스 플로우 레이트와 같은 프로세스 파라미터들을 튜닝할 수 있다. 더 높은 온도 및/또는 압력 및 플로우 레이트를 갖는 노출되지 않은 EUV 레지스트 재료를 제거하기 위해 높은 에칭 레이트가 달성될 수도 있다.
배면 세정 및 베벨 에지 세정 모두는 별도의 프로세스 챔버들에서 보다는 제 1 프로세스 챔버 (챔버 1) 내에서 수행된다. 이는 그렇지 않으면 세정 동작들 사이에서 발생할 수도 있는 툴들의 오염 가능성을 감소시킨다. 단일 툴에서 본질적으로 복수의 프로세스 단계들에 대해 단일 패스가 수행될 수도 있다. 이는 또한 비용을 감소시키고 쓰루풋을 증가시킨다. 본 개시의 건식 배면 및 베벨 에지 세정에서 습식 세정 또는 린스/건조 동작들이 수행되지 않는다.
일부 실시 예들에서, 건식 배면 및 베벨 에지 세정은 에칭 가스에 대한 노출에 이어 퍼징하는 것을 포함한다. 퍼징은 제 1 프로세스 챔버로부터 잔류 에칭 가스를 펌핑/퍼징하도록 퍼지 가스를 도입한다. 퍼징은 기판 이송 동안 기판의 전면의 원치 않은 에칭을 방지하기 위해 프로세스 챔버로부터 잔류 에칭 가스들 또는 에칭 부산물들을 제거하는 데 유용할 수도 있다는 것이 이해될 것이다. 퍼징은 불활성 가스 및/또는 반응성 가스를 흘릴 수도 있다. 반응성 가스는 제거의 용이성을 용이하게 하도록 잔류 에칭 가스와 반응할 수도 있다. 반응성 가스는 예를 들어, 유기주석 전구체와 같은 주석-기반 전구체일 수도 있다. 불활성 가스는 Ar, He, Ne, Xe, 또는 N2일 수도 있다. 챔버 압력은 약 0.1 Torr 내지 약 6 Torr일 수도 있다. 퍼지 가스 플로우는 약 10 sccm 내지 약 10000 sccm 또는 약 50 sccm 내지 약 5000 sccm일 수도 있다. 일부 실시 예들에서, 펌핑/퍼징은 약 20 ℃ 내지 약 140 ℃ 또는 약 80 ℃ 내지 약 120 ℃와 같은 고온에서 진행될 수도 있다. 고온은 제 1 프로세스 챔버로부터 잔류 에칭 가스의 제거를 용이하게 할 수도 있다. 일부 실시 예들에서, 챔버 벽들 및 다른 컴포넌트들은 잔류 에칭 가스를 방출하도록 가열될 수도 있다. 잔류 에칭 가스 (예를 들어, 할라이드 가스 또는 할라이드-함유 가스) 는 펌핑/퍼징 동안 배기 라인을 통해 배기될 수도 있다. 일부 실시 예들에서, 펌핑/퍼징 동작은 또한 탈할로겐화로 지칭될 수도 있다. 할라이드들은 챔버 벽들, 챔버 컴포넌트들, 또는 웨이퍼들에 쉽게 부착될 (stick) 수도 있다. 할라이드들이 웨이퍼에 부착되면, EUV 스캐닝 동안 웨이퍼로부터 방출되는 할라이드들 (예를 들어, 브롬) 의 증가된 위험이 있어서,
스캐너를 부식시키거나 손상시킨다.
일부 실시 예들에서, 건식 배면 및 베벨 에지 세정의 지속 기간은 약 10 초 내지 약 150 초이다. 일부 실시 예들에서, 배면 및 베벨 에지 세정의 엔드포인트는 하나 이상의 센서들에 의해 검출된다. 이 하나 이상의 센서들은 기판의 배면 및 베벨 에지 상의 EUV 레지스트 증착물들의 존재 또는 부재를 검출할 수도 있다. 이 하나 이상의 센서들은 IR 센서 및/또는 광학 센서를 포함할 수도 있다.
도 3c에 도시된 바와 같이, 기판은 선택 가능한 PAB 열적 처리에 노출된다. 일부 실시 예들에서, PAB 열적 처리는 건식 배면 및 베벨 에지 세정과 동일한 프로세스 챔버 (즉, 제 1 프로세스 챔버) 에서 수행된다. 이러한 방식으로, 건식 배면 및 베벨 에지 세정은 PAB 열적 처리와 통합된다. 이는 오염 가능성을 더 감소시키고, 비용을 감소시키고, 쓰루풋을 증가시킬 수도 있다. 이는 리소그래피 성능에 최소의 영향 또는 긍정적인 영향을 줄 수도 있다. 일부 실시 예들에서, PAB 열적 처리는 건식 배면 및 베벨 에지 세정과 상이한 제 2 프로세스 챔버 (챔버 2) 에서 수행된다. PAB 처리는 건식 처리이다.
PAB 열적 처리는 약 100 ℃ 내지 약 170 ℃ 또는 약 120 ℃ 내지 약 150 ℃와 같은 상승된 온도로 기판 온도를 상승시킨다. 일부 실시 예들에서, 기판 온도는 IR 램프 또는 하나 이상의 LED들과 같은 복사 열 소스를 사용하여 제어될 수도 있다. 복사 열 소스는 기판 아래에 포지셔닝될 수도 있다. 대안적으로, 복사 열 소스는 기판 위에 포지셔닝될 수도 있다. 기판 온도는 복사 열 소스를 사용하여 확립된 피드백 제어 루프의 고온계 (pyrometer) 에 의해 능동적으로 제어될 수도 있다. PAB 열적 처리 동안 분위기는 N2, Ar, He, Xe, 또는 Ne와 같은 불활성 가스들을 흘림으로써 제어될 수도 있고, 여기서 불활성 가스들은 O2 및/또는 H2O와 혼합될 수도 있다. 불활성 가스들의 플로우 레이트는 약 10 sccm 내지 약 10000 sccm 또는 약 50 sccm 내지 약 5000 sccm일 수도 있다. PAB 열적 처리 동안 압력은 약 0.02 Torr 내지 대기압, 약 0.1 Torr 내지 대기압, 또는 약 1 Torr 내지 대기압으로 제어될 수도 있다.
장치
본 개시는 본 명세서에 기술된 방법들을 달성하기 위해 다양한 하드웨어 구현 예들을 제공한다. 많은 경우들에서, 도 1에 기술된 2 개 이상의 동작들은 동일한 프로세싱 챔버에서 발생할 수도 있다. 다양한 실시 예들에서, 적어도 2 개의 프로세싱 챔버들이 제공되고, 하나는 건식 프로세스들을 수행하도록 구성되고 다른 하나는 습식 프로세스들을 수행하도록 구성된다. 이러한 챔버들은 본 명세서에 기술된 바와 같이 단일 툴 상에 결합될 수도 있다.
도 4는 일부 실시 예들에 따른 건식 배면 및 베벨 에지 세정을 수행하기 위한 프로세스 챔버의 개략적인 예시를 도시한다. 건식 배면 및 베벨 에지 세정을 수행하기 위한 장치 또는 툴은 프로세스 챔버를 포함할 수도 있다. 프로세스 챔버는 배면 세정 및 베벨 에지 세정 모두를 수행할뿐만 아니라, PAB 처리 증착, PEB 처리, EUV 노출, PDB 처리, 화학적/플라즈마/광 처리, 건식 현상, 등과 같은 하나 이상의 부가적인 건식 프로세싱 기법도 수행하도록 통합될 수도 있다. 장치는 기판을 지지하기 위해 프로세스 챔버 내에 기판 지지부를 포함할 수도 있다. 일부 실시 예들에서, 기판 지지부는 기판의 전면, 배면, 및 베벨 에지 상에 재료 (예를 들어, EUV 레지스트 재료) 의 증착 후 기판을 수용할 수도 있다. 복수의 최소 콘택트 면적 (MCA) 은 에칭 가스가 기판의 배면에 액세스할 수 있도록 기판을 상승시키기 위해 기판 지지부의 주 표면으로부터 연장하도록 구성될 수도 있다. 장치는 커튼 가스를 기판의 전면으로 전달하기 위해 기판 지지부 위에 그리고 프로세스 챔버에 커플링된 가스 분배기를 더 포함한다. 장치는 에칭 가스를 기판의 배면으로 전달하기 위해 기판 지지부 아래에 그리고 프로세스 챔버에 커플링된 에칭 가스 전달 소스를 더 포함한다. 장치는 기판 지지부 아래에 복사 열 소스와 같은 열 소스를 더 포함할 수도 있다.
기판 지지부는 캐리어 링을 포함할 수도 있다. 캐리어 링은 기판을 지지하기 위한 환형 바디를 가질 수도 있다. 도 5a는 일부 실시 예들에 따른 프로세스 챔버에서 기판을 지지하기 위한 캐리어 링의 사시도를 도시한다. 반도체 산업계에서 기판은 통상적으로 200 ㎜, 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 캐리어 링의 외경은 기판의 직경보다 더 크고 그리고 환형 바디의 내경은 기판의 직경보다 더 작다. 내경은 약 280 ㎜ 이하, 약 240 ㎜ 이하, 또는 약 200 ㎜ 이하일 수도 있다. 즉, 기판은 약 140 ㎜ 이하의 반경을 갖는 링에 의해 파지될 (grip) 수도 있다. 복수의 MCA 지지부들은 기판의 배면에 콘택트하도록 캐리어 링의 주 표면으로부터 연장할 수도 있다. 일부 실시 예들에서, 복수의 MCA 지지부들은 캐리어 링의 중심을 중심으로 대칭적으로 배치될 (arrange) 수도 있다. 예를 들어, 복수의 MCA 지지부들은 3 개의 MCA 지지부들, 4 개의 MCA 지지부들, 5 개의 MCA 지지부들, 6 개의 MCA 지지부들, 또는 그 이상을 포함할 수도 있다. MCA 지지부들은 핀들일 수도 있다. 복수의 MCA 지지부들은 임의의 적합한 절연 재료를 포함할 수도 있다. 절연 재료는 기판의 스크래칭을 방지하기 위해 퍼플루오로알콕시 알칸 (perfluoroalkoxy alkane; PFA) 과 같은 연성 재료일 수도 있다. 도 5b는 일부 실시 예들에 따른 기판의 배면을 지지하고 콘택트하는 캐리어 링의 단면 개략도를 도시한다.
MCA 지지부들의 포지션은 배면 증착을 갖는 기판과의 콘택트를 방지하도록 선행하는 증착 프로세스에 최적화될 수도 있다. 달리 말하면, 복수의 MCA 지지부들은 배면 증착 (예를 들어, 포토레지스트 증착물들) 이 거의 없거나 전혀 없는 기판의 배면의 영역들과 콘택트하도록 구성될 수도 있다. 이 배치는 배면 증착이 거의 없거나 전혀 없는 하나 이상의 이전 증착 동작들로부터 확인된 데이터 또는 지식에 기초하여 결정될 수도 있다. 예를 들어, MCA 지지부들은 기판의 에지보다 기판의 중심에 더 가까운 영역들에서 기판의 배면과 콘택트할 수도 있다. 동시에, MCA 지지부들의 포지션은 에칭 가스가 배면 증착으로 영역들에 액세스하는 것을 방지하지 않는다.
복수의 MCA 지지부들은 기판의 배면과의 최소 콘택트를 제공한다. 복수의 MCA 지지부들은 기판의 배면에 걸쳐 가스 플로우를 허용하는 높이로 캐리어 링의 주 표면 위로 기판을 상승시킬 수도 있다. 일부 실시 예들에서, 높이는 약 0.025 ㎜ 내지 약 0.5 ㎜ 또는 약 0.05 ㎜ 내지 약 0.25 ㎜이다. 일부 실시 예들에서, MCA 지지부들은 기판 지지부의 주 표면으로부터 연장 가능 (extendable)/수축 가능 (retractable) 하다. 일부 실시 예들에서, 높이는 갭 사이즈가 제어되도록 조정 가능하다. 일부 실시 예들에서, 기판의 배면은 MCA 지지부들 및 기판에 의해 직접적으로 터치된 (touch) 영역을 세정할 수 있도록 시프팅 메커니즘 또는 회전 메커니즘을 갖는 MCA 지지부들에 의해 지지된다. 에칭 가스는 MCA 지지부와 직접 콘택트하는 영역에 액세스함으로써 차단될 수도 있다. 이 영역은 기판에 비해 매우 작지만, 여전히 용인할 수 없이 많은 금속 오염을 가질 수도 있다. 따라서, 이 영역도 세정되어야 한다. 즉, MCA 지지부들은 기판의 배면의 상이한 지점들과 콘택트하도록 포지션들을 시프팅하거나 회전시킬 수도 있다. 시프팅 메커니즘은 기판 이송 동안 사용되는 리프트 핀들에 통합될 수도 있다. MCA 지지부들에 의해 터치된 영역을 제외하고 전체 기판을 세정하는 세정의 제 1 부분 후에, 캐리어 링은 리프트 핀들 상으로 기판을 하강시킬 수도 있다. 리프트 핀들은 MCA 영역 수십 ㎛만큼 기판을 이동시킨다. 그 후 캐리어 링은 프로세스 포지션으로 다시 이동하고 그리고 제 2 세정은 MCA 지지부들에 의해 처음으로 터치된 영역들을 세정하기 위해 수행된다. 일부 실시 예들에서, 기판의 배면은 MCA 지지부들의 섹션에 의해 지지되고, 캐리어 링은 각각 X 개의 MCA 지지부들 2 개 이상의 섹션들로 분할되고, 여기서 X는 임의의 정수 값이다. 이 경우에 세정 프로세스는 몇몇 시간 단계들로 분할될 수도 있다. 시간 단계 각각 동안 분할된 링의 부품들 중 하나 이상이 기판 표면으로부터 멀어지게 이동되어 그 섹션에서 세정을 가능하게 한다. 모든 섹션들은 적어도 세정 동안 한번 리프팅/세정되어야 한다. 최소 수의 섹션(들)은 기판이 프로세스 포지션에 안전하게 홀딩되도록 제자리에 유지되어야 한다. 예를 들어, 캐리어 링은 각각 3 개의 핀들의 2 개의 섹션들로 분할될 수도 있다. 캐리어 링 및 복수의 MCA 지지부들은 기판의 배면에서 에칭 가스 플로우를 조절하는 방식으로 구성될 수도 있다. 구체적으로, MCA 지지부들의 높이, 캐리어 링의 내경, MCA 지지부들의 포지셔닝, 및 캐리어 링의 다른 양태들은 기판의 전면의 특정한 영역들이 아니라 배면 및 베벨 에지 모두가 에칭되는 것을 보장하도록 상단부로부터 커튼 가스와 하단부로부터 에칭 가스 사이의 가스 플로우를 조절하도록 설계될 수도 있다.
도 4를 다시 참조하면, 에칭 가스 전달 소스 및 복사 열 소스는 기판 지지부 (예를 들어, 캐리어 링) 아래에 포지셔닝될 수도 있다. 에칭 가스 전달 소스는 기판의 배면으로 에칭 가스를 전달하기 위한 하나 이상의 하단 가스 유입구들 또는 노즐들을 포함할 수도 있다. 복사 열 소스는 기판의 배면으로부터 이격될 수도 있지만 복사 가열에 의해 상승된 온도로 기판을 가열할 수도 있다. 복사 열 소스는 제어된 램프 능력, 펄싱, 및 온도의 급속한 변화들을 제공할 수도 있다. 일부 실시 예들에서, 복사 열 소스는 하나 이상의 IR 램프들 또는 하나 이상의 LED들을 포함한다. 온도의 신속한 변화들을 가능하게 하기 위해 열 소스는 1 내지 10 ㎾ 범위 내일 수도 있다. 일부 실시 예들에서, 기판 지지부는 회전하도록 구성될 수도 있다. 기판 온도의 제어 가능성을 위해, 하나 이상의 IR 램프들 또는 하나 이상의 LED들은 기판의 다양한 영역들의 제어된 가열을 위해 존들로 분리될 수도 있다. 부가적으로, 하나 이상의 램프들 또는 하나 이상의 LED들은 각각 독립적으로 제어 가능할 수도 있다. LED들을 펄싱함으로써, 웨이퍼의 온도 램프 업 (ramp up) 이 제어될 수 있다. 복사 열 소스는 또한 미광 (stray light) 이 기판 의 전면에 도달하는 것을 차단하도록 기능할 (serve) 수도 있다. 일부 실시 예들에서, 에칭 가스 전달 소스는 복사 열 소스를 통한 하나 이상의 홀들을 포함한다. 일부 실시 예들에서, 에칭 가스 전달 소스는 복사 열 소스 외부에 포지셔닝된 하나 이상의 홀들을 포함한다. 하나 이상의 홀들의 포지셔닝은 기판의 배면 상의 에칭 가스 플로우의 균일성이 기판의 배면 상의 재료의 제거에 중요하지 않기 때문에 중요하지 않을 수도 있다. 따라서, 에칭 가스 전달 소스는 에칭 가스가 기판의 배면에 도달하거나 그렇지 않으면 액세스할 수 있도록 임의의 방식으로 포지셔닝될 수도 있다.
가스 분배기가 기판의 전면으로 커튼 가스를 전달하기 위해 기판 지지부 위에 포지셔닝된다. 가스 분배기는 기판의 전면의 중심에서 커튼 가스 플로우를 지향시키기 위한 하나 이상의 중앙 가스 유입구들을 포함할 수도 있다. 일부 실시 예들에서, 가스 분배기는 기판의 전면의 주변부에서 에칭 가스 플로우를 지향시키기 위한 하나 이상의 주변 가스 유입구들을 포함할 수도 있다. 기판의 전면의 주변부는 기판의 전면의 15 % 이하, 10 % 이하, 또는 5 % 이하의 면적을 점유할 수도 있다는 것이 이해될 것이다. 일부 실시 예들에서, 가스 분배기는 상단 플레이트의 중앙 영역에 배치된 복수의 홀들 및 상단 플레이트의 주변 영역에 배치된 복수의 홀들을 갖는 상단 플레이트를 포함한다. 일부 실시 예들에서, 가스 분배기는 상이한 직경들의 모듈형 링들을 포함한다. 일부 예들에서, 모듈형 링들은 상이한 형상들을 가질 수도 있다. 에칭 가스는 모듈형 링들 중 일 모듈형 링을 통해 전달될 수도 있고, 그리고 커튼 가스는 모듈형 링들 중 또 다른 모듈형 링을 통해 전달될 수도 있다. 따라서, 가스 분배기는 하나 이상의 주변 가스 유입구들을 위한 적어도 일 모듈형 링을 포함하고, 적어도 일 모듈형 링은 기판의 전면으로부터 하나 이상의 주변 가스 유입구들의 간격을 조절하도록 구성된다. 베벨 에지에서의 제거는 모듈형 링 내의 하나 이상의 주변 가스 유입구들의 간격을 조절함으로써 조절될 수 있다. 부가적으로 또는 대안적으로, 가스 분배기는 기판의 베벨 에지에서 에칭 가스 플로우를 지향시키기 위한 하나 이상의 노즐들을 포함한다.
가스 분배기는 기판의 전면으로부터 하나 이상의 주변 가스 유입구들을 분리하는 제 1 갭이 기판의 전면으로부터 하나 이상의 중앙 가스 유입구들을 분리하는 제 2 갭보다 더 크도록 구성될 수도 있다. 일부 실시 예들에서, 제 1 갭은 제 2 갭보다 적어도 2 배 더 크다. 제 2 갭은 기판의 전면 상의 EUV 레지스트 막을 터치하지 않고 가능한 한 작을 수도 있다. 도 4에 도시된 바와 같이, 가스 분배기는 계단형 (stepped) 설계를 가질 수도 있다. 이러한 방식으로, 커튼 가스 플로우는 더 높은 압력으로 제공될 수도 있고 기판의 중심에서 더 작은 갭을 가로 질러 전달될 수도 있고, 그리고 에칭 가스 플로우는 더 낮은 압력으로 제공될 수도 있고 그리고 기판의 주변부에서 더 큰 갭을 가로 질러 전달될 수도 있다. 기판 지지부 위로부터 전달된 에칭 가스 플로우는 "제 2 에칭 가스 플로우"로 지칭될 수도 있는 한편, 기판 지지부 아래로부터 전달된 에칭 가스 플로우는 "제 1 에칭 가스 플로우"로 지칭될 수도 있다. 기판의 주변부에서 전달된 제 2 에칭 가스 플로우는 기판의 전면 및 베벨 에지 영역의 부분들 주위를 랩핑할 수도 있다. 예를 들어, 에칭 가스 플로우는 기판의 전면의 약 5 ㎜ 이하, 약 3 ㎜ 이하, 또는 1.5 ㎜ 이하를 랩핑할 수도 있다. 커튼 가스 플로우는 에칭 가스가 기판의 전면의 나머지에 도달하는 것을 방지한다.
복사 열 소스에 부가하여 또는 대안으로, 장치는 하나 이상의 히터들을 더 포함할 수도 있다. 하나 이상의 히터들은 기판 온도 제어를 제공할 수도 있다. 일부 실시 예들에서, 하나 이상의 히터들은 가스 분배기에 그리고 기판 위에 커플링된다. 하나 이상의 히터들은 복사 열 소스들일 수도 있다. 일부 실시 예들에서, 하나 이상의 히터들은 프로세스 챔버 내에 주변 가열을 제공하도록 구성된다. 일부 실시 예들에서, 하나 이상의 히터들은 20 ℃ 내지 170 ℃ 또는 20 ℃ 내지 140 ℃ 범위 또는 본 명세서에 기술된 다른 온도 범위들의 기판 온도 제어를 제공한다.
장치는 기판의 배면 및/또는 베벨 에지 상의 막 증착물들의 존재를 검출하기 위한 하나 이상의 센서들을 더 포함할 수도 있다. 일부 실시 예들에서, 하나 이상의 센서들은 엔드포인트 검출로서 역할을 하는 IR 센서와 같은 광학 디바이스를 포함한다.
도 6은 기술된 건식 배면 및 베벨 에지 세정 실시 예들에 적합한 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (602) 를 갖는 프로세스 스테이션 (600) 의 일 실시 예의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (600) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 7은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 VECTOR® 프로세싱 툴과 같은 멀티-스테이션 프로세싱 툴 (700) 의 일 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (600) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 9는 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 8 및 도 9를 참조하여 상기 및 이하에 더 기술된 바와 같이, 레지스트 증착, 레지스트 노출 (EUV 스캐너), 레지스트 현상 및 에칭 모듈들을 포함할 수 있다. 또한, 이러한 클러스터 툴 아키텍처는 예를 들어 습식 기법들을 사용하여 배면 및 베벨 에지 영역 세정을 수행하도록 습식 프로세싱을 위해 구성된 프로세싱 챔버를 포함할 수 있다.
도 6을 다시 참조하면, 프로세스 스테이션 (600) 은 분배 샤워헤드 (606) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (601a) 과 유체로 연통한다. 반응 물질 전달 시스템 (601a) 은 샤워헤드 (606) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (604) 를 선택 가능하게 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (620) 은 혼합 용기 (604) 로의 프로세스 가스들의 도입을 제어할 수도 있다. 플라즈마 노출이 사용되면, 플라즈마는 또한 샤워헤드 (606) 로 전달될 수도 있고 또는 프로세스 스테이션 (600) 에서 생성될 수도 있다. 상기 주지된 바와 같이, 적어도 일부 실시 예들에서, 비-플라즈마 열 노출이 유리하다.
도 6은 혼합 용기 (604) 로 공급될 액체 반응 물질을 기화시키기 위한 선택 가능한 기화 지점 (603) 을 포함한다. 일부 실시 예들에서, 기화 지점 (603) 의 업스트림의 액체 플로우 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (600) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 (plunger) 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (606) 는 기판 (612) 을 향해 프로세스 가스들을 분배한다. 도 6에 도시된 실시 예에서, 기판 (612) 은 샤워헤드 (606) 밑에 위치되고, 페데스탈 (608) 상에 놓인 것으로 도시된다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (612) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배치의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 과 샤워헤드 (606) 사이의 볼륨에 기판 (612) 을 노출하도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (650) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 샤워헤드 (606) 는 복수의 온도 제어들을 갖는 복수의 플레넘 볼륨들을 가질 수도 있다. 일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 을 지지하기 위한 캐리어 링에 의해 대체될 수도 있다.
일부 실시 예들에서, 페데스탈 (608) 은 히터 (610) 를 통해 온도 제어될 수도 있다. 대안적으로, 캐리어 링에 의해 지지된 기판 (612) 은 기판 (612) 아래에 포지셔닝된 복사 열 소스에 의해 가열될 수도 있다. 일부 실시 예들에서, 기판 (612) 은 개시된 실시 예들에 기술된 바와 같이, HBr 또는 HCl와 같은 건식 배면 및 베벨 에지 세정 화학 물질에 대한 레지스트의 비-플라즈마 열 노출 동안, 0 ℃ 초과 및 최대 300 ℃ 이상, 예를 들어, 50 내지 120 ℃, 예컨대 약 65 내지 80 ℃의 온도로 가열될 수도 있다. 일부 실시 예들에서, 페데스탈 (608) 의 히터 (610) 는 복수의 독립적으로 제어 가능한 온도 제어 존들을 포함할 수도 있다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (600) 에 대한 압력 제어가 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 도 6의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (600) 의 압력 제어는 또한 프로세스 스테이션 (600) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 구현 예들에서, 샤워헤드 (606) 의 포지션은 기판 (612) 과 샤워헤드 (606) 사이의 볼륨을 가변시키도록 페데스탈 (608) 에 대해 조정될 수도 있다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마가 사용될 수도 있는 경우, 예를 들어 동일한 챔버에서 수행된 순한 플라즈마-기반 건식 세정 실시 예들 및/또는 에칭 동작들에서, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력 공급하기 (power) 위해 무선 주파수 (Radio Frequency; RF) 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 최대 약 500 W이다.
일부 실시 예들에서, 제어기 (650) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배치될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 레시피 페이즈는 HBr 또는 HCl과 같은 건식 세정 화학 물질 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 일부 실시 예들에서, 제어기 (650) 는 도 7의 시스템 제어기 (750) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 7은 인바운드 로드 록 (702) 및 아웃바운드 로드 록 (704) 을 갖는 멀티-스테이션 프로세싱 툴 (700) 의 일 실시 예의 개략도를 도시하고, 인바운드 로드 록 (702) 및 아웃바운드 로드 록 (704) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (706) 은, 카세트로부터 포드 (708) 를 통해 인바운드 로드 록 (702) 으로 로딩된 웨이퍼들을 대기 포트 (710) 를 통해 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (702) 내의 페데스탈 (712) 상에 로봇 (706) 에 의해 배치되고, 대기 포트 (710) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (702) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (714) 내로 도입되기 전에 로드 록 내에서 실리콘 나이트라이드 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (702) 내에서도 또한 가열될 수도 있다. 다음에, 프로세싱 챔버 (714) 로의 챔버 이송 포트 (716) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 7에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (714) 는 도 7에 도시된 실시 예에서 1부터 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 (718) 로 도시됨) 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 건식 세정 모드와 증착 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (714) 는 건식 세정 스테이션 및 증착 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (714) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 7은 프로세싱 챔버 (714) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (790) 의 일 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (790) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비-제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 7은 또한 프로세스 툴 (700) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (750) 의 일 실시 예를 도시한다. 시스템 제어기 (750) 는 하나 이상의 메모리 디바이스들 (756), 하나 이상의 대용량 저장 디바이스들 (754) 및 하나 이상의 프로세서들 (752) 을 포함할 수도 있다. 프로세서 (752) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 는 프로세스 툴 (700) 의 모든 액티비티들을 제어한다. 시스템 제어기 (750) 는 대용량 저장 디바이스 (754) 에 저장되고 메모리 디바이스 (756) 내로 로딩되고, 프로세서 (752) 상에서 실행되는 시스템 제어 소프트웨어 (758) 를 실행한다. 대안적으로, 제어 로직은 제어기 (750) 에 하드코딩될 (hard coded) 수도 있다. ASICs (applications specific integrated circuits), PLDs (programmable logic devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (758) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (700) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 수행하는 데 사용된 프로세스 툴 컴포넌트들의 동작을 제어하기 위해 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (758) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (758) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (750) 와 연관된 대용량 저장 디바이스 (754) 및/또는 메모리 디바이스 (756) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (718) 상에 기판을 로딩하고 기판과 프로세스 툴 (700) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 할라이드 함유 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 HBr 또는 HCl 가스) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비-제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (750) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (700) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 플로우 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
시스템 제어기 (750) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 현상 및/또는 에칭 프로세스들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (750) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (750) 에 커플링될 수도 있다.
일부 실시 예들에서, 시스템 제어기 (750) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기 (750) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, RF 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (750) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드포인트 측정들을 가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (750) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 층들 중 하나 이상, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (750) 는, 일부 실시 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (750) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (750) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (750) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (750) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 예컨대 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 습식 증착 챔버 또는 모듈, 건식 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 습식 세정 챔버 또는 모듈, 건식 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 습식 포토레지스트 현상 챔버 또는 모듈, 건식 포토레지스트 현상 챔버, 화학적, 플라즈마, 및/또는 광-기반 처리 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (750) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시 예들에서, 일부 실시 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 유도 커플링 플라즈마 (inductively coupled plasma; ICP) 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 커플링 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 8은 건식 배면 및 베벨 에지 세정과 같은 특정한 실시 예들 또는 개시된 실시 예들의 양태들을 구현하기 위해 적절한 유도 커플링 플라즈마 장치 (800) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo® 반응기이다. 다른 실시 예들에서, 본 명세서에 기술된 건식 배면 및 베벨 에지 세정을 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 커플링 플라즈마 장치 (800) 는 챔버 벽들 (801) 및 윈도우 (811) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (824) 를 포함한다. 챔버 벽들 (801) 은 스테인리스 스틸, 알루미늄 또는 플라스틱으로 제조될 수도 있다. 윈도우 (811) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (850) 가 전체 프로세스 챔버를 상부 서브챔버 (802) 및 하부 서브챔버 (803) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (850) 는 제거될 수도 있고, 이에 따라 서브챔버들 (802 및 803) 로 이루어진 챔버 공간을 활용한다. 척 (817) 이 하단 내측 표면 근방의 하부 서브챔버 (803) 내에 포지셔닝된다. 척 (817) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (819) 를 수용하고 홀딩하도록 구성된다. 척 (817) 은 존재한다면 웨이퍼 (819) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (817) 을 둘러싸고 그리고 척 (817) 위에 존재할 때, 웨이퍼 (819) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (817) 은 또한 웨이퍼 (819) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (817) 으로부터 웨이퍼 (819) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (817) 은 RF 전력 공급부 (823) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (823) 는 연결부 (827) 를 통해 매칭 회로망 (821) 에 접속된다. 매칭 회로망 (821) 은 연결부 (825) 를 통해 척 (817) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (823) 는 척 (817) 에 접속된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 Vb 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (811) 위에 포지셔닝된 코일 (833) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (833) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴 (turn) 을 포함한다. 도 8에 도시된 코일 (833) 의 예는 3 개의 턴들을 포함한다. 코일 (833) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지로부터 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (833) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (841) 를 포함한다. 일반적으로, RF 전력 공급부 (841) 는 연결부 (845) 를 통해 매칭 회로망 (839) 에 접속된다. 매칭 회로망 (839) 은 연결부 (843) 를 통해 코일 (833) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (841) 는 코일 (833) 에 접속된다. 선택 가능한 패러데이 차폐부 (849) 가 코일 (833) 과 윈도우 (811) 사이에 포지셔닝된다. 패러데이 차폐부 (849) 는 코일 (833) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (849) 는 윈도우 (811) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부 (849) 는 윈도우 (811) 와 척 (817) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부 (849) 는 코일 (833) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (849) 는 갭 없이 윈도우 (811) 바로 아래에 있을 수도 있다. 코일 (833), 패러데이 차폐부 (849) 및 윈도우 (811) 는 서로 실질적으로 평행하도록 각각 구성된다. 패러데이 차폐부 (849) 는 금속 또는 다른 종이 프로세스 챔버 (824) 의 윈도우 (811) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (802) 내에 포지셔닝된 하나 이상의 주 가스 플로우 유입구들 (860) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (870) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 커플링 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (840) 가, 프로세스 챔버 (824) 로부터 프로세스 가스들을 인출하고 (draw) 프로세스 챔버 (824) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 ALD의 퍼징 동작 동안 하부 서브챔버 (803) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (824) 에 유체적으로 연결하도록 (fluidically connect) 사용될 수도 있다. 이는 동작 중인 (operational) 플라즈마 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 커플링된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (800) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (860 및/또는 870) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (860) 를 통해서만, 또는 측면 가스 플로우 유입구 (870) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (849) 및/또는 선택 가능한 그리드 (850) 는 프로세스 챔버 (824) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (849) 및 선택 가능한 그리드 (850) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (860 및/또는 870) 를 통해 프로세스 챔버 (824) 내로 도입되도록, 프로세스 챔버 (824) 의 업스트림에 위치될 수도 있다.
RF 전류로 하여금 코일 (833) 을 통해 흐르게 하도록, RF 전력 공급부 (841) 로부터 코일 (833) 로 무선 주파수 (RF) 전력이 공급된다. 코일 (833) 을 통해 흐르는 RF 전류는 코일 (833) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (802) 내에 유도 전류를 생성한다. 웨이퍼 (819) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (819) 의 피처들을 에칭하고 웨이퍼 (819) 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (802) 및 하부 서브챔버 (803) 모두가 있도록 플라즈마 그리드 (850) 가 사용된다면, 유도 전류는 상부 서브챔버 (802) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (802) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (850) 는 하부 서브챔버 (803) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (800) 는 하부 서브챔버 (803) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 보다 큰 음이온들 대 양이온들 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (822) 를 통해 하부 서브챔버 (803) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (817) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (800) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (800) 에 커플링된다. 부가적으로, 장치 (800) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (800) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (830) 가 프로세스 챔버 (824) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (830) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (800) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (800) 는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처 및 다른 인자들에 종속될 수도 있다.
일부 실시 예들에서, 시스템 제어기 (830) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 시스템 제어기 (830) 는 도 7과 관련하여 상기에 더 기술된다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 네덜란드, 펠트호번 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 보다 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 9는 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, 배면 및 베벨 에지 세정, EUV 패터닝 및 건식 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 실시 예들에서 유리할 수도 있다.
도 9는 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배치는 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 모듈 및 패터닝 모듈은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 것과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (938) 은 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (920a 내지 920d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (920a 내지 920d) 은 증착, 증발, ELD, 건식 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (920a) 은 본 명세서에 기술된 바와 같이 비-플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector 툴과 같은 ALD 반응기일 수도 있다. 그리고 모듈 (920b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드 록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (942 및 946) 은 VTM (938) 및 패터닝 모듈 (940) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 네덜란드, 펠트호번 소재의 ASML에 의해 공급되된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 9를 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 보다 통상적인 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (942) 은 증착 모듈 (920a) 을 서비스하는 VTM (938) 으로부터 패터닝 모듈 (940) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드 록일 수도 있고, 에어록 (946) 은 패터닝 모듈 (940) 로부터 VTM (938) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드 록일 수도 있다. 인입 로드 록 (946) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (938) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (920a) 은 패싯 (936) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (926) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (940) 및 에어록들 (942 및 946) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (922) 은 에어록들 (942 및 946) 을 포함하는 모듈들 사이에서 웨이퍼 (926) 를 이송한다. 일 실시 예에서, 로봇 (922) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (922) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (926) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (924) 를 갖는다. 프론트 엔드 로봇 (944) 은 인출 에어록 (942) 으로부터 패터닝 모듈 (940) 내로, 패터닝 모듈 (940) 로부터 인입 에어록 (946) 내로 웨이퍼들 (926) 을 이송하도록 사용된다. 프론트 엔드 로봇 (944) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드 록과 툴의 외부 사이에서 웨이퍼들 (926) 을 이송할 수도 있다. 인입 에어록 모듈 (946) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (926) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 더 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 (degas) 하도록 EUVL 툴과 증착 툴 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (942) 은 패터닝 모듈 (940) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (940) 내 압력보다 높지 않은, 더 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (950) 가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기 (950) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
다양한 실시 예들에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및 에칭을 위한 프로세싱 챔버, 및 네거티브 패턴 마스크를 형성하기 위한 인스트럭션들을 포함하는 제어기를 포함할 수도 있다. 인스트럭션들은 프로세싱 챔버에서, 기판의 표면을 노출하도록 EUV 노출에 의해 반도체 기판 상의 CAR (chemically amplified resist) 의 피처를 패터닝하고, 포토패터닝된 레지스트를 현상하고, 그리고 패터닝된 레지스트를 마스크로서 사용하여 하부 층 또는 층 스택을 에칭하기 위한 코드를 포함할 수도 있다. 할라이드-함유 화학 물질을 사용하여 현상이 수행될 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 6, 도 7, 또는 도 8 중 임의의 하나에 대해 상기 기술된 바와 같은 제어기가 도 9의 툴을 사용하여 구현될 수도 있다.
도 10은 다양한 실시 예들에 따른 습식 프로세싱 챔버 (1000) 의 간략화된 도면을 도시한다. 습식 프로세싱 챔버 (1000) 는 습식 포토레지스트 증착, 습식 배면 및 베벨 에지 세정, 및/또는 습식 포토레지스트 현상과 같은 본 명세서에 기술된 하나 이상의 동작들을 위해 사용될 수도 있다. 습식 프로세싱 챔버 (1000) 는 프로세싱 동안 기판 (1001) 을 지지하도록 구성된 기판 지지부 (1002) 를 포함할 수도 있다. 도 10의 실시 예에서, 기판 지지부 (1002) 는 주변부에서 기판 (1001) 을 지지하는 일련의 핀들 (1004) 을 포함한다. 이는 반대편 측면 상의 최소 기판 콘택트를 갖는 기판의 일 측면 상의 프로세싱을 허용한다. 이러한 실시 예는 기판이 기판의 전면을 손상시키지 않고 뒤집혀서 (예를 들어, 전면이 아래로) 로딩될 수 있기 때문에, 기판의 배면을 프로세싱하는 데 특히 유용하다. 기판 지지부 (1002) 는 양방향 화살표로 나타낸 바와 같이, 프로세싱 동안 회전하도록 구성될 수도 있다. 기판 (1001) 의 표면으로 프로세싱 유체를 디스펜싱하도록 노즐 (1003) 이 제공될 수도 있다. 관련 프로세싱 유체를 노즐 (1003) 에 제공하고, 그리고 프로세싱 챔버 (1000) 로부터 프로세싱 유체를 제거하기 위해 적절한 배관 (미도시) 이 제공될 수도 있다. 일부 경우들에서 프로세싱 유체는 재순환될 수도 있다.
실험 결과들
도 11은 본 명세서에 기술된 다양한 프로세싱 단계들 후에 기판의 배면 상의 주석의 농도를 도시하는 실험 결과들을 도시한다. 결과들은 4 개의 다른 시간들에서 취해졌다: (A) 포토레지스트 증착 후; (B) 시간 A 및 포토레지스트의 건식 현상 후; (C) 시간 B, 현상 후 소성, 및 H2/N2 플라즈마 처리 후; 및 (D) 시간 C 및 기판 배면 및 베벨 에지 상의 습식 세정 후. 시간 각각에서, (1) 기판의 중심; (2) 기판의 에지로부터 1 ㎝; 및 (3) 기판의 에지로부터 0.5 ㎝에서의 측정을 포함하는 3 개의 상이한 측정들이 취해진다. 일 예로서, 도 11의 막대 A1은 시간 A에서 위치 (1) 에서의 기판 상의 주석 농도를 도시한다.
시간 A에서, 주석의 농도는 약 0.5E10 atoms/㎠ 내지 1E10 atoms/㎠이다. 시간 B에서, 건식 현상 단계 동안 생성된 오염으로 인해 주석의 농도는 실질적으로 더 높다. 예를 들어, 시간 B에서 주석의 농도는 약 12E12 atoms/㎠ 내지 15E12 atoms/㎠이다. PDB 처리 및 H2/N2 플라즈마 처리의 결과로서 주석 농도는 시간 B와 시간 C 사이에서 상당히 감소된다. 시간 C에서, 주석 농도는 약 3E10 atoms/㎠ 내지 13E10 atoms/㎠의 범위이다. 주석 농도는 습식 배면 및 베벨 에지 세정 동작의 결과로서 시간 C와 시간 D 사이에서 더 감소된다. 시간 D에서, 주석 농도는 0.5E10 atoms/㎠ 미만으로 감소된다. 이들 농도들은 시간 A에서 시작 농도들과 비슷하고 심지어 시작 농도들보다 더 낮다.
도 12는 본 명세서에 기술된 다양한 프로세싱 단계들 후의 기판의 배면 상의 주석 오염의 농도를 도시하는 실험 결과들을 도시한다. 결과들은 5 개의 다른 시간들에서 취해졌다: (A) 포토레지스트 증착 후; (B) 시간 A 및 포토레지스트의 건식 현상 후; (C) 시간 B 및 현상 후 소성; (D) 시간 C 및 기판 배면 및 베벨 에지 상의 습식 세정 후; 및 (E) 시간 D 및 H2 플라즈마를 사용하는 기판 배면 상의 건식 세정 후. 시간 각각에서, (1) 기판의 중심; (2) 기판의 에지로부터 1 ㎝; 및 (3) 기판의 에지로부터 0.5 ㎝에서의 측정을 포함하는 3 개의 상이한 측정들이 취해진다. 일 예로서, 도 12의 막대 A1은 시간 A에서 위치 (1) 에서의 기판 상의 주석 농도를 도시한다.
시간 A에서, 주석의 농도는 약 0.5E10 atoms/㎠ 내지 1E10 atoms/㎠이다. 시간 B에서, 건식 현상 단계 동안 생성된 오염으로 인해 주석의 농도는 실질적으로 더 높다. 예를 들어, 시간 B에서 주석의 농도는 약 12E12 atoms/㎠ 내지 15E12 atoms/㎠이다. 주석 농도는 현상 후 소성 처리의 결과로서 시간 B와 시간 C 사이에서 상당히 감소된다. 시간 C에서, 주석 농도는 약 6E10 atoms/㎠ 내지 65E10 atoms/㎠의 범위이다. 주석 농도는 습식 배면 및 베벨 에지 세정 동작의 결과로서 시간 C와 시간 D 사이에서 더 감소된다. 시간 D에서, 주석 농도는 약 0.1E10 atoms/㎠ 내지 0.2E10 atoms/㎠의 범위이다. 주석 농도는 기판의 배면이 H2 플라즈마에 노출되기 때문에 시간 D와 시간 E 사이에서 계속해서 감소한다. 시간 E에서, 주석 농도는 약 0.01E10 atoms/㎠ 내지 0.05E10 atoms/㎠의 범위이다.
특히, 도 12는 현상 후 소성이 기판의 배면 상의 주석 농도의 상당한 감소를 가능하게 한다는 것을 도시한다. 또한, 배면 습식 세정은 주석 농도를 1E10 atoms/㎠ 미만으로 감소시키고, 그리고 기판의 배면을 세정하기 위한 H2 플라즈마의 부가는 배면 주석 농도를 약 4 배만큼 더 감소시킨다.
도 13a 및 도 13b는 현상 후 소성에 플라즈마 처리를 부가하는 이점을 도시하는 실험 결과들을 도시한다. 이 예에서, 프로세스 플로우는 (1) 포토레지스트를 증착하는 단계; (2) 기판의 배면을 습식 세정하는 단계; (3) 포토레지스트를 건식 현상하는 단계; (4) (플라즈마 처리 단계를 포함하고 그리고 포함하지 않고) 현상 후 소성을 수행하는 단계; (5) 기판의 배면을 다시 습식 세정하는 단계; (6) 다양한 지속 기간들 동안 통상적인 큐 조건들에 기판을 노출하는 단계; 및 (7) 상이한 큐 지속 기간들 후에 기판의 배면 상의 주석의 농도를 측정하기 위해 계측을 수행하는 단계를 수반한다. 주석 농도들은 0 일, 3 일, 및 5 일의 큐 시간들을 포함하여, 3 개의 상이한 시간들에서 측정되었다. 0 일 및 3 일, 및 5 일에, 주석 농도들은 기판들의 중심에서 그리고 기판들의 에지로부터 0.5 ㎝에서 측정되었다. 또한, 5 일에 주석 농도들은 초승달 (crescent moon; CM) 형상을 따라 기판들의 에지로부터 0.5 ㎝에서 측정되었다. 측정들은 기판들의 배면에 대해 행해졌다.
도 13a는 현상 후 소성 단계가 어떠한 플라즈마 처리도 포함하지 않은 경우들에 대한 결과들을 도시한다. 대조적으로, 도 13b는 현상 후 소성 단계가 플라즈마 처리를 포함하는 경우들에 대한 결과들을 도시한다. 이 예에서 플라즈마 처리는 현상 후 소성 프로세스 동안 H2/N2 플라즈마에 기판을 노출하는 것을 수반한다. 도 13a 및 도 13b에서 알 수 있는 바와 같이, 큐 시간이 0 일 또는 3 일일 때 2 개의 처리 프로세스들 모두는 유사한 배면 주석 농도들을 발생시킨다. 큐 시간이 5 일로 증가될 때, 현상 후 소성 단계 동안 플라즈마 처리에 노출된 기판들은 이 단계 동안 플라즈마에 노출되지 않은 기판들과 비교하여 실질적으로 더 낮은 배면 주석 농도들을 나타냈다. 이들 결과들은 현상 후 소성 단계 동안 플라즈마 처리가 이용 가능한 큐 시간 (예를 들어, 본 명세서에 기술된 이슈들의 결과로서 배면 주석 농도가 용인할 수 없는 높은 레벨로 상승하기 전에 이용 가능한 시간) 에 부정적인 영향을 주지 않는다는 것을 나타낸다. 실제로, 이러한 플라즈마 처리는 많은 경우들에서 이용 가능한 큐 시간을 연장시킬 수도 있다.
도 14는 현상 후 소성에 플라즈마 처리를 부가하는 것의 유효성을 나타내는 실험 결과들을 도시한다. 이들 결과들은 도 13a 및 도 13b에 도시된 결과들과 일치한다. 도 14의 예에서, 프로세스 플로우는 (1) 포토레지스트를 증착하는 단계; (2) 기판의 배면을 습식 세정하는 단계; (3) 포토레지스트를 건식 현상하는 단계; (4) (플라즈마 처리 단계를 포함하고 그리고 포함하지 않고) 현상 후 소성을 수행하는 단계; (5) 기판의 배면을 다시 습식 세정하는 단계; (6) 약 2 일의 지속 기간 동안 통상적인 큐 조건들에 기판을 노출하는 단계; 및 (7) 큐 지속 기간들 후에 기판의 배면 상의 주석의 농도를 측정하기 위해 계측을 수행하는 단계를 수반한다. 현상 후 소성 동안 플라즈마 처리는 H2/N2 플라즈마에 기판을 노출하는 것을 수반한다. 현상 후 소성 동안 플라즈마 처리가 사용되지 않은, 도 14에 도시된 바와 같이, 2 일의 큐 시간 후 발생되는 배면 주석 농도는 약 38E10 atoms/㎠이다. 플라즈마 처리가 현상 후 소성에 부가될 때, 2 일의 큐 시간 후 발생되는 배면 주석 농도는 단지 약 4.2E10 atoms/㎠이다. 이는 거의 10 배만큼의 배면 주석 농도의 감소를 나타낸다.
도 15는 3 개의 상이한 분석 존들 (Z1 내지 Z3) 로 분할된 기판, 및 존 각각 내의 배면 주석 농도를 보고하는 표를 도시한다. 제 1 존 (Z1) 은 약 75 ㎜의 반경의, 기판의 중심 원형 부분에 대응한다. 제 2 존 (Z1) 은 약 75 ㎜의 반경으로부터 약 135 ㎜의 반경의, 기판의 중간 환형 부분에 대응한다. 제 3 존 (Z3) 은 약 135 ㎜의 반경으로부터 약 148 ㎜의 반경의, 기판의 외측 환형 부분에 대응한다. 이 예에서, 프로세스 플로우는 (1) 포토레지스트를 증착하는 단계; (2) 기판의 배면을 습식 세정하는 단계; (3) 포토레지스트를 건식 현상하는 단계; (4) 플라즈마 처리 단계를 포함하는 현상 후 소성을 수행하는 단계; (5) 기판의 배면을 다시 습식 세정하는 단계; (6) 약 4.5 일의 지속 기간 동안 통상적인 큐 조건들에 기판을 노출하는 단계; 및 (7) 큐 지속 기간들 후에 기판의 배면 상의 주석의 농도를 측정하기 위해 계측을 수행하는 단계를 수반한다. 현상 후 소성 단계 동안 플라즈마 처리는 H2/N2 플라즈마에 기판을 노출하는 것을 수반한다. 도 15의 결과들은 일련의 동작들 후에, 기판의 배면 상에서 남아 있는 대부분의 주석 오염이 제 3 존, 예를 들어, 기판의 에지 근방에 위치된다는 것을 도시한다.
도 16a 및 도 16b는 H2/N2 플라즈마 처리에 기판을 노출하는 것을 수반하는 현상 후 소성 단계의 결과로서, 통상적인 EUV 도즈 범위에 걸친 라인 임계 직경 (line critical diameter) (도 16a) 및 라인 폭 거칠기 (도 16b) 의 개선을 나타내는 실험 결과들을 도시한다. 이들 도면들은 현상 후 소성 단계 전 및 현상 후 소성 단계 후를 포함하여, 2 회 취해진 측정 값들을 도시한다. 도 16a는 현상 후 소성 및 플라즈마 처리 단계가 EUV 도즈 범위에 걸쳐 약 0.4 내지 0.5 ㎚의 라인 임계 직경의 감소를 발생시킨다는 것을 도시한다. 이는 도즈 각각에서 약 2 내지 4 %의 감소를 나타낸다. 유사하게, 도 16b는 현상 후 소성 및 플라즈마 처리 단계가 EUV 도즈 범위에 걸쳐 라인 폭 거칠기의 감소를 발생시킨다는 것을 도시한다.
도 17a 및 도 17b는 다양한 온도들에서 수행된 현상 후 소성에서 프로세싱 후 기판의 전면 상의 잔류 브롬의 농도 (도 17a) 및 기판의 배면 상의 주석 오염의 농도 (도 17b) 를 도시하는 실험 결과들을 도시한다. 이 예에서, 현상 프로세스는 건식 현상 프로세스이고, 그리고 현상 후 소성 프로세스와 계측 사이에 습식 세정 (또는 다른 세정 프로세스) 이 수행되지 않았다. 도 17a는 현상 후 소성 프로세스에 기판을 노출하는 것이 기판 상의 브롬의 농도를 극적으로 감소시키는 것을 도시한다. 현상 후 소성의 온도가 상승함에 따라, 잔류 브롬 농도는 상당히 감소한다. 더 높은 온도들에서 (예를 들어, 약 250 ℃ 이상), 이 이점은 점점 줄어든다 (tapers off). 도 17b는 현상 후 소성 온도가 상승함에 따라, 기판의 배면 상의 주석 오염의 농도가 감소한다는 것을 도시한다. 주석 농도는 기판의 중심 및 에지 모두에서 감소하고, 그리고 기판의 중심 근방에서의 감소는 특히 크다.
도 18a 및 도 18b는 현상 후 소성 프로세스를 수행하기 위해 사용된 프로세스 챔버를 주기적으로 세정하는 이점을 도시하는 실험 결과들을 도시한다. 도 18a에 도시된 제 1 일련의 기판들은 기판들 사이에서 수행되는 챔버 세정 없이, 현상 후 소성 단계를 사용하여 프로세싱되었다. 도 18b에 도시된 제 2 일련의 기판들은 현상 후 소성 단계를 사용하여 프로세싱되었고, 챔버는 기판 각각이 소성된 후 세정되었다. 2 개의 경우들 모두에서, 주석 농도들은 5 번째 기판 각각이 프로세싱된 후 (예를 들어, 5 개의 기판들 후, 10 개의 기판들 후, 등) 측정되었다. 도 18a는 챔버가 주기적으로 세정되지 않을 때, 추가 기판들이 프로세싱됨에 따라 배면 주석 오염의 농도가 계속해서 상승한다는 것을 도시한다. 실제로, 배면 주석 농도는 10 개의 기판들이 프로세싱된 후 약 100 배 더 높아져, 100E10 atoms/㎠ 이상에 도달한다. 이 상승은 상당하고 바람직하지 않다. 대조적으로, 도 18b는 챔버가 주기적으로 세정될 때, 배면 주석 오염의 농도가 1E10 atoms/㎠ 미만의 레벨로 낮고 안정하게 유지된다는 것을 도시한다. 이 낮고 안정한 주석 농도는 시작 농도가 10E10 atoms/㎠ 이상, 10 배 이상 더 높을 때에도 달성되었다.
도 19a 및 도 19b는 더 낮은 온도들에서 다양한 실시 예들에 따른 플라즈마 처리의 최적화와 관련된 실험 결과들을 도시한다. 도 19a는 배면 주석 농도에 대한 상이한 캐리어 가스들의 효과를 보는 반면, 도 19b는 배면 주석 농도에 대한 총 플로우 레이트의 효과를 본다. 도 19a 및 도 19b와 관련된 모든 예들에서, 플라즈마 처리는 캐리어 가스로서 사용되는 헬륨, 질소 (N2), 또는 헬륨과 질소의 조합과 함께, 수소 (H2) 로부터 생성된 플라즈마에 기판을 노출하는 것을 수반한다. 수소는 경우 각각에 약 5 체적%의 농도로 존재한다. 도 19a에 도시된 바와 같이, H2/He 플라즈마 처리는 H2/N2 플라즈마 처리와 비교하여 실질적으로 더 낮은 배면 주석 농도를 발생시킨다. 이는 헬륨이 수소를 위한 캐리어 가스로서 작용할 때 질소와 비교하여 더 우수한 주석 감소 결과들을 제공한다는 것을 시사한다. 도 19b는 3 개의 상이한 플라즈마 처리들로부터의 결과들을 도시한다. 제 1 플라즈마 처리는 기판이 H2/He로부터 생성된 플라즈마에 노출되는 저 플로우 상황을 수반한다. 제 2 플라즈마 처리는 기판이 H2/He로부터 생성된 플라즈마에 노출되고, H2/He의 플로우가 제 1 플라즈마 처리와 비교하여 플로우의 약 2 배인 중간-플로우 상황을 수반한다. 제 3 플라즈마 처리는 기판이 H2/He/N2로부터 생성된 플라즈마에 노출되고, H2/He/N2의 플로우가 제 1 플라즈마 처리에 사용된 H2/He의 플로우의 약 3 배인 고 플로우 상황을 수반한다. 이 예에서, H2/He의 플로우 레이트를 2 배로 하는 (double) 것은 배면 주석 오염의 농도의 감소를 발생시킨다. 제 3 플라즈마 처리에서 상당한 양의 N2의 첨가는 배면 주석 오염의 증가를 발생시킨다.
부가적인 실시 예들
도 20 및 도 21은 다양한 실시 예들에 따른 예시적인 프로세스 플로우들을 예시한다. 도 20의 예에서, 기판은 습식 현상 기법들을 사용하여 프로세싱된다. 도 21의 예에서, 기판은 건식 현상 기법들을 사용하여 프로세싱된다. 도 20 및 도 21에 기술된 단계들은 본 명세서에 기술된 기법들 중 임의의 하나 이상과 결합될 수도 있다. 또한, 특정한 단계들에 대해 본 명세서에 제공된 임의의 상세들은 또한 도 20 및 도 21의 대응하는 단계들을 실시할 때 적용될 수도 있다. 간결함을 위해, 이러한 상세들은 반복되지 않을 것이다.
도 20의 습식 현상 방법 (2000) 은 포토레지스트가 기판 상에서 증착되는 동작 (2001) 에서 시작된다. 포토레지스트는 본 명세서에 기술된 바와 같이 금속-함유 포토레지스트일 수도 있다. 동작 (2003) 에서, 기판은 특히 기판의 배면 및 베벨 에지 영역을 타깃팅하는 습식 세정 기법을 사용하여 세정된다. 동작 (2005) 에서, 기판은 도포 후 소성에 노출된다. 동작 (2007) 에서, 기판은 포토레지스트 패터닝을 시작하기 위해 EUV 복사선에 노출된다. 동작 (2009) 에서, 기판은 노출 후 소성에 노출된다. 동작 (2011) 에서, 포토레지스트는 습식 현상 기법을 사용하여 현상된다. 동작 (2013) 에서, 기판은 계측 또는 추가 프로세싱에 노출될 수도 있다. 추가 프로세싱은 본 명세서에 기술된 기법들 중 하나 이상의 기법들을 수반할 수도 있다.
도 21의 건식 현상 방법 (2050) 은 도 20의 방법과 유사한 방식으로 시작된다. 예를 들어, 동작들 (2001, 2003, 2005, 2007, 및 2009) 은 도 20의 동작들과 동일하다. 동작 (2009) 후, 도 21의 방법은 포토레지스트가 건식 현상 기법을 사용하여 현상되는, 동작 (2021) 으로 계속된다. 다음에, 동작 (2023) 에서, 기판은 현상 후 소성에 노출된다. 동작 (2025) 에서, 기판은 화학적 처리에 노출된다. 다양한 예들에서, 동작 (2025) 의 화학적 처리는 동작 (2021) 에서 포토레지스트 건식 현상 및/또는 동작 (2023) 에서 현상 후 소성과 일치할 수도 있다. 다른 예들에서, 동작 (2025) 의 화학적 처리는 예를 들어 동작들 (2021과 2023) 사이, 또는 동작 (2023과 2027) 사이에서, 개별적으로 발생할 수도 있다. 동작 (2027) 에서, 기판은 기판의 배면을 세정하기 위해 습식 세정 동작에 노출된다. 동작 (2029) 에서, 기판은 계측 또는 추가 프로세싱에 노출될 수도 있다.
도 20 및 도 21은 다수의 특정한 동작들을 나타내지만, 이들 단계들 중 하나 이상의 단계들은 다양한 실시 예들에서 생략될 수도 있다는 것이 이해된다. 도 20 또는 도 21에 도시된 단계들의 임의의 서브세트는 다양한 실시 예들에서 사용될 수도 있다.
결론
반도체 기판 상의 금속성 오염을 제어하기 위한 프로세스들 및 장치들이 개시된다. 많은 실시 예들에서, 프로세스들 및 장치들은 EUV 포토레지스트와 같은 금속-함유 포토레지스트의 증착, 현상, 및/또는 처리와 관련하여 사용될 수도 있다. 인-시츄 세정, 맨드릴 풀링, 평활화, 및 포토레지스트 디스커밍 애플리케이션들과 같은 다른 애플리케이션들이 또한 개시된 실시 예들로부터 이익을 얻을 수도 있다.
본 명세서에 기술된 예들 및 실시 예들은 단지 예시적인 목적들을 위한 것이고, 이 관점에서 다양한 수정들 또는 변화들이 당업자들에게 제안될 것이라는 것이 이해된다. 명확성을 위해 다양한 상세들이 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 개시는 본 명세서에 제공된 상세들로 제한되지 않고, 본 개시의 범위 내에서 수정될 수도 있다.

Claims (57)

  1. 기판 상의 오염을 제어하는 방법에 있어서,
    (a) (i) 기판의 전면을 프로세싱하는 단계로서, 상기 프로세싱은 상기 기판의 배면 상에 오염 형성을 유발하는, 상기 기판의 상기 전면을 프로세싱하는 단계, 또는 (ii) 상기 기판의 상기 배면 상에 오염을 갖는 상기 기판을 수용하는 단계로서, 상기 오염은 금속을 포함하는, 상기 기판을 수용하는 단계 중 하나; 및
    (b) 상기 단계 (a) 후에, 프로세싱 후 소성 (post-processing bake) 프로세스에서 상기 기판을 가열하는 단계로서, 상기 기판을 가열하는 단계는 상기 기판의 상기 배면 상의 상기 금속의 농도를 감소시키는, 상기 기판을 가열하는 단계를 포함하는, 기판 상 오염 제어 방법.
  2. 제 1 항에 있어서,
    상기 기판의 상기 전면을 프로세싱하는 단계는, 포토레지스트 층을 현상하는 프로세스; 기판을 인-시츄 (in-situ) 세정하는 프로세스; 패터닝 애플리케이션에서 맨드릴을 당기는 (pull) 프로세스; 상기 기판 상의 피처를 평활화하는 (smooth) 프로세스; 및 포토레지스트 층을 디스커밍하는 (descum) 프로세스로 구성된 그룹으로부터 선택된 적어도 일 프로세스를 포함하는, 기판 상 오염 제어 방법.
  3. 제 2 항에 있어서,
    상기 단계 (a) 는 (i) 상기 기판 상에서 상기 포토레지스트 층을 현상하는 단계 또는 (ii) 상기 기판의 상기 전면 상에서 현상된 포토레지스트 층 및 상기 기판의 상기 배면 상에서 오염을 갖는 기판을 수용하는 단계 중 하나를 포함하고,
    상기 오염 내의 상기 금속은 상기 기판의 상기 전면 상의 상기 포토레지스트 층으로부터 유래되고 (originate), 그리고
    상기 단계 (b) 의 상기 프로세싱 후 소성 프로세스는 상기 포토레지스트 층이 적어도 부분적으로 현상될 때 발생하는 현상 후 소성 (post-development bake) 프로세스인, 기판 상 오염 제어 방법.
  4. 제 3 항에 있어서,
    상기 단계 (b) 의 상기 현상 후 소성 프로세스 동안, 상기 기판은 약 1 내지 10 분의 지속 기간 동안 약 160 내지 300 ℃의 온도로 소성되는, 기판 상 오염 제어 방법.
  5. 제 3 항에 있어서,
    프로세싱 가스에 상기 기판을 노출하는 단계를 더 포함하고, 상기 프로세싱 가스는 N2, H2, Ar, He, Xe, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는, 기판 상 오염 제어 방법.
  6. 제 3 항에 있어서,
    상기 기판 상의 금속-함유 재료의 휘발성을 상승시키기 위해 반응성 프로세싱 가스에 상기 기판을 노출하는 단계를 더 포함하고, 상기 금속 함유 재료는 상기 금속을 포함하는, 기판 상 오염 제어 방법.
  7. 제 3 항에 있어서,
    상기 기판 상의 금속-함유 재료의 안정성을 상승시키기 위해 반응성 프로세싱 가스에 상기 기판을 노출하는 단계를 더 포함하고, 상기 금속 함유 재료는 상기 금속을 포함하는, 기판 상 오염 제어 방법.
  8. 제 3 항에 있어서,
    염소-함유 가스, 산소-함유 가스, 불소-함유 가스, 암모니아 (NH3), 요오드화 수소 (HI), 이원자 요오드 (I2), 및 이들의 조합들로 구성된 그룹으로부터 선택된 반응성 프로세싱 가스에 상기 기판을 노출하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  9. 제 8 항에 있어서,
    상기 기판은 상기 염소-함유 가스에 노출되고 그리고 상기 염소-함유 가스는 BCl3, Cl2, HCl, SiCl4, SOCl2, PCl3, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는, 기판 상 오염 제어 방법.
  10. 제 8 항에 있어서,
    상기 기판은 상기 산소-함유 가스에 노출되고 그리고 상기 산소-함유 가스는 O2, O3, H2O, SO2, CO2, CO, COS, H2O2, NOx, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는, 기판 상 오염 제어 방법.
  11. 제 8 항에 있어서,
    상기 기판은 상기 불소-함유 가스에 노출되고, 상기 불소-함유 가스는 HF, CxFyHz, NF3, SF6, F2, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는, 기판 상 오염 제어 방법.
  12. 제 3 항에 있어서,
    상기 기판 상의 금속-함유 재료의 휘발성을 상승시키기 위해 상기 기판을 플라즈마에 노출하는 단계를 더 포함하고, 상기 금속 함유 재료는 상기 금속을 포함하는, 기판 상 오염 제어 방법.
  13. 제 3 항에 있어서,
    상기 기판 상의 금속-함유 재료의 안정성을 상승시키기 위해 상기 기판을 플라즈마에 노출하는 단계를 더 포함하고, 상기 금속 함유 재료는 상기 금속을 포함하는, 기판 상 오염 제어 방법.
  14. 제 3 항에 있어서,
    이원자 수소 (H2), 이원자 질소 (N2), 아르곤, 헬륨, 크립톤, 메탄 (CH4), 산소-함유 가스, 불소-함유 가스, 염소-함유 가스, 수소 할라이드, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는 플라즈마 생성 가스로부터 생성된 플라즈마에 상기 기판을 노출하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  15. 제 14 항에 있어서,
    상기 플라즈마 생성 가스는 상기 산소-함유 가스를 포함하고, 상기 산소-함유 가스는 O2, O3, CO, CO2, COS, SO2, NOx, H2O, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는, 기판 상 오염 제어 방법.
  16. 제 14 항에 있어서,
    상기 플라즈마 생성 가스는 상기 불소-함유 가스를 포함하고, 상기 불소-함유 가스는 NF3, CF4, CH3F3, CH2F2, CHF3, F2, SF6, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는, 기판 상 오염 제어 방법.
  17. 제 14 항에 있어서,
    상기 플라즈마 생성 가스는 상기 염소-함유 가스를 포함하고, 상기 염소-함유 가스는 BCl3, Cl2, HCl, SiCl4, SOCl2, PCl3, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하는, 기판 상 오염 제어 방법.
  18. 제 14 항에 있어서,
    상기 플라즈마 생성 가스는 (i) 상기 이원자 수소 (H2), 및 (ii) 이원자 질소 (N2) 또는 희가스 (noble gas) 중 적어도 하나를 포함하는, 기판 상 오염 제어 방법.
  19. 제 3 항에 있어서,
    상기 현상 후 소성 프로세스에서 상기 기판을 가열하는 단계는 상기 기판의 상기 배면 상의 상기 금속의 상기 농도를 적어도 10 배만큼 감소시키는, 기판 상 오염 제어 방법.
  20. 제 3 항에 있어서,
    플라즈마에 상기 기판을 노출하는 단계를 더 포함하고, 상기 현상 후 소성 프로세스에서 상기 기판을 가열하는 단계 및 상기 플라즈마에 상기 기판을 노출하는 단계는 상기 기판의 상기 배면 상의 상기 금속의 상기 농도를 적어도 100 배만큼 감소시키는, 기판 상 오염 제어 방법.
  21. 제 3 항에 있어서,
    상기 기판의 상기 배면 상의 상기 금속의 농도를 감소시키도록 광에 상기 기판을 노출하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  22. 제 21 항에 있어서,
    상기 광은 UV 파장들, 가시 파장들, 또는 IR 파장들 중 적어도 하나를 포함하는, 기판 상 오염 제어 방법.
  23. 제 22 항에 있어서,
    상기 광은 IR 램프 또는 복수의 LED들을 통해 제공되고, 상기 기판이 상기 광에 노출되는 동안 상기 기판은 약 60 초 이하의 지속 기간 동안 약 250 내지 400 ℃의 온도로 가열되는, 기판 상 오염 제어 방법.
  24. 제 3 항에 있어서,
    상기 현상 후 소성 프로세스에서 상기 기판을 가열하는 단계는 상기 포토레지스트 층이 상기 기판 상에서 여전히 현상되는 동안 시작되는, 기판 상 오염 제어 방법.
  25. 제 3 항에 있어서,
    상기 단계 (a) 가 제 1 프로세싱 챔버에서 발생하고 그리고 상기 단계 (b) 가 제 2 프로세싱 챔버에서 발생하도록, 상기 단계 (a) 후에 상기 기판을 상기 제 1 프로세싱 챔버로부터 상기 제 2 프로세싱 챔버로 이송하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  26. 제 3 항에 있어서,
    상기 단계 (a) 는 프로세싱 챔버에서 발생하고, 상기 방법은 상기 단계 (a) 에서 상기 포토레지스트 층이 현상되는 동안 약 40 ℃ 이상의 온도로 상기 프로세싱 챔버를 가열하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  27. 제 3 항에 있어서,
    상기 단계 (a) 는 프로세싱 챔버에서 발생하고, 상기 방법은 약 100 ℃ 이상의 온도로 상기 프로세싱 챔버를 유지하는 동안 상기 프로세싱 챔버를 퍼징하는 단계를 더 포함하고, 상기 퍼징은 상기 단계 (a) 후에 발생하는, 기판 상 오염 제어 방법.
  28. 제 27 항에 있어서,
    상기 방법은 불활성 가스로 상기 프로세싱 챔버를 스윕핑하는 (sweep) 단계를 더 포함하고, 상기 퍼징 및 상기 스윕핑은 펌핑 퍼징 시퀀스의 일부인, 기판 상 오염 제어 방법.
  29. 제 3 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 후에 상기 기판의 상기 배면 상에서 습식 세정을 수행하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  30. 제 29 항에 있어서,
    상기 기판의 상기 배면 상에서 상기 습식 세정을 수행하는 단계는 상기 기판의 상기 배면 상의 상기 금속의 상기 농도를 적어도 10 배만큼 더 감소시키는, 기판 상 오염 제어 방법.
  31. 제 29 항에 있어서,
    상기 습식 세정은 또한 상기 기판의 상기 전면 상의 베벨 에지 영역을 세정하는, 기판 상 오염 제어 방법.
  32. 제 29 항에 있어서,
    상기 기판의 상기 배면 상에서 상기 습식 세정을 수행하는 단계는 희석된 HF에 상기 기판의 상기 배면을 노출하는 것을 포함하는, 기판 상 오염 제어 방법.
  33. 제 32 항에 있어서,
    상기 기판의 상기 배면 상에서 상기 습식 세정을 수행하는 단계는 희석된 HCl 또는 NH4OH, H2O2, 및 H2O를 포함하는 표준 세정 1 (standard clean 1; SC-1) 용액에 상기 기판의 상기 배면을 노출하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  34. 제 3 항에 있어서,
    상기 포토레지스트 층은 건식 증착을 사용하여 형성되는, 기판 상 오염 제어 방법.
  35. 제 3 항에 있어서,
    상기 포토레지스트 층은 습식 증착을 사용하여 형성되는, 기판 상 오염 제어 방법.
  36. 제 3 항에 있어서,
    상기 포토레지스트 층은 건식 프로세싱을 사용하여 현상되는, 기판 상 오염 제어 방법.
  37. 제 36 항에 있어서,
    상기 포토레지스트 층은 할로겐-함유 화학 물질을 사용하여 현상되는, 기판 상 오염 제어 방법.
  38. 제 3 항에 있어서,
    상기 포토레지스트 층은 습식 프로세싱을 사용하여 현상되는, 기판 상 오염 제어 방법.
  39. 제 3 항에 있어서,
    상기 단계 (b) 의 상기 현상 후 소성 프로세스는 프로세싱 챔버에서 발생하고, 그리고 상기 단계 (b) 의 상기 현상 후 소성 프로세스 동안,
    (i) 상기 프로세싱 챔버 내 압력은 약 0.01 내지 1 Torr로 유지되고,
    (ii) 염소-함유 가스는 약 1 내지 10 분의 지속 기간 동안 약 200 내지 10,000 sccm의 레이트로 프로세싱 챔버에 제공되고,
    (iii) 상기 프로세싱 챔버의 하나 이상의 컴포넌트들의 온도는 약 20 내지 150 ℃로 유지되고, 그리고
    (iv) 상기 기판은 상기 단계 (b) 동안 플라즈마에 노출되지 않는 조건들이 사용되는, 기판 상 오염 제어 방법.
  40. 제 3 항에 있어서,
    상기 포토레지스트 층은 프로세싱 챔버에서 상기 단계 (a) 에서 현상되고, 상기 단계 (b) 는 상기 (a) 와 동일한 프로세싱 챔버에서 발생하고, 상기 방법은,
    (i) 상기 프로세싱 챔버 내 압력은 약 0.01 내지 1 Torr이고,
    (ii) 퍼지 가스의 플로우는 약 200 내지 10,000 sccm의 레이트로 상기 프로세싱 챔버에 제공되고, 상기 퍼지 가스는 이원자 질소 (N2), 희가스, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하고, 상기 퍼지 가스는 약 1 내지 10 분의 지속 기간 동안 상기 프로세싱 챔버에 제공되고, 그리고
    (iii) 상기 프로세싱 챔버의 하나 이상의 컴포넌트들은 약 100 내지 300 ℃로 유지되고, 그리고 상기 프로세싱 챔버 내 기판 지지부는 약 120 내지 300 ℃로 유지되는 조건들을 사용하여 상기 프로세싱 챔버를 퍼징하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  41. 제 3 항에 있어서,
    상기 단계 (a) 는 제 1 프로세싱 챔버에서 발생하고 그리고 상기 단계 (b) 는 제 2 프로세싱 챔버에서 발생하고, 상기 단계 (b) 의 상기 현상 후 소성 프로세스 동안,
    (i) 상기 제 2 프로세싱 챔버 내 압력은 약 0.1 내지 760 Torr이고,
    (ii) 가스의 플로우는 약 1 내지 10 분의 지속 기간 동안 약 200 내지 10,000 sccm의 레이트로 상기 제 2 프로세싱 챔버에 제공되고, 상기 기판은 상기 가스의 플로우에 노출되고, 상기 가스의 플로우는 공기, 이원자 질소 (N2), 이원자 산소 (O2), 물 (H2O), 희가스, 또는 이들의 조합 중 적어도 하나를 포함하고, 그리고
    (iii) 상기 기판은 약 140 내지 300 ℃의 온도로 소성되는 조건들이 사용되는, 기판 상 오염 제어 방법.
  42. 제 3 항에 있어서,
    (i) 프로세싱 챔버 내 압력은 약 0.1 내지 1 Torr이고,
    (ii) 플라즈마 생성 가스가 약 3 내지 30 초의 지속 기간 동안 약 50 내지 5,000 sccm의 레이트로 제공되고, 상기 플라즈마 생성 가스는 (a) H2, (b) H2 및 N2, (c) H2 및 희가스, (d) H2를 포함하지 않는 N2, (e) H2를 포함하지 않는 희가스, (f) 산소-함유 가스, (g) 불소-함유 가스, 및 (h) 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스 또는 가스 혼합물을 포함하고, 그리고
    (iii) 플라즈마는 상기 플라즈마 생성 가스로부터 생성되고 그리고 상기 기판은 상기 플라즈마에 노출되는 조건들 하에서 상기 기판을 상기 프로세싱 챔버 내 플라즈마에 노출하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  43. 제 3 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 중 적어도 하나는 프로세싱 챔버에서 발생하고, 상기 방법은 상기 프로세싱 챔버의 내부 표면들로부터 상기 금속을 제거하기 위해 상기 프로세싱 챔버를 세정하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  44. 제 43 항에 있어서,
    상기 프로세싱 챔버는,
    (i) 상기 프로세싱 챔버 내 압력은 약 0.1 내지 10 Torr이고,
    (ii) H 라디칼들을 포함하는 플라즈마는 상기 프로세싱 챔버에 노출되고, 상기 H 라디칼들은 금속 하이드라이드를 형성하도록 상기 프로세싱 챔버의 상기 내부 표면들 상의 상기 금속과 반응하고,
    (iii) 플라즈마는 약 300 내지 4,000 W의 무선 주파수 (radio frequency; RF) 전력을 사용하여 생성되고, 그리고
    (iv) 상기 프로세싱 챔버는 약 25 내지 250 ℃로 유지되는 조건들을 사용하여 세정되는, 기판 상 오염 제어 방법.
  45. 제 43 항에 있어서,
    상기 프로세싱 챔버는,
    (i) 상기 프로세싱 챔버 내 압력은 약 0.1 내지 10 Torr이고, 그리고 펌핑 및 퍼징 프로세스의 일부로서 더 낮은 압력과 더 높은 압력 사이에서 순환되고,
    (ii) 상기 프로세싱 챔버는 세정 동안 플라즈마에 노출되지 않고,
    (iii) 가스 플로우는 세정 동안 상기 프로세싱 챔버에 제공되고, 상기 가스 플로우는 이원자 질소 (N2), 이원자 산소 (O2), 희가스, 및 이들의 조합들로 구성된 그룹으로부터 선택된 적어도 일 가스를 포함하고, 그리고
    (iv) 상기 프로세싱 챔버는 약 25 내지 250 ℃로 유지되는 조건들을 사용하여 세정되는, 기판 상 오염 제어 방법.
  46. 제 3 항에 있어서,
    (i) 제 1 단계에서, 상기 기판은 약 1 내지 3 L/min의 레이트로 제공된 제 1 세정 용액에 노출되고, 상기 제 1 세정 용액은 희석된 HF를 포함하고,
    (ii) 제 2 단계에서, 상기 기판은 약 1 내지 3 L/min의 레이트로 제공된 제 2 세정 용액에 노출되고, 상기 제 2 세정 용액은 희석된 HCl, 표준 세정 1, 및 이들의 조합들로 구성된 그룹으로부터 선택된 용액을 포함하고,
    (iii) 상기 제 1 단계 및 상기 제 2 단계는 함께 약 20 내지 300 초의 지속 기간을 가지고, 그리고
    (iv) 상기 기판은 약 15 내지 60 ℃로 유지되는 조건들을 사용하여 상기 기판의 상기 배면 상에서 습식 세정을 수행하는 단계를 더 포함하는, 기판 상 오염 제어 방법.
  47. 제 3 항에 있어서,
    상기 기판의 상기 배면 또는 베벨 에지 영역 중 적어도 하나 상의 상기 금속의 상기 농도는 약 1E11 atoms/㎠ 이하로 적어도 10 배만큼 감소되는, 기판 상 오염 제어 방법.
  48. 제 47 항에 있어서,
    상기 기판의 상기 배면 또는 베벨 에지 영역 중 적어도 하나 상의 상기 금속의 상기 농도는 적어도 약 1E10 atoms/㎠ 이하로 적어도 10 배만큼 감소되는, 기판 상 오염 제어 방법.
  49. 제 3 항에 있어서,
    상기 금속은 주석인, 기판 상 오염 제어 방법.
  50. 기판을 프로세싱하기 위한 시스템에 있어서,
    프로세싱 챔버;
    상기 프로세싱 챔버로 가스 및/또는 플라즈마를 도입하기 위한 상기 프로세싱 챔버로의 유입구;
    상기 프로세싱 챔버로부터 재료들을 제거하기 위한 상기 프로세싱 챔버로의 유출구;
    히터;
    기판 지지부; 및
    제 1 항 내지 제 49 항 중 어느 한 항에 기재된 방법들 또는 그렇지 않으면 본 명세서에 기술된 방법들 중 임의의 하나 이상의 방법들을 유발하도록 구성된 제어기를 포함하는, 기판 프로세싱 시스템.
  51. 기판을 프로세싱하기 위한 시스템에 있어서,
    프로세싱 챔버;
    상기 프로세싱 챔버로 가스 및/또는 플라즈마를 도입하기 위한 상기 프로세싱 챔버로의 유입구;
    상기 프로세싱 챔버로부터 재료들을 제거하기 위한 상기 프로세싱 챔버로의 유출구;
    히터;
    기판 지지부; 및
    제어기를 포함하고, 상기 제어기는,
    (a) (i) 기판의 전면을 프로세싱하는 단계로서, 상기 프로세싱은 상기 기판의 배면 상에 오염 형성을 유발하는, 상기 기판의 상기 전면을 프로세싱하는 단계, 또는 (ii) 상기 기판의 상기 배면 상에 오염을 갖는 상기 기판을 수용하는 단계로서, 상기 오염은 금속을 포함하는, 상기 기판을 수용하는 단계 중 하나; 및
    (b) 상기 단계 (a) 후에, 프로세싱 후 소성 프로세스에서 상기 기판을 가열하는 단계로서, 상기 기판을 가열하는 단계는 상기 기판의 상기 배면 상의 상기 금속의 농도를 감소시키는, 상기 기판을 가열하는 단계를 유발하도록 구성되는, 기판 프로세싱 시스템.
  52. 제 51 항에 있어서,
    상기 기판의 상기 전면을 프로세싱하는 단계는, 포토레지스트 층을 현상하는 프로세스; 기판을 인-시츄 세정하는 프로세스; 패터닝 애플리케이션에서 맨드릴을 당기는 프로세스; 상기 기판 상의 피처를 평활화하는 프로세스; 및 포토레지스트 층을 디스커밍하는 프로세스로 구성된 그룹으로부터 선택된 적어도 일 프로세스를 포함하는, 기판 프로세싱 시스템.
  53. 제 52 항에 있어서,
    상기 제어기는 (i) 상기 기판 상에서 상기 포토레지스트 층을 현상하는 단계, 또는 (ii) 상기 기판의 상기 전면 상에서 현상된 상기 포토레지스트 층 및 상기 기판의 상기 배면 상에서 오염을 갖는 상기 기판을 수용하는 단계 중 하나를 유발함으로써 상기 단계 (a) 를 유발하도록 구성되고,
    상기 오염 내의 상기 금속은 상기 기판의 상기 전면 상의 상기 포토레지스트 층으로부터 유래되고, 그리고
    상기 단계 (b) 의 상기 프로세싱 후 소성 프로세스는 상기 포토레지스트 층이 적어도 부분적으로 현상될 때 발생하는 현상 후 소성 프로세스인, 기판 프로세싱 시스템.
  54. 제 53 항에 있어서,
    상기 단계 (a) 및 상기 단계 (b) 모두 동일한 프로세싱 챔버에서 발생하는, 기판 프로세싱 시스템.
  55. 제 53 항에 있어서,
    상기 단계 (a) 는 상기 프로세싱 챔버에서 발생하고, 그리고 상기 단계 (b) 는 제 2 프로세싱 챔버에서 발생하고, 상기 제 2 프로세싱 챔버는 상기 프로세싱 챔버와 상이한 프로세싱 챔버인, 기판 프로세싱 시스템.
  56. 제 53 항에 있어서,
    상기 프로세싱 챔버 내에 플라즈마를 제공하도록 구성된 플라즈마 생성기를 더 포함하는, 기판 프로세싱 시스템.
  57. 제 56 항에 있어서,
    상기 플라즈마 생성기는 상기 플라즈마가 상기 프로세싱 챔버 외부의 제 1 위치에서 생성되고 그리고 상기 프로세싱 챔버 내부의 제 2 위치로 전달되도록 리모트 플라즈마 생성기인, 기판 프로세싱 시스템.
KR1020237037775A 2021-04-02 2022-03-31 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어 KR20230159895A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163200921P 2021-04-02 2021-04-02
US63/200,921 2021-04-02
PCT/US2022/022790 WO2022212681A1 (en) 2021-04-02 2022-03-31 Control of metallic contamination from metal-containing photoresist

Publications (1)

Publication Number Publication Date
KR20230159895A true KR20230159895A (ko) 2023-11-22

Family

ID=83456764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237037775A KR20230159895A (ko) 2021-04-02 2022-03-31 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어

Country Status (7)

Country Link
US (1) US20240036474A1 (ko)
EP (1) EP4314950A1 (ko)
JP (1) JP2024514454A (ko)
KR (1) KR20230159895A (ko)
CN (1) CN117120938A (ko)
TW (1) TW202307594A (ko)
WO (1) WO2022212681A1 (ko)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7232742B1 (en) * 1999-11-26 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes forming a material with a high tensile stress in contact with a semiconductor film to getter impurities from the semiconductor film
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
JP5176423B2 (ja) * 2007-08-10 2013-04-03 東京エレクトロン株式会社 石英製品のベーク方法及び記憶媒体
JP2011099956A (ja) * 2009-11-05 2011-05-19 Toppan Printing Co Ltd レジストのベーク方法及びベーク装置
KR20210134072A (ko) * 2019-04-12 2021-11-08 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔

Also Published As

Publication number Publication date
CN117120938A (zh) 2023-11-24
EP4314950A1 (en) 2024-02-07
US20240036474A1 (en) 2024-02-01
TW202307594A (zh) 2023-02-16
WO2022212681A1 (en) 2022-10-06
JP2024514454A (ja) 2024-04-02

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20220344136A1 (en) Dry chamber clean of photoresist films
US20240036483A1 (en) Process tool for dry removal of photoresist
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
KR20230113400A (ko) 유기 증기를 사용한 포토레지스트 현상
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
KR20240056603A (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right