KR102061919B1 - Euv 리소그래피용 보조층 - Google Patents

Euv 리소그래피용 보조층 Download PDF

Info

Publication number
KR102061919B1
KR102061919B1 KR1020147017127A KR20147017127A KR102061919B1 KR 102061919 B1 KR102061919 B1 KR 102061919B1 KR 1020147017127 A KR1020147017127 A KR 1020147017127A KR 20147017127 A KR20147017127 A KR 20147017127A KR 102061919 B1 KR102061919 B1 KR 102061919B1
Authority
KR
South Korea
Prior art keywords
layer
forming
metal
auxiliary layer
substrate
Prior art date
Application number
KR1020147017127A
Other languages
English (en)
Other versions
KR20140102239A (ko
Inventor
탄티보로 오우아타라
칼튼 워시번
반다나 크리스나머피
더글러스 게레로
앨린 콜린
Original Assignee
브레우어 사이언스 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스 인코포레이션 filed Critical 브레우어 사이언스 인코포레이션
Publication of KR20140102239A publication Critical patent/KR20140102239A/ko
Application granted granted Critical
Publication of KR102061919B1 publication Critical patent/KR102061919B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/755Nanosheet or quantum barrier/well, i.e. layer structure having one dimension or thickness of 100 nm or less
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Silicon Polymers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Abstract

본 발명은 EUV 리소그래피 프로세스를 이용하여, 마이크로일렉트로닉스 구조물 및 이에 따라 형성되는 결과적인 구조물을 제조하는 신규한 방법을 제공한다. 이 방법은 포토레지스트층 바로 아래에 보조층을 이용하는 단계를 포함한다. 보조층은 기판에 직접 도포될 수도 있고, 기판에 도포될 수 있는 중간층에 도포될 수도 있다. 선호되는 보조층은 스핀-코팅가능한, 중합체 조성물로부터 형성된다. 발명의 방법은 개선된 도즈-크기 비를 가지면서 CD를 감소시킬 수 있고, 그러면서도 접착을 개선시키고 패턴 붕괴 문제를 감소 또는 제거시킬 수 있다.

Description

EUV 리소그래피용 보조층 {ASSIST LAYERS FOR EUV LITHOGRAPHY}
관련 출원
본 출원은 2011년 11월 21일자로 출원된 미국특허가출원 제61/562,166호(발명의 명칭: "ASSIST LAYERS FOR EUV LITHOGRAPHY")에 기초한 우선권을 주장하며, 그 내용 전체는 여기에 참고자료로 포함된다.
기술 분야
본 발명은 EUV(극자외선) 리소그래피를 이용한 마이크로일렉트로닉 구조의 제조 방법에 관한 것이다.
반도체 산업이 계속하여 무어의 법칙(Moore's law)을 따름에 따라, 계속 감소하는 특징부 크기에 대한 수요는 패턴 파괴를 막기 위해 더 얇은 박막의 이용을 필요로한다. 얇은 박막은 패턴을 기판에 전이하기 위해 하드마스크 이용을 필요할 것이다. 극자외선(EUV) 노광은 22-nm 노드 이상의 요건 임계 치수(CD) 목표를 실현하기 위해 단일 노광 리소그래피에 대한 선택 방법일 것으로 예상된다. 불행하게도, EUV 리소그래피는 많은 문제점들을 갖고 있고, 가장 주목할만한 사항 중 하나는 강력한 복사광원이 없다는 점이다. 가용한 에너지의 양이 제한되기 때문에, 리소그래피 성능에 애로가 있고, 긴 노광 시간이 필요하여, 처리량이 작다. 이러한 문제점에 대한 한가지 해법은 EUV 레지스트의 감도를 개선시키는 것이다. 이러한 감도는 소정의 특징부 크기, 더욱 간단히 말해서 소정의 도즈-크기 비(dose to size)에 도달하기 위해 필요한 '도즈'(dose)라고도 불리는 에너지의 양에 의해 추가적으로 형성될 수 있다.
특히 레지스트를 갖는 재료 설계의 주된 문제점은 산업 목표를 위해 필요한 선폭 러프니스(LWR) 및 감도의 개선을 동시에 실현하는 것이다. LWR은 포토리소그래피에 의해 형성되는 선폭의 변화의 척도다. 감도는 포토레지스트를 이미징하는데 필요한 에너지의 최소 도즈다. 국제 반도체 기술 로드맵(The International Technology Roadmap of Semiconductors)은 3nm 미만에서 3 시그마 LWR과, 10mJ/cm2 미만의 감도를 목표로 설정하고 있다. 가장 현재 가용한 레지스트는 이러한 두 목표를 충족시키지 못하고, LWR과 감도 간의 절충을 도모하는 경향이 있다. LWR을 개선시키면서 레지스트 감도를 개선시키기 위해 수많은 시도가 이루어지고 있다. 다양한 기법들 중에서도, 레지스트 중합체에 광-산 발생제(PAG) 기능을 병합하는 것이 있다. 이러한 방법들은 최적 리소그래픽 성능을 위한 중합체 제제에 존재하여야 하는 최대 또는 최소 양의 PAG로 제한된다. 이는 중합체 백본에 병합하기 위한 이상적인 PAG 조성을 얻는 것이 또한 합성 측면에서 어려운 점이다.
레지스트 설계의 다른 기법에서, LWR 감소 및 감도 증가를 위해 레지스트 흡광도를 증가시키려는 시도로 할로겐이 사용되고 있다. 이 기법은 전도유망하지만, 레지스트는 레지스트 하부에서 발생할 수 있는 테이퍼링(tapering)을 최소화시키기 위해 소정 레벨의 투명도를 필요로한다. 테이퍼링은 레지스트 상부로부터 레지스트 하부로 포톤이 이동함에 따라 발생하는 광 흡수의 차이에 의해 야기된다. 레지스트 흡광도 및 2차 전자 발생(EUV 조사에 의함)이 LWR 및 감도를 개선시킬 수 있는 산 발생에 중요한 역할을 한다고 또한 제안되어 있다. 그러나, 앞서 논의한 바와 같이, 테이퍼링 발생 이전에 레지스트 필름에서 실현될 수 있는 흡광도의 증가에 제한사항이 존재한다.
필요한 감도를 가지면서, 동시에 LWR을 개선시키는 EUV 방법이 필요하다.
본 발명은 구조물을 형성하는 방법을 제공함으로써 선행 기술의 문제점을 극복하며, 상기 방법은 하나 이상의 중간층을 선택적으로 포함하는 기판을 제공하는 단계를 포함한다. 보조층이 기판 상에, 또는 존재할 경우 상기 하나 이상의 중간층 상에 형성된다. 보조층은 경화 필름의 총 중량을 100%로 간주할 때, 적어도 약 0.01% 중량비의 금속을 포함하는 경화 필름이다. 포토레지스트층이 보조층 상에 형성되고, 포토레지스트의 적어도 일부분이 EUV 복사광에 노광된다.
발명은 하나 이상의 중간층을 선택적으로 포함하는 기판을 포함하는 구조물을 또한 제공한다. 기판 상에, 또는 존재할 경우 하나 이상의 중간층 상에, 보조층이 존재한다. 보조층은 경화 필름의 총 중량을 100%로 간주할 때, 적어도 약 0.01% 중량비의 금속을 포함하는 경화 필름이다. 포토레지스트층은 약 1nm로부터 약 100nm까지의 두께를 또한 갖는다. 마지막으로, 보조층 상에 포토레지스트층이 존재한다.
도 1은 30-nm 라인에 대한 도즈-크기 비를 나타내는 그래프이고,
도 2는 도 1A를 이용하여 30-nm 선의 평면도를 보여주는 주사 전자 현미경(SEM) 사진이며,
도 3은 제제 1C를 이용한 30-nm 선의 평면도를 보여주는 SEM 사진이고,
도 4는 제제 2를 이용한 30-nm 선의 평면도를 보여주는 SEM 사진이며,
도 5는 제제 3을 이용한 30-nm 선의 평면도를 보여주는 SEM 사진이고,
도 6은 제제 4를 이용한 30-nm 선의 평면도를 보여주는 SEM 사진이며,
도 7은 E2Stack® AL412를 이용한 30-nm 선의 평면도를 보여주는 SEM 사진이고,
도 8은 26-nm 선에 대한 도즈-크기 비를 나타내는 그래프이며,
도 9는 제제 1A를 이용한 26-nm 선의 평면도를 보여주는 SEM 사진이고,
도 10은 제제 2를 이용한 26-nm 선의 평면도를 보여주는 SEM 사진이며,
도 11은 제제 3을 이용한 26-nm 선의 평면도를 보여주는 SEM 사진이고,
도 12는 제제 4를 이용한 26-nm 선의 평면도를 보여주는 SEM 사진이며,
도 13은 E2Stack® AL412를 이용한 26-nm 선의 평면도를 보여주는 SEM 사진이고,
도 14는 예 8에 설명되는 바와 같이, 리소그래피 후 48-nm 선 및 공간의 단면도를 보여주는 SEM 사진이며,
도 15는 예 8에 설명되는 바와 같이, CF4 에칭 후 48-nm 선 및 공간의 단면도를 보여주는 SEM 사진이고,
도 16은 예 8에 설명되는 바와 같이, Ar:CO2 에칭 후 48-nm 선 및 공간의 단면도를 보여주는 SEM 사진이며,
도 17은 예 8에 설명되는 바와 같이, O2:N2 에칭 후 48-nm 선 및 공간의 단면도를 보여주는 SEM 사진이고,
도 18은 예 9(제제 6)에 설명되는 바와 같이, EUV 리소그래피 후 28-nm 선 및 공간의 평면도를 보여주는 SEM 사진이다.
세부적으로 말하자면, 본 발명은 특히 EUV 리소그래피에 적합한 마이크로일렉트로닉 구조를 형성하는 방법을 제공한다. 여기서 사용되는 바와 같이, EUV 리소그래피는 약 20nm 미만의, 바람직한 경우 약 10.5nm로부터 약 14.5nm까지의, 더 바람직한 경우, 약 13.5nm의, 파장을 의미한다.
발명의 방법에서, 표면을 갖는 기판이 제공된다. 임의의 마이크로일렉트로닉 기판이 이용될 수 있다. 선호되는 기판은 실리콘, SiGe, SiO2, Si3N4, 알루미늄, Al2O3, 하프늄, 지르코늄, 티타늄, 마그네슘, 텅스텐, 텅스텐 실리사이드, 갈륨 아시나이드, 게르마늄, 탄탈륨, 탄탈륨 나이트라이드, 인듐, 및 인듐 포스파이드로 구성되는 그룹으로부터 선택된 것을 포함한다. 기판은 평면형 표면을 가질 수 있고, 또는, 토포그래피 특징부(비아 구멍, 트렌치, 접촉 구멍, 융기 특징부, 선, 등)를 포함할 수 있다. 여기서 사용되는 바와 같이, "토포그래피"는 기판 표면 내에서 또는 상에서 구조물의 높이 또는 깊이를 나타낸다.
(아래에서 세부적으로 논의되는) 보조층을 형성하는데 유용한 조성이 그 후 기판에 도포되어 기판 상에 보조층을 형성한다. 이 조성은 알려진 임의의 도포 방법에 의해 도포될 수 있고, 한가지 선호되는 방법은 약 20초로부터 약 90초까지(바람직한 경우 약 30초로부터 약 60초까지)의 시간 주기동안 약 350 rpm으로부터 약 4000rpm까지(바람직한 경우 약 1000rpm으로부터 약 2500rpm까지)의 속도로 조성물을 스핀코팅한다. 보조층은 그 후 베이킹되어 조성물의 열적 가교결합을 유도하여 경화된 보조층을 형성한다. 선호되는 베이킹 조건은 적어도 약 145℃(바람직한 경우 약 150℃로부터 약 250℃까지, 더욱 바람직한 경우 약 175℃로부터 약 210℃까지)의 온도와, 약 30초로부터 약 120초까지(바람직한 경우 약 45초부터 약 90초까지)의 시간 주기를 포함한다.
베이킹 후 보조층의 두께는 바람직한 경우 약 1nm부터 약 100nm까지, 더 바람직한 경우 약 1nm부터 약 50nm까지, 더욱 바람직한 경우 약 1nm부터 약 30nm까지, 가장 바람직한 경우 약 5nm부터 약 20nm까지다. 기판 표면이 토포그래피를 포함할 경우, 보조층은 기판 토포그래피를 실질적으로 덮기에 충분한 두께로 도포되는 것이 바람직하다. 추가적으로, 경화된 보조층은 약 50°부터 약 110°까지, 그리고 바람직한 경우 약 65°부터 약 °80도까지 물과의 접촉각을 가질 것이다.
상기는 기판 표면에 직접 보조층을 도포하는 것을 논의하고 있으나, 일부 실시예에서는 기판에 먼저 도포되는 하나 이상의 중간층이 존재할 수 있다. 이러한 예에서, 보조층은 기판 표면보다는 최상위 중간층에 도포될 수 있다. 이용될 수 있는 중간층의 예는, 하드 마스크(스핀-온 카본 포함), 유기층, 카본층, 유기-금속층, 및 장벽층으로 구성되는 그룹으로부터 선택되는 것들을 포함한다.
유리하게도, 가교결합된 보조층은 에틸 락테이트(EL), 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME), 프로필렌 글리콜 n-프로필 에테르(PnP), 사이클로헥사논, 아세톤, 감마 부티롤락톤(GBL), 및 그 혼합물과 같은 전형적인 유기 용매에 실질적으로 용해불가할 것이다. 따라서, 박리 검사를 받을 때, 가교결합된 보조층은 약 5% 미만, 바람직한 경우 1% 미만, 더 바람직한 경우 0%의 박리 백분율을 가질 것이다. 박리 검사는 첫 번째로, (서로 다른 5개의 위치에서 측정치들의 평균값을 취함으로써) 경화된 보조층의 두께를 결정하는 과정을 포함한다. 이는 최초 평균 필름 두께다. 다음에, 용매(가령, 에틸 락테이트)가 약 20초동안 경화된 필름에 공급되고, 이어서 약 30초 동안 약 3000rpm으로 스핀 건조하여 용매를 제거한다. 편광 분석법(ellipsometry)을 이용하여 웨이퍼 상의 서로 다른 5개 지점에서 두께를 다시 측정하고, 이 측정치들의 평균이 결정된다. 이는 최종 평균 필름 두께다.
박리 정도는 최초 및 최종 평균 필름 두께 간의 차이다. 박리 백분율은 다음과 같다:
박리 % = (박리 정도/최초 평균 필름 두께) X 100
보조층이 경화된 후, EUV 포토레지스트(즉, 이미징층)이 보조층에 도포되어 포토레지스트층을 형성할 수 있다. 본 발명의 한가지 주된 장점은 EUV 응용예에 더욱 적합하게 포토레지스트 조성을 수정하는 것에 관한 고려없이 포토레지스트 조성을 선택할 수 있다는 점이다. 예를 들어, 포토레지스트 중합체에 PAG 기능을 채택할 필요가 없고, 일 실시예에서, 이용되는 포토레지스트 중합체는 PAG 또는 다른 산 발생제(acid generator)로부터 실질적으로 자유롭다(즉, 약 0.1% 미만 그리고 바람직한 경우 약 0%). 포토레지스트 조성이 또한 할로겐 또는 적어도 첨가 할로겐으로부터 실질적으로 자유로울 수 있도록(즉, 약 0.1% 미만, 그리고 바람직한 경우 0%) 할로겐을 포토레지스트 조성에 포함시킬 필요가 또한 없다.
포토레지스트층은 기존의 임의의 방법에 의해 형성될 수 있고, 한가지 선호되는 방법은 약 20초부터 약 90초까지(바람직한 경우 약 30초부터 약 60초까지)의 시간 주기 동안 약 350rpm부터 약 4000 rpm까지(바람직한 경우 약 1000rpm부터 약 2500rpm까지)의 속도로 포토레지스트 조성물을 스핀 코팅하는 것이다. 그 후 포토레지스트층은 약 30초부터 약 120초까지의 시간 주기 동안, 적어 약 90℃, 바람직한 경우 약 100℃부터 약 140℃까지, 그리고 더욱 바람직한 경우 약 105℃부터 약 130℃까지의 온도에서 포스트-애플리케이션 베이킹(PAB)된다. 베이킹 후 포토레지스트층의 두께는 통상적으로 약 40nm부터 약 120nm까지일 것이고, 바람직한 경우 약 45nm부터 약 80nm까지이며, 더욱 바람직한 경우 약 50nm부터 약 70nm까지다.
포토레지스트층은 약 1mJ/cm2로부터 약 100mJ/cm2까지, 바람직한 경우 약 5mJ/cm2로부터 약 50mJ/cm2까지, 더 바람직한 경우 약 5mJ/cm2로부터 약 15mJ/cm2까지의 도즈를 위한 EUV 복사에 대한 노광에 의해 패턴처리되고, 이어서, 노광된 포토레지스트층이 현상된다. 더욱 구체적으로, 포토레지스트층은 포토레지스트층의 표면 위에 위치하는 마스크를 이용하여 노광된다. 마스크는 EUV 복사광을 마스크로부터 반사시키고 포토레지스트층의 표면과 접촉하게 하도록 설계된, 열린 영역을 갖는다. 마스크의 나머지 부분은 복사광이 소정의 영역에서 포토레지스트층의 표면과 접촉하는 것을 방지하기 위해 광을 흡수하도록 설계된다. 당 업자는 열린 영역 및 흡수 부분의 배열이 포토레지스트층에, 그리고 궁극적으로 기판에, 형성될 요망 패턴에 기초하여 설계됨을 이해할 것이다.
본 발명은 레지스트 성능 개선을 위해 레지스트 또는 EUV 광원에 의존하지 않는다는 점에서 선행 기술과 차별화된다. 이러한 개념은 우수한 LWR 및 감도의 실현을 위해 레지스트 변경에 의존하는 종래의 개념과 차별화된다. 유리하게도, 포토레지스트층이 EUV 복사광에 노광됨에 따라, 보조층 흡광도가 2차 전자 발생의 소스로 변조된다. 레지스트 및 보조층이 발명의 방법에서 단일층으로 거동하기 때문에, 반사 효과가 전혀 없고, 두 물질은 대략 동일한 양의 에너지를 흡수한다. 193-nm 파장의 에너지의 약 14배를 갖는 고-에너지 EUV 포톤과, 2차 전자 발생은 포토레지스트의 산-발생 효율을 향상시키고, 따라서, LWR 및 감도를 개선시킨다. 특히, 보조층은 대역-외 복사광의 반사율을 제어하는 특정 파장을 흡수하도록 특정 발색단을 갖도록 설계될 수 있고, 이는 대역-외 복사광의 부정적 효과를 완화시킬 것이다.
EUV 노광 후, 포토레지스트층은 약 30초부터 약 120초까지(약 45초부터 약 90초까지가 바람직함)의 시간 주기 동안 약 140℃ 미만의, 바람직한 경우 약 80℃부터 약 140℃까지의, 더욱 바람직한 경우 약 90℃부터 약 130℃까지의 온도에서 포스트-노광 베이킹("PEB") 처리되는 것이 바람직하다.
그 후 포토레지스트층은 디벨로퍼와 접촉하여 패턴을 형성한다. 사용되는 포토레지스트가 양성인지 음성인지 여부에 따라, 디벨로퍼는 포토레지스트층의 노출부를 제거하거나, 포토레지스트층의 비노출부를 제거하여, 패턴을 형성한다. 그 후 패턴은 보조층, 존재하는 임의의 중간층, 그리고 마지막으로 기판에 전달된다. 이러한 패턴 전달은 플라즈마 에칭 프로세스(가령, CF4 에천트, O2 에천트) 또는 습식 에칭 또는 현상 프로세스를 통해 이루어질 수 있다. 패턴이 포토레지스트층으로부터 에칭을 통해 기판으로 전달되는 실시예에서, 전형적인 EUV 포토레지스트에 대한 보조층의 에칭 선택도는 적어도 약 1인 것이 선호되고, 약 1.1로부터 약 2까지인 것이 바람직하다. 이러한 층들은 기판이 에칭되는 속도보다 작거나 같은 속도로 에칭되는 것이 바람직하다. 즉, 위 에천트가 사용될 때 발명에 따른 조성의 에칭 속도에 대한 기판 에칭 속도 비가 약 1로부터 약 5까지일 것이고, 약 1부터 약 4까지인 것이 더욱 바람직하다.
다른 실시예에서, 사용되는 보조층은 디벨로버 용해가능인 것일 수 있다. 여기서 사용되는 "디벨로퍼 용해가능" 또는 "습식-현상가능"은 EUV 복사광에 노출된 보조층 부분이 테트라메틸 암모늄 하이드록사이드(TMAH) 디벨로퍼와 같은 기존의 수성 디벨로퍼로 본질적으로 제거될 수 있다는 것을 의미한다. 포토레지스트층의 노출부 아래의 보조층의 노출부는 포토레지스트층이 제거됨에 따라 디벨로퍼에 의해 제거되어, 포토레지스트층 및 보조층에 요망 패턴을 형성한다. 패턴은 에칭 또는 이온 주입 프로세스를 이용하여 궁극적으로 기판에 전달될 비아 구멍, 트렌치, 라인, 간격, 등일 수 있다. 바람직한 경우, 보조층의 노출부의 적어도 약 95%가 디벨로퍼에 의해 제거될 것이고, 적어도 약 99%가, 가장 바람직한 경우 약 100%가 제거될 것이다. 적절한 디벨로퍼는 TMAH와 같은 유기 또는 무기 알칼라인 용액이고, 0.26N 이하의 농도로 TMAH의 수성 용액을 포함하는 것이 바람직하다. 바람직한 경우, 0.26N TMAH 디벨로퍼 내 보조층의 해리 속도는 약 100nm/초로부터 약 1000nm/초까지일 것이고, 약 500nm/초로부터 약 1000nm/초까지가 더욱 바람직하다. 기존의 에칭, 금속피복화, 등이 패턴처리된 스택 상에서 수행되어 소자 제조를 완성한다.
다른 실시예에서, 사용되는 보조층은 비-극성 유기 용매에 용해가능할 수 있다. EUV 복사광에 노출될 때, 보조층의 노출부는 용해불가 극성 기능을 지니도록 변환되어, 비-극성 용매로 필름의 음극성 현상을 가능하게 한다. 경화된 보조층은 비-극성 유기 용매 및 이러한 용매들의 혼합물에 용해가능해질 것이다. 바람직한 경우, 보조층은 EL, PGMEA, 헵타논, 부틸 아세테이트, PnP, 사이클로헥산, 아세톤, GBL, 및 그 혼합물과 같은 전형적인 비-극성 용매에 용해가능하며, 보조층이 부틸 아세테이트와, 부틸 아세테이트와 하나 이상의 첨가물과의 혼합물에 용해가능한 것이 더욱 바람직하다.
패턴 전달이 에칭에 의해 또는 현상에 의해 수행되는지에 관계없이, 결과적인 특징부는 높은 분해능을 갖는다. 예를 들어, 약 32nm 미만, 바람직한 경우 22nm 미만의 분해능을 발명의 방법으로 실현할 수 있다.
발명의 방법에 사용하기 위한 조성
본 발명에 사용하기 위한 조성물은, 기판 상에 코팅되어 가열될 때, 금속-함유 필름을 형성시키는 용매계(solvent-borne) 제제다. 조성물(및 궁극적으로 금속-함유 필름)은 금속 핼라이드, 금속 카바이드, 금속 설파이드, 금속 나이트라이드, 금속 옥사이드, 및 그 혼합물로 구성되는 그룹으로부터 선택된 금속 또는 금속 유도체를 포함하는 것이 바람직하다. 이러한 금속 및 금속 유도체는 Si, SiO2, Si3N4, AlN, Al2O3, ZrC, ZrO2, Hf, HfO2, TiN, TiO, TiO2, Ti2O3, Mg3N2, MgO, W, WO, WO2, 및 WO3로 구성되는 그룹으로부터 선택된 것을 포함한다. 가장 선호되는 금속은 EUV 파장에서 에너지를 잘 흡수하는 기능을 갖는 금속이다. 선호되는 금속은 Al, Mg, Na, Zn, Co, Cu, Ga, Ge, Hf, Ti, Zr, Se, Ni, V, Ar, Sc, 및 Si로 구성되는 그룹으로부터 선택되는 금속이다. 이러한 금속은 통상적으로 적어도 약 4cm2/몰, 바람직하게는 약 5cm2/몰로부터 약 9cm2/몰, 더 바람직한 경우 약 6cm2/몰로부터 약 9cm2/몰까지의 EUV 파장에서의 흡수를 나타낼 것이다.
선택되는 금속에 관계없이, 경화된 금속-함유 필름이 경화 필름의 총 중량을 100% 중량비로 취할 때, 적어도 약 0.01%의 중량비, 바람직한 경우 약 10%부터 약 70%까지의 중량비, 더 바람직한 경우 약 20%부터 약 50%까지의 중량비의 총 금속 함량을 갖는 것이 선호된다. 더욱 바람직한 경우, 이러한 금속 함량은 앞서 나열한 금속들 중 하나 이상에 전적으로 영향받을 수 있다. 이러한 백분율은 조성물의 총 중량을 100% 중량비로 취할 때, 금속의 소스가 적어도 약 0.05% 중량비, 바람직한 경우 약 0.1%로부터 약 20%까지의 중량비, 더욱 바람직한 경우 약 0.5%로부터 약 5%까지의 중량비의 레벨에서 조성물로 존재함을 보장함으로써 실현된다.
한가지 선호되는 실시예는 금속 옥사이드 필름을 형성하는 조성물을 이용한다. 금속 옥사이드-형성 화학종은 단량체, 올리고머, 또는 중합체의 속성을 가질 수 있고, 졸-겔 물질 및 나노입자는 중합체로 간주된다. 금속 알콕사이드 및 디케토네이트는 금속 옥사이드에 대한 전형적인 전구체다. 단량체는 열 존재 하에 금속 옥사이드로 직접 변환될 수 있고, 촉매 첨가로 도움받을 수 있다. 일부 예에서, 용해 안정성, 필름 형성, 또는 경화 속도를 위해, 고분자량의 전구체가 요망된다. 이러한 전구체는 다기능 리간드의 이용 또는 졸-겔 중합체(부분 산화된 단량체)의 합성에 의해 실현될 수 있다. 서로 다른 금속 단량체의 혼합물, 졸-겔, 혼합 금속 졸-겔, 또는 실리콘 함유 혼합물이 사용될 수 있다. 다양한 금속 및/또는 실리콘의 혼합물은 에칭 속도 조정, 제제 안정성, 경화 속도, 필름 코팅 품질, 및 리소그래피 품질(광학 상수 및 프로파일 제어)을 도울 수 있다.
적절한 중합체 금속 알콕사이드의 예는 다음의 화학식을 갖는 반복 단위체를 포함하는 것을 포함한다:
Figure 112014058116471-pct00001
각각의 R은 수소와 알킬기(바람직한 경우 C1-C8 알킬)로 구성되는 그룹으로부터 개별적으로 선택되며, M은 앞서 설명한 바와 같은 금속이다. 가장 선호되는 R 기는 -CH3 및 -CH2-CH3로 구성되는 그룹으로부터 선택된다. 다른 적절한 조성물이 미국특허 제6,740,469호에 또한 설명되어 있다.
다른 실시예에서, 조성물은 POSS라는 명칭으로 Hybrid Plastics에 의해 상품화된 것과 같은 폴리헤드럴 올리고머 실세스퀴옥산 화합물의 반복 단량체들을 갖는 중합체를 포함할 것이고, 및/또는 이러한 조성물은 폴리헤드럴 올리고머 실세스퀴옥산 화합물을 포함할 것이다. 이러한 한가지 화합물은 다음의 화학식을 갖는다:
Figure 112014058116471-pct00002
각각의 R1은 수소, 알킬(바람직한 경우 C1-C12, 더 바람직한 경우 C1-C6), 아릴(바람직한 경우 C6-C18, 더욱 바람직한 경우 C6-C12), 하이드로알킬시릴록시스 및 올레핀 부분으로 구성되는 그룹으로부터 개별적으로 선택된다. 한가지 선호되는 하이드록시알킬시릴록시는 다음의 화학식을 갖는 것이다:
Figure 112014058116471-pct00003
화합물 (I)이 중합체의 일부분으로 존재하는 실시예에서, 중합화는 R1 상의 올레핀 기를 통해 이루어지는 것이 바람직하다. 따라서, 적어도 하나의 R1은 (메타크릴레이트 또는 아크릴레이트와 같은) 올레핀 부분을 포함할 것이다. 본 실시예에서, (I)에서의 적어도 하나의 R1은 다음과 같은 것이 선호된다:
Figure 112014058116471-pct00004
이때, "*"는 (I)의 실리콘 원자를 표시한다.
일부 실시예에서, PAG 또는 심지어 열산 발생제(TAG)가 사용된다. 바람직한 경우, PAG 또는 TAG가 중합체, 올리고머, 또는 작은 분자에 부착되지 않지만, 대신에, 보조층 조성물에 단순히 혼합된다. 선호되는 PAG는 오늄 염(예를 들어, 트리페닐 설포늄 퍼플로로설포네이트, 가령, TSP 노나플레이트, TPS 트리플레이트, 및 그 치환 형태, 가령, 트리스(4-테르트-부틸페닐)설포늄 퍼플롤로-1-부탄설포네이트 (알킬-치환 TPS 노나플레이트), 이 모두는 Sigma-Aldrich 제품), 옥사임-설포네이트(예를 들어, CGI® 명칭의 CIBA 제품), 트리아진(예를 들어, TAZ-108®, Midori Kagaku Company 제품), 및 이들의 조합으로 구성되는 그룹으로부터 선택되는 것을 포함한다. PAG는 조성물 내 고체의 총 중량을 중량비 100%로 간주할 때, 약 0.001% 로부터 약 20%까지의 중량비, 바람직한 경우 약 2%로부터 약 6%까지의 중량비의 레벨로 조성물에 존재하여야 한다.
다른 실시예에서, 사용되는 보조층 조성물은 PAG와 같은 산 발생제로부터 실질적으로 자유롭다. 즉, 보조층 조성물은 조성물 내 고체의 총 중량을 100%로 할 때, 약 0.001% 미만 중량비의 산 발생제를, 바람직한 경우 약 0% 중량비의 산 발생제를 포함할 것이다.
다른 실시예에서, 보조층 조성물은 과량의 산을 트래핑하기 위한 소광제(quencher), 더욱 바람직한 경우, 비노출 영역에서 산 포집제(acid scavenger)로 작용하는 광분해성 성분을 함유할 수 있어서, 이러한 영역에서 산 확산을 감소시킬 수 있다. 바람직한 경우, 소광제는 중합체에 부착되지 않고, 대신에 보조층 내에 물리적으로 혼합된다. 이러한 실시예에서, 중합체 고체의 총 중량을 100%로 할 때, 조성물은 약 0.001%로부터 약 20%까지의 중량비로, 바람직한 경우 약 1%로부터 약 5%까지의 중량비로 소광제를 포함하여야 한다.
금속-함유 조성물에 사용하기 위한 선호되는 가교결합제는 비닐 에테르 가교결합제, 아미노플라스트(예를 들어, Powerlink® 또는 Cymel® 명칭으로 판매 중인 것), 에폭시, 및 이들의 혼합물로 구성되는 그룹으로부터 선택된다. 판매 중인 비닐 에테르의 예는 상표명 TECTomerTM 하에 판매 중인 것(Aldrich; 미국, 미주리주, St. Louis)을 포함한다. 적절한 에폭시의 예는 Huntsman Advanced Materials 사의 Araldite®의 명칭으로 판매 중인 것(가령, MY720 테트라 기능 에폭시 수지)을 포함한다. 사용될 때, 가교결합제는 조성물 내 고체의 총 중량을 100%로 간주할 때, 약 0.01% 내지 약 50% 중량비, 바람직한 경우 약 0.01% 내지 약 30%의 중량비로 조성물에 존재하는 것이 바람직하다.
더욱 바람직한 경우, 조성물에 사용하기 위한 비닐 에테르 가교결합제는 다음의 화학식을 갖는다:
Figure 112014058116471-pct00005
이때, R'은 아릴(바람직한 경우 C6-C14) 및 알킬(바람직한 경우 C1-C18, 더 바람직한 경우 C1-C10)로 구성되는 그룹으로부터 선택되고, 각각의 X는 알킬(바람직한 경우 C1-C18, 더 바람직한 경우 C1-C10), 알콕시(바람직한 경우 C1-C18, 더욱 바람직한 경우 C1-C10), 카르보닐, 및 위 중 2개 이상의 조합으로 구성되는 그룹으로부터 선택되며, n은 적어도 2이고, 바람직한 경우 2 내지 6이다. 가장 선호되는 비닐 에테르는 에틸렌 글리콜 비닐 에테르, 트리메틸올프로판 트리비닐 에테르, 1,4-사이클로헥산 디메탄올 디비닐 에테르, 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 것을 포함한다.
일부 실시예에서, 보조층 형성을 위한 조성물은 산 촉매를 포함한다. 선호되는 촉매는 설폰산(예를 들어, p-톨루엔설폰산, 스티렌 설폰산), 설포네이트(예를 들어, 피리디늄 p-톨루엔설포네이트, 피리디늄 트리플로로메탄설포네이트, 피리디늄 3-니트로벤젠설포네이트), 및 이들의 혼합물로 구성되는 그룹으로부터 선택된다. 조성물은 조성물 내 고체의 총 중량을 100%로 할 때, 약 0.1% 내지 약 2% 중량비 촉매, 바람직한 경우 약 0.3% 내지 약 1% 중량비 촉매를 포함할 수 있다.
다른 실시예에서, 보조층 형성을 위한 조성물은 염기 또는 염 촉매를 포함한다. 선호되는 이러한 촉매는 암모늄 핼라이드 염(예를 들어, 벤질트리메틸 암모늄 클로라이드, 벤질트리에틸 암모늄 클로라이드)이다. 조성물은 조성물 내 고체의 총 중량을 100%로 할 때, 약 0.1% 내지 약 2% 중량비의 촉매를, 바람직한 경우 약 0.3% 내지 약 1% 중량비의 촉매를 포함할 수 있다.
다른 실시예에서, 보조층 조성물은 촉매로부터 실질적으로 자유롭다. 즉, 보조층 조성물은 조성물 내 고체의 총 중량을 100%로 할 때, 약 0.001% 미만 중량비의 촉매, 바람직한 경우 약 0% 중량비의 촉매를 포함할 것이다.
일부 실시예에서, 발색단이 대역외 북사의 반사율을 제어하기 위해 포함될 수 있다. 이러한 예에서, 발색단은 금속 함유 화합물 또는 중합체에 결합될 수 있고, 또는, 조성물에 물리적으로 혼합될 수 있다. 발색단이 사용될 경우, 이는 조성물 내 고체의 총 중량을 100%로 할 때, 통상적으로 약 0.1% 내지 약 20%의 중량비, 그리고 바람직한 경우 약 1% 내지 약 10%의 중량비로 존재할 것이다.
선호되는 용매계는 PGMEA, PGME, PnP, 사이클로헥사논, GBL, 메틸이소부틸 카르비놀, 및 이들의 혼합물로 구성되는 그룹으로부터 선택된 용매를 포함한다. 바람직한 경우, 용매계는 약 70℃ 내지 약 200℃의 비등점, 바람직한 경우 약 100℃ 내지 약 150℃의 비등점을 갖는다. 용매계는 조성물의 총 중량을 100%로 간주할 때, 약 97% 내지 약 99.5% 중량비, 바람직한 경우 약 98% 내지 약 99%의 중량비로 이용되어야 한다. 보조층 형성에 사용되는 조성물은 조성물의 총 중량을 100%로 간주할 때, 약 0.5% 내지 약 3% 고체 중량비, 더욱 바람직한 경우 약 1% 내지 약 2%의 고체 중량비의 고체 함량을 포함하는 것이 바람직하다.
용매계에서 함께 위 구성요소들을 함께 혼합함으로써 보조층 조성물이 형성된다. 더욱이, 이와 동시에 선택적인 임의의 구성요소(가령, 계면활성제)가 용매계에 또한 분산된다.
앞서의 발명은 종래 기술에 비해 다수의 장점을 제공한다. 예를 들어, 보조층은 레지스트의 광-이미징을 돕고, 후속 에칭 프로세스를 돕는다. 보조층은 2차 전자 소스를 제공함으로써 포토레지스트의 이미징에 필요한 EUV 에너지의 도즈를 또한 감소시킨다. 보조층은 포토레지스트의 이미징 기능에 부정적 영향을 미칠 수 있는 대역외 복사를 흡수함으로써 포토레지스트에서의 날카로운 이미지 형성을 또한 돕는다. 마지막으로, 보조층의 화학적 조성은 이를 하드마스크로 작용할 수 있게 하고, 이는 프로토레지스트로부터 아래쪽 기판 및/또는 중간층으로 패턴 전달을 더 우수하게 할 수 있게 한다.
다음의 예는 발명에 따른 선호되는 방법을 제시한다.
그러나, 이 예들은 예시를 위해 제공되는 것일 뿐이고, 그 중 어느 것도 발명의 전체 범위에 대한 제한사항으로 간주되어서는 안된다.
예 1
졸-겔 실리콘 플랫폼을 이용한 코팅 제조
이 과정에서, 7.498 그램의 테트라에톡시실레인(미국, 펜실베이니아, Morrisville에 소재한 Gelest), 4.998 그램의 2-(3,4-에폭시사이클로헥실)에틸 트리메톡시실레인(Gelest), 12.496 그램의 메틸트리메톡시실레인(Gelest), 및 58.315 그램의 PGME(미국, 캘리포니아, Castroville에 소재한 Ultra Pure Solutions, Inc.)가 둥근바닥 플라스크에 첨가되었다. 10분 주기에 걸쳐, 2.937 그램의 아세트산(Sigma Aldrich) 및 13.756 그램의 물의 용액이 저어주면서 플라스크에 첨가되었다. 둥근바닥 플라스크는 증류 헤드, 증류 칼럼, 및 수집 플라스크(collection flask)와 끼워맞춰졌다. 용액은 95℃에서 5시간 동안 가열하였다. 부산물(물 및 메탄올)이 반응 중 제거되었다. 최종 용액은 추가적인 정제없이, 직접 ML-2(아래에서 논의됨)로 사용되었다.
다음에, 3.096 그램의 ML-2, 0.155 그램의 PGME 내 1중량% 벤질트리에틸암모늄 클로라이드("BTEAC", Alfa Aesar - 미국, 메사추세츠, Ward Hill 소재), 67.724 그램의 PGME, 29.025 그램의 PGMEA, 및 0.01 그램의 NDS-105(PAG, Midoryi Kagaku - 일본, 토쿄 소재)가 균질 상태가 될 때까지 혼합되었고, 0.2마이크로미터 끝점 필터를 통해 여과되어, 제제 1A를 생성하였다.
다른 제제는 3.096 그램의 ML-2, PGME 내 0.155그램의 PGME 내 1 중량%의 BTEAC, 67.724 그램의 PGME, 29.025 그램의 PGMEA, 및 0.02 그램의 NDS-105를 균질 상태일 때까지 혼합함으로써, 그리고 이어서, 0.2마이크로미터 끝점 필터를 통해 여과함으로써 제조되어, 제제 1B를 생성하였다.
세번째 제제는 3.096 그램의 ML-2, PGME 내 0.155그램의 PGME 내 1 중량%의 BTEAC, 67.724 그램의 PGME, 29.025 그램의 PGMEA, 0.015 그램의 비스페놀 A(Sigma-Aldrich - 미국, 미주리, St. Louis 소재), 및 0.01 그램의 NDS-105를 균질 상태일 때까지 혼합함으로써, 그리고 이어서, 0.2마이크로미터 끝점 필터를 통해 여과함으로써 제조되어, 제제 1C를 생성하였다.
제제 1A, 1B, 1C는 60초 동안 1500rpm에서 4-인치 실리콘 웨이퍼 상에서 스핀 코팅에 의해, 그리고 이어서 205℃에서 1분 동안 베이킴함으로써, 개별적인 20-nm 두께 필름으로 형성되었다. 에틸 락테이트 박리는 0.5% 미만으로 측정되었고, 이는 명백한 필름 손실 또는 팽윤 현상(swelling)이 전혀 없었음을 의미한다.
예 2
졸-겔 실리콘 플랫폼을 이용한 코팅 제조
이 과정에서, 15.23 그램의 테트라에톡시실레인, 34.20 그램의 n-부탄올 내 60% 지르코늄 디-n-부톡사이드 비스(-2,4-펜탄디오네이트)(Gelest), 및 46.25 그램의 PGME(Ultra Pure Solutions, Inc.)가 둥근바닥 플라스크에 첨가되었다. 10분 주기에 걸쳐, 0.63 그램의 아세트산(Sigma Aldrich) 및 2.69 그램의 물의 용액이 저어주면서 플라스크에 첨가되었다. 둥근바닥 플라스크는 증류 헤드, 증류 칼럼, 및 수집 플라스크(collection flask)와 끼워맞춰졌다. 용액은 95℃에서 5시간 동안 가열하였다. 부산물(물 및 메탄올)이 반응 중 제거되었고, 그 후 21.44 그램의 결과적 용액, 5.52 그램의 PGME 내 0.55 중량%의 BTEAC, 및 47.94 그램의 PGMEA가 균질 상태일 때까지 혼합되었다. 균질 혼합물은 0.2 마이크로미터 끝점 필터를 통해 여과되어 제제 2를 생성하였다. 제제 2는 60초 동안 1500rpm에서 4-인치 실리콘 웨이퍼 상에서 스핀 코팅에 의해, 그리고 이어서 205℃에서 1분 동안 베이킴함으로써, 20-nm 두께 필름으로 형성되었다. 에틸 락테이트 박리는 0.5% 미만으로 측정되었고, 이는 명백한 필름 손실 또는 팽윤 현상(swelling)이 전혀 없었음을 의미한다.
예 3
졸-겔 실리콘 플랫폼을 이용한 코팅 제조
이 과정에서, 15.23 그램의 테트라에톡시실레인, 34.20 그램의 n-부탄올 내 60% 지르코늄 디-n-부톡사이드 비스(-2,4-펜탄디오네이트)(Gelest), 및 46.25 그램의 PGME(Ultra Pure Solutions, Inc.)가 둥근바닥 플라스크에 첨가되었다. 10분 주기에 걸쳐, 0.63 그램의 아세트산(Sigma Aldrich) 및 2.69 그램의 물의 용액이 저어주면서 플라스크에 첨가되었다. 둥근바닥 플라스크는 증류 헤드, 증류 칼럼, 및 수집 플라스크(collection flask)와 끼워맞춰졌다. 용액은 95℃에서 5시간 동안 가열하였다. 부산물(물 및 메탄올)이 반응 중 제거되었고, 그 후 21.86 그램의 결과적 용액, 5.44 그램의 PGME 내 0.55 중량%의 BTEAC, 및 48.04 그램의 PGMEA가 균질 상태일 때까지 혼합되었다. 균질 혼합물은 0.2 마이크로미터 끝점 필터를 통해 여과되어 제제 3을 생성하였다. 제제 3은 60초 동안 1500rpm에서 4-인치 실리콘 웨이퍼 상에서 스핀 코팅에 의해, 그리고 이어서 205℃에서 1분 동안 베이킴함으로써, 20-nm 두께 필름으로 형성되었다. 에틸 락테이트 박리는 0.5% 미만으로 측정되었고, 이는 명백한 필름 손실 또는 팽윤 현상(swelling)이 전혀 없었음을 의미한다.
예 4
졸-겔 실리콘 플랫폼을 이용한 코팅 제조
이 과정에서, 5.61 그램의 테트라에톡시실레인, 13.02 그램의 메틸트리메톡시실레인, 1.13 그램의 9-안트라센 카르복실산, 1.50 그램의 티타늄 이소프로폭사이드(Gelest), 10.35 그램의 물, 2.09 그램의 아세트산, 및 66.3 그램의 PGME가 혼합되어 5시간 동안 질소 환경 하에 95℃에서 반응하였다. 부산물(물 및 메탄올)이 반응 중 제거되었다. 그 다음, 10.04 그램의 결과적인 용액, 1.03 그램의 PGME 내 0.55 중량%의 BTEAC, 65.41 그램의 PGME, 및 27.72 그램의 PGMEA가 균질 상태일 때까지 혼합되었고, 그 후 0.1 마이크로미터 끝점 필터를 통해 제제 4를 생성하였다.
제제 4는 60초 동안 1500rpm에서 4-인치 실리콘 웨이퍼 상에서 스핀 코팅에 의해, 그리고 이어서 205℃에서 1분 동안 베이킴함으로써, 20-nm 두께 필름으로 형성되었다. 에틸 락테이트 박리는 0.5% 미만으로 측정되었고, 이는 명백한 필름 손실 또는 팽윤 현상(swelling)이 전혀 없었음을 의미한다.
예 5
졸-겔 실리콘 플랫폼을 이용한 코팅 제조
본 예에서, 2.83 그램의 페닐 트리메톡시실레인, 4.86 그램의 2-(3,4-에폭시사이클로헥실)에틸 트리메톡시실레인, 7.94 그램의 메틸트리메톡시실레인, 12.40 그램의 테트라에톡시실레인(Gelest), 13.86 그램의 3N 아세트산 용액(17.6% 아세트산 및 82.4% 물), 및 58.30 그램의 PGMEA가 혼합되어 5시간 동안 질소 환경 하에 95℃에서 반응하였다. 부산물(물 및 메탄올)이 반응 중 제거되었다. 그 다음, 5.8397 그램의 결과적인 용액, 13.166 그램의 PGME 내 0.4 중량% BTEAC, 63.13 그램의 PGME, 24.84 그램의 PGMEA, 및 4.8761 그램의 TPS-109(일본, 토쿄 소재 - Midori Kagaku)가 균질 상태일 때까지 혼합되었고, 그 후 0.1 마이크로미터 끝점 필터를 통해 여과되어, 제제 5를 생성하였다.
제제 5는 60초 동안 1500rpm에서 4-인치 실리콘 웨이퍼 상에서 스핀 코팅에 의해, 그리고 이어서 205℃에서 1분 동안 베이킴함으로써, 20-nm 두께 필름으로 형성되었다. 에틸 락테이트 박리는 0.5% 미만으로 측정되었고, 이는 명백한 필름 손실 또는 팽윤 현상(swelling)이 전혀 없었음을 의미한다.
예 6
졸-겔 실리콘 플랫폼을 이용한 코팅 제조
이 과정에서, 5.50 그램의 페닐 트리메톡시실레인, 16.45 그램의 비닐트리메톡시실레인(Gelest), 15.11 그램의 메틸트리메톡시실레인, PGMEA 첨가에 의해 40% 고체로 희석된 IPA 내 알루미늄 디이소프로폭사이드 에틸아세토아세테이트의 75% 고체 용액 19.03 그램, 및 88.58 그램의 PGMEA가 둥근바닥 플라스크에 첨가되었다. 10분 주기에 걸쳐, 17.22 그램의 3N 아세트산 용액(17.6% 아세트산 및 82.4% 물)이 플라스크에 저어주면서 첨가되었다. 둥근바닥 플라스크는 증류 헤드, 증류 칼럼, 및 수집 플라스크와 끼워맞춰졌다. 용액은 95℃에서 5시간 동안 가열되었다. 그 다음, 5.20 그램의 이 용액이 PGME 내 1% BTEAC 0.4189 그램, 49.153 그램의 PGME, 및 45.2137 그램의 PGMEA가 균질 용액일 때까지 혼합되었다. 이 용액은 0.02 마이크로미터 끝점 필터를 통해 여과되어 제제 6을 생성하였다.
예 7
리소그래피 결과
스핀 온 카본 하드카스크, OptiStack® SOC110D-310(Brewer Science, Inc.)이 1500 rpm으로 60초 동안 실리콘 웨이퍼 상에 코팅되었고, 이어서 205℃에서 60초 동안 베이킹함으로써, 100nm의 두께를 갖는 필름을 형성하였다. EUV 레지스트가 필름에 도포된 후 105℃에서 60초 동안 베이킹하고, 이어서, 13.5nm 파장에 노광 후 0.26N TMAH로 30초 동안 현상하였다.
결과를 E2Stack® Al412 물질(EUV 보조층, Brewer Science, Inc.)과 비교하였다. 이 결과가 표 1 및 도 1-13에 도시된다.
다양한 보조층 제제에 대한 도즈-크기 비
30-nm 26-nm
제제 도즈-크기 mJ/cm2 도즈 감소 % 도즈-크기 mJ/cm2 도즈 감소 %
1A 9.7 17 10.5 13
1C 9.4 19
2 8.4 28 9.5 21
3 6.8 42 7.6 37
4 7.3 37 8.0 34
E2Stack®Al412 11.7 N/A 12.1 N/A
예 8
리소그래피 결과
스핀 온 카본 하드카스크, OptiStack® SOC110D-310(Brewer Science, Inc.)이 1500 rpm으로 60초 동안 실리콘 웨이퍼 상에 코팅되었고, 이어서 205℃에서 60초 동안 베이킹함으로써, 100nm의 두께를 갖는 필름을 형성하였다. 제제 6의 다양한 희석물이 그 후 ~1500rpm으로 60초 동안 코팅되고, 이어서 205℃에서 60초 동안 베이킹 처리하여 다양한 두께의 필름을 형성하였다. ArF 레지스트가 필름에 도포되고, 이어서 105℃에서 60초 동안 베이킹 처리한 후, 이어서, 193nm 파장에 노광 후 0.26N TMAH로 30초 동안 현상하였다.
3회의 잇따른 에칭이 수행되었다. 제 1 에칭은 보조층을 여는데 사용되었고, CF4 가스를 35sccm 유량, 50mTorr 압력, 100W 전력, 및 357V DC 바이어스 하에 이용하였다. 제 2 에칭은 SOC를 여는데 사용되었고, Ar:CO2를 두 기체 모두에 대해 25sccm, 20mTorr 압력, 300W 전력, 및 736V DC 바이어스 하에 이용하였다. 마지막으로, 제 3 에칭 역시 SOC를 위해 사용되었고, O2:N2를, 두 가스 모두에 대해 20sccm, 20mTorr 압력, 300W 전력, 및 705V DC 바이어스 하에 이용하였다. 패턴 전달이 성공적이었으나, 일부 CD 에지 트리밍(edge trimming)이 관측되었다. 도 14-17은 이러한 결과를 보여준다.
예 9
리소그래피 결과
제제 6은 하층, 보조층, 및 EUV 레지스트를 포함한 다층 스택에 사용되었다. 제제 6을 이용치 않을 때, 도즈-크기 비는 9.4mJ/cm2로 측정되었다. 제제 6을 보조층으로 이용시, 도즈-크기 비가 7.8mJ/cm2로 감소하였고, 도 18에 도시되는 바와 같이 28nm 선 및 간격의 패턴처리가 가능하였다.

Claims (25)

  1. 하나 이상의 중간층을 선택적으로 포함하는 기판을 제공하는 단계와,
    상기 기판 상에, 또는, 존재할 경우 상기 하나 이상의 중간층 상에, 보조층을 형성하는 단계 - 상기 보조층은 중합체 조성물로부터 형성되고, 경화 필름의 총 중량을 100%로 간주할 때 적어도 0.01% 중량비의 금속을 포함하는 경화 필름이고, 상기 보조층은 1nm 내지 30nm 범위의 두께를 가짐 - 와,
    상기 보조층 상에 포토레지스트층을 형성하는 단계 - 상기 포토레지스트층은 40nm 내지 120nm 범위의 두께를 가짐 - 와,
    상기 포토레지스트층의 적어도 일부분을 EUV 복사광에 노광시키는 단계와,
    상기 포토레지스트층을 EUV 복사광에 노출시킨 후 상기 포토레지스트층에 패턴을 형성하는 단계를 포함하되,
    상기 패턴은 32nm 미만의 분해능을 갖고,
    상기 포토레지스트층을 EUV 복사광에 노광시키는 단계는 5mJ/cm2로부터 100mJ/cm2까지의 도즈로 수행되며, 상기 보조층은 상기 패턴 형성에 필요한 도즈가 상기 보조층의 존재로 인해 감소하도록 2차 전자 소스를 제공하는
    구조물 형성 방법.
  2. 제 1 항에 있어서,
    상기 금속은 Al, Mg, Na, Zn, Co, Cu, Ga, Ge, Hf, Ti, Zr, Se, Ni, V, Ar, Sc, 및 Si로 구성되는 그룹으로부터 선택되는
    구조물 형성 방법.
  3. 제 1 항에 있어서,
    상기 보조층은 금속 핼라이드, 금속 카바이드, 금속 설파이드, 금속 나이트라이드, 금속 옥사이드, 및 이들의 혼합물로 구성되는 그룹으로부터 선택되는 금속 유도체 또는 금속을 포함하는 조성물로부터 형성되는
    구조물 형성 방법.
  4. 제 3 항에 있어서,
    상기 금속 유도체 또는 금속은 Si, SiO2, Si3N4, AlN, Al2O3, ZrC, ZrO2, Hf, HfO2, TiN, TiO, TiO2, Ti2O3, Mg3N2, MgO, W, WO, WO2, 및 WO3로 구성되는 그룹으로부터 선택되는
    구조물 형성 방법.
  5. 제 1 항에 있어서,
    상기 기판은 실리콘, SiGe, SiO2, Si3N4, 알루미늄, Al2O3, 하프늄, 지르코늄, 티타늄, 마그네슘, 텅스텐, 텅스텐 실리사이드, 갈륨 아시나이드, 게르마늄, 탄탈륨, 탄탈륨 나이트라이드, 인듐, 및 인듐 포스파이드로 구성되는 그룹으로부터 선택되는
    구조물 형성 방법.
  6. 제 1 항에 있어서,
    상기 보조층은 가교결합제를 포함하는 조성물로부터 형성되는
    구조물 형성 방법.
  7. 삭제
  8. 삭제
  9. 제 1 항에 있어서,
    상기 패턴을 상기 보조층에, 존재할 경우 상기 중간층에, 그리고 상기 기판에 전달하는 단계를 더 포함하며, 상기 기판에 전달된 패턴은 32nm 미만의 분해능을 갖는
    구조물 형성 방법.
  10. 제 1 항에 있어서,
    상기 포토레지스트층에 패턴을 형성하는 단계는, 상기 포토레지스트층의 일부를 제거하도록 상기 포토레지스트층을 디벨로퍼와 접촉시키는 단계를 포함하는
    구조물 형성 방법.
  11. 제 9 항에 있어서,
    상기 패턴을 전달하는 단계는 상기 보조층을, 존재할 경우 상기 중간층을, 그리고 상기 기판을 에칭하는 단계를 포함하는
    구조물 형성 방법.
  12. 삭제
  13. 제 1 항에 있어서,
    상기 보조층은 0.1 중량% 미만의 광산 발생제(photoacid generators)를 포함하는 조성물로부터 형성되는
    구조물 형성 방법.
  14. 제 1 항에 있어서,
    상기 기판은 하나 이상의 중간층을 포함하고, 상기 중간층들 중 하나는 최상위 중간층이며, 상기 최상위 중간층은 카본층인
    구조물 형성 방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 제 1 항에 있어서,
    상기 포토레지스트층이 EUV 복사광에 노광될 때 상기 포토레지스트층 및 상기 보조층이 단일층으로 작용하는,
    구조물 형성 방법.
  24. 제 1 항에 있어서,
    상기 보조층은 다음의 화학식을 갖는 반복 단위체를 포함하는 중합체 금속 알콕사이드를 포함하는 조성물로부터 형성되고,
    Figure 112019029210042-pct00024

    각각의 R은 수소와 알킬기로 구성되는 그룹으로부터 개별적으로 선택되며, M은 금속인
    구조물 형성 방법.
  25. 삭제
KR1020147017127A 2011-11-21 2012-11-20 Euv 리소그래피용 보조층 KR102061919B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161562166P 2011-11-21 2011-11-21
US61/562,166 2011-11-21
PCT/US2012/066074 WO2013078211A1 (en) 2011-11-21 2012-11-20 Assist layers for euv lithography

Publications (2)

Publication Number Publication Date
KR20140102239A KR20140102239A (ko) 2014-08-21
KR102061919B1 true KR102061919B1 (ko) 2020-01-02

Family

ID=48427233

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147017127A KR102061919B1 (ko) 2011-11-21 2012-11-20 Euv 리소그래피용 보조층

Country Status (6)

Country Link
US (1) US8968989B2 (ko)
EP (1) EP2783389B1 (ko)
JP (1) JP6592243B2 (ko)
KR (1) KR102061919B1 (ko)
TW (1) TWI582846B (ko)
WO (1) WO2013078211A1 (ko)

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10014184B2 (en) * 2013-09-05 2018-07-03 Applied Materials, Inc. Methods and apparatus for forming a resist array using chemical mechanical planarization
JP2016539361A (ja) * 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
JP2015199916A (ja) * 2014-04-02 2015-11-12 Jsr株式会社 膜形成用組成物及びパターン形成方法
JP6413333B2 (ja) * 2014-05-13 2018-10-31 Jsr株式会社 パターン形成方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10928722B2 (en) * 2015-12-18 2021-02-23 Asml Netherlands B.V. Method of manufacturing a membrane assembly for EUV lithography, a membrane assembly, a lithographic apparatus, and a device manufacturing method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10120277B2 (en) * 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR20170098173A (ko) * 2016-02-19 2017-08-29 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US11448964B2 (en) * 2016-05-23 2022-09-20 Rohm And Haas Electronic Materials Korea Ltd. Coating compositions for use with an overcoated photoresist
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10082736B2 (en) 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP6874635B2 (ja) * 2017-10-13 2021-05-19 信越化学工業株式会社 レジスト材料及びパターン形成方法
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
KR102540963B1 (ko) * 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR20210010587A (ko) 2018-06-13 2021-01-27 브레우어 사이언스, 인코포레이션 Euv 리소그래피를 위한 접착층
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7079850B2 (ja) * 2018-08-23 2022-06-02 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10998191B2 (en) * 2018-11-13 2021-05-04 International Business Machines Corporation Graded hardmask interlayer for enhanced extreme ultraviolet performance
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN113227909A (zh) * 2018-12-20 2021-08-06 朗姆研究公司 抗蚀剂的干式显影
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7447893B2 (ja) * 2019-03-11 2024-03-12 株式会社レゾナック バリア材形成用組成物、バリア材及びその製造方法、並びに製品及びその製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210149893A (ko) * 2019-04-30 2021-12-09 램 리써치 코포레이션 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US20220197147A1 (en) * 2019-05-16 2022-06-23 Lam Research Corporation Extreme ultraviolet (euv) lithography using an intervening layer or a multi-layer stack with varying mean free paths for secondary electron generation
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11550222B2 (en) 2019-08-01 2023-01-10 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11361967B2 (en) 2019-08-21 2022-06-14 Brewer Science, Inc. Underlayers for EUV lithography
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20220076488A (ko) * 2019-10-02 2022-06-08 램 리써치 코포레이션 고성능 euv 포토레지스트들을 위한 고 euv 흡수제들을 사용한 기판 표면 개질
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240085793A1 (en) * 2022-09-08 2024-03-14 Tokyo Electron Limited Method of forming a moisture barrier on photosensitive organometallic oxides

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010085893A (ja) * 2008-10-02 2010-04-15 Shin-Etsu Chemical Co Ltd 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
JP2011164345A (ja) * 2010-02-09 2011-08-25 Shin-Etsu Chemical Co Ltd レジスト下層膜材料、パターン形成方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3435262B2 (ja) * 1995-09-11 2003-08-11 株式会社日立製作所 反射防止膜
US6140023A (en) * 1998-12-01 2000-10-31 Advanced Micro Devices, Inc. Method for transferring patterns created by lithography
JP2001272786A (ja) * 2000-03-24 2001-10-05 Toshiba Corp パターン形成方法
JP2002198283A (ja) * 2000-12-25 2002-07-12 Toshiba Corp レジストパターン形成方法
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US20050112050A1 (en) 2003-11-21 2005-05-26 Pradhan Bhabendra K. Process to reduce the pre-reduction step for catalysts for nanocarbon synthesis
JP4595688B2 (ja) * 2005-06-10 2010-12-08 ソニー株式会社 レジスト材料の製造方法およびレジスト材料ならびに露光方法
US7807336B2 (en) 2005-12-28 2010-10-05 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
KR100772801B1 (ko) * 2005-12-28 2007-11-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JPWO2008044741A1 (ja) * 2006-10-12 2010-02-18 旭硝子株式会社 電子線、x線またはeuv光を用いたリソグラフィー法に用いられるレジスト組成物
US7914970B2 (en) 2007-10-04 2011-03-29 International Business Machines Corporation Mixed lithography with dual resist and a single pattern transfer
JP2009105218A (ja) 2007-10-23 2009-05-14 Toshiba Corp パターン形成方法
DE112009000979B4 (de) * 2008-04-23 2014-12-11 Brewer Science, Inc. Photoempfindliche Hartmaske für die Mikrolithographie
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US20100291475A1 (en) * 2009-05-12 2010-11-18 Chenghong Li Silicone Coating Compositions
JP5598351B2 (ja) * 2010-02-16 2014-10-01 信越化学工業株式会社 電子線用又はeuv用化学増幅ポジ型レジスト組成物及びパターン形成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010085893A (ja) * 2008-10-02 2010-04-15 Shin-Etsu Chemical Co Ltd 金属酸化物含有膜形成用組成物、金属酸化物含有膜形成基板及びパターン形成方法
JP2011164345A (ja) * 2010-02-09 2011-08-25 Shin-Etsu Chemical Co Ltd レジスト下層膜材料、パターン形成方法

Also Published As

Publication number Publication date
JP6592243B2 (ja) 2019-10-16
US20130129995A1 (en) 2013-05-23
US8968989B2 (en) 2015-03-03
EP2783389A1 (en) 2014-10-01
JP2015504604A (ja) 2015-02-12
WO2013078211A1 (en) 2013-05-30
TWI582846B (zh) 2017-05-11
EP2783389A4 (en) 2015-07-01
KR20140102239A (ko) 2014-08-21
EP2783389B1 (en) 2021-03-10
TW201330093A (zh) 2013-07-16

Similar Documents

Publication Publication Date Title
KR102061919B1 (ko) Euv 리소그래피용 보조층
KR101902046B1 (ko) 리소그래피 도포를 위한 소형 분자로부터의 금속-산화물 필름
KR101436336B1 (ko) 광가교 경화의 레지스트 하층막을 형성하기 위한 규소 함유레지스트 하층막 형성 조성물
KR101964072B1 (ko) 티탄 및 실리콘 함유 리소그래피용 박막 형성 조성물
KR20200014216A (ko) 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI772785B (zh) 半導體光阻組成物及使用組成物形成圖案的方法
KR20110111473A (ko) 더블- 및 트리플-패터닝 리소그라피를 위한 핀-온 스페이서 재료들
KR102296793B1 (ko) 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI762306B (zh) 半導體光阻組成物和使用所述組成物形成圖案的方法
KR20200014043A (ko) 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20200014185A (ko) 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202225179A (zh) 半導體光阻組成物、製備其的方法及形成圖案的方法
KR102577299B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102577300B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
JP2011209694A5 (ko)
KR102586109B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102678333B1 (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TWI795899B (zh) 半導體光阻組成物以及使用所述組成物形成圖案的方法
EP4303657A2 (en) Composition for forming metal oxide film, patterning process, and method for forming metal oxide film
KR20230166367A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR20240040479A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
TW202419437A (zh) 半導體光阻組合物和使用組合物形成圖案的方法
TW202402769A (zh) 半導體光阻組成物及使用所述組成物形成圖案的方法
TW202406917A (zh) 半導體光阻組成物及使用所述組成物形成圖案的方法
JP2024068122A (ja) 半導体フォトレジスト用組成物およびこれを用いたパターン形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant