KR20220076488A - 고성능 euv 포토레지스트들을 위한 고 euv 흡수제들을 사용한 기판 표면 개질 - Google Patents

고성능 euv 포토레지스트들을 위한 고 euv 흡수제들을 사용한 기판 표면 개질 Download PDF

Info

Publication number
KR20220076488A
KR20220076488A KR1020227014447A KR20227014447A KR20220076488A KR 20220076488 A KR20220076488 A KR 20220076488A KR 1020227014447 A KR1020227014447 A KR 1020227014447A KR 20227014447 A KR20227014447 A KR 20227014447A KR 20220076488 A KR20220076488 A KR 20220076488A
Authority
KR
South Korea
Prior art keywords
radiation
optionally substituted
layer
euv
substrate
Prior art date
Application number
KR1020227014447A
Other languages
English (en)
Inventor
케이티 린 나르디
티모시 윌리엄 와이드먼
챙하오 우
케빈 리 구
보리스 볼로스키
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220076488A publication Critical patent/KR20220076488A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 개시는 방사선-흡수 층 및 이미징 층을 갖는 패터닝 구조체, 뿐만 아니라 이의 방법들 및 장치들에 관한 것이다. 특정한 실시 예들에서, 방사선-흡수 층은 이미징 층의 방사선 흡수율 및/또는 패터닝 성능의 상승을 제공한다.

Description

고성능 EUV 포토레지스트들을 위한 고 EUV 흡수제들을 사용한 기판 표면 개질
본 개시 (disclosure) 는 일반적으로 반도체 프로세싱의 분야에 관한 것이다. 특정한 양태들에서, 본 개시는 방사선-흡수 층 및 이미징 층을 갖는 패터닝 구조체, 뿐만 아니라 이들의 방법들 및 장치들에 관한 것이다. 일부 실시 예들에서, 방사선-흡수 층은 이미징 층의 방사선 흡수율 및/또는 패터닝 성능의 상승을 제공한다.
반도체 제조가 계속해서 발전함에 따라, 피처 사이즈들은 계속해서 축소되고, 새로운 프로세싱 방법들이 필요하다. 발전들이 이루어지는 일 영역은 패터닝의 맥락에서 이루어지고, 예를 들어 리소그래피 방사선에 감응성인 포토레지스트 재료들을 사용하는 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하기 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 명세서의 다양한 실시 예들은 기판 상에 포토레지스트 재료를 증착하기 위한 방법들, 재료들, 장치, 및 시스템들에 관한 것이다.
제 1 양태에서, 본 개시는 패터닝 구조체를 제조하는 방법을 포괄하고, 방법은: 패턴을 수용하는 기판을 제공하는 단계; 기판의 표면 상에 방사선-흡수 층을 통합하는 단계; 및 이미징 층을 제공하는 단계를 포함하고, 방사선-흡수 층은 이미징 층의 방사선 흡수율 및/또는 패터닝 성능을 증가시키도록 이미징 층 아래에 놓인다.
일부 실시 예들에서, 이미징 층은 방사선-감응 이미징 층, EUV (Extreme Ultraviolet)-감응 막, 포토레지스트 층, 하드 마스크, 또는 ALD (atomic layer deposition) 하드 마스크를 포함한다. 다른 실시 예들에서, 방사선-흡수 층은 요오드 (I), 인듐 (In), 주석 (Sn), 비스무트 (Bi), 안티몬 (Sb), 텔루륨 (Te), 이들의 옥사이드, 이들의 합금, 또는 이들의 조합을 포함한다. 특정한 실시 예들에서, 방사선-흡수 층은 고 패터닝 방사선-흡수 단면적 (radiation-absorption cross-section) 을 갖는 제 1 원소를 포함한다. 추가의 실시 예들에서, 이미징 층은 고 패터닝 방사선-흡수 단면적을 갖는 제 2 원소 및 패터닝 방사선에 대한 노출 하에 절단 가능한 모이어티를 포함한다. 제 1 원소 및 제 2 원소는 동일하거나 상이할 수도 있다.
일부 실시 예들에서, 방법은 (예를 들어, 상기 이미징 층을 제공하기 전에): 방사선-흡수 층의 표면에 할로, 알킬, 또는 할로알킬 모이어티들을 통합하는 단계를 더 포함한다.
다른 실시 예들에서, 상기 통합하는 단계는: 하나 이상의 전구체들의 스퍼터링, 물리적 기상 증착 (physical vapor deposition; PVD), 화학적 기상 증착 (chemical vapor deposition; CVD), 원자 층 증착 (atomic layer deposition; ALD), 플라즈마-기반 증착, 열-유도 분해 (thermal-induced decomposition), 또는 플라즈마-유도 분해에 의해 방사선-흡수 층을 증착하는 단계를 포함한다.
다른 실시 예들에서, 상기 통합하는 단계는: 텔루륨 (Te) 을 포함하는 제 1 전구체 및 금속 옥사이드를 포함하는 제 2 전구체를 기판의 표면에 제공하여, 기판 상에 방사선-흡수 층을 증착하는 단계를 포함하고, 제 1 전구체 및 제 2 전구체 각각은 기상 (vapor phase) 으로 기판에 제공된다. 다른 실시 예들에서, 제 1 전구체는 TeR2 또는 TeR4를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아릴, 하이드록실, 옥소, 또는 선택 가능하게 치환된 트리알킬실릴이고; 그리고 제 2 전구체는 주석 옥사이드, 안티몬 옥사이드, 또는 비스무트 옥사이드를 포함한다.
다른 실시 예들에서, 상기 통합하는 단계는: 플라즈마 또는 열의 존재시 그리고 고 EUV-흡수 단면적을 갖는 원소를 포함하는 증기에 기판의 표면을 노출시켜, 기판 상에 방사선-흡수 층을 증착하는 단계를 포함한다. 특정한 실시 예들에서, 증기는 요오드 (I), 요오드 가스 (I2), 디요오드메탄 (CH2I2), 주석 (Sn), 텔루륨 (Te), 또는 비스(알킬)텔루륨 (TeR2) 을 포함한다.
일부 실시 예들에서, 방사선-흡수 층의 표면은 패터닝 방사선에 대한 노출 하에서 절단될 수 있는 불안정한 (labile) 모이어티를 갖는 광 반응성 (photoresponsive) 표면을 더 포함한다. 특정한 실시 예들에서, 상기 통합하는 단계는: 기판의 표면 상에 방사선-흡수 층을 증착하는 단계; 및 불안정한 모이어티를 포함하는 캡핑제를 사용하여 방사선-흡수 층을 캡핑하는 단계를 포함한다. 다른 실시 예들에서, 방사선-흡수 층은 주석 옥사이드, 주석, 주석 합금, 비스무트 옥사이드, 또는 텔루륨을 포함하고; 캡핑제는 알킬 치환된 금속-함유 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 를 포함한다.
또 다른 실시 예들에서, 방법은 (예를 들어, 상기 이미징 층을 제공한 후): 방사선-흡수 층 및 이미징 층을 패터닝된 방사선 노출에 노출하여, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 막을 제공하는 단계; 및 노출된 막을 현상하여, 패턴을 제공하기 위해 방사선 비노출 영역을 제거하는 단계를 더 포함한다. 일부 실시 예들에서, 방사선 노출 영역은 방사선 비노출 영역과 비교하여, 방사선-흡수 층과 이미징 층 사이의 향상된 접착을 특징으로 한다.
다른 실시 예들에서, 방법은 (예를 들어, 상기 이미징 층을 제공하기 전에): 방사선 비노출 영역과 비교하여, 방사선-흡수 층의 광 반응성 표면을 패터닝된 방사선 노출에 노출하여, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 패터닝된 방사선-흡수 층을 제공하는 단계를 더 포함한다. 일부 실시 예들에서, 방사선 노출 영역은 이미징 층의 증착을 위해 향상된 핵 생성을 특징으로 한다.
일부 실시 예들에서, 방법은 (예를 들어, 상기 이미징 층을 제공한 후): 방사선-흡수 층 및 이미징 층을 패터닝된 방사선 노출에 노출하여, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 막을 제공하는 단계; 및 노출된 막을 현상하여, 패턴을 제공하기 위해 방사선 비노출 영역 또는 방사선 노출 영역을 제거하는 단계를 더 포함한다.
제 2 양태에서, 본 개시는, 패턴을 수용하는 기판; 기판의 표면 상의 이미징 층; 및 이미징 층 아래의 방사선-흡수 층을 포함하는, 패터닝 구조체를 포괄한다. 일부 실시 예들에서, 방사선-흡수 층은 이미징 층의 방사선 흡수율 및 패터닝 성능을 증가시키도록 구성된다.
제 3 양태에서, 본 개시는 기판을 프로세싱하기 위한 장치를 포괄하고, 장치는: (a) 기판을 홀딩하도록 구성된 하나 이상의 프로세스 챔버들; 및 (b) 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고, 제어기는 본 명세서에 기술된 임의의 방법을 유발하도록 구성된다.
일 실시 예에서, 프로세스 챔버 각각은 척 또는 페데스탈을 포함한다. 다른 실시 예들에서, 장치는 프로세스 챔버들 및 연관된 플로우-제어 하드웨어 내로의 하나 이상의 가스 유입구들; 및 프로세스 챔버 및 연관된 플로우-제어 하드웨어로부터 재료들을 제거하기 위한 하나 이상의 가스 유출구들을 포함한다.
특정한 실시 예들에서, 적어도 하나의 프로세서 및 메모리는 서로 통신 가능하게 연결되고, 적어도 하나의 프로세서는 적어도 플로우 제어 하드웨어와 동작 가능하게 연결된다. 다른 실시 예들에서, 메모리는 적어도 상기 플로우 제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하고 본 명세서에 기술된 임의의 방법을 유발하기 위한 컴퓨터 실행 가능 인스트럭션들을 저장한다.
제 4 양태에서, 장치는 증착 모듈; 패터닝 모듈; 현상 모듈; 및 하나 이상의 메모리 디바이스들, 하나 이상의 프로세서들, 및 머신 판독 가능 인스트럭션들을 포함하는 인스트럭션들로 코딩된 시스템 제어 소프트웨어를 포함하는 제어기를 포함한다.
일부 실시 예들에서, 증착 모듈은 방사선-감응 막 (예를 들어, EUV-감응 막), 방사선-흡수 층, 또는 이미징 층을 증착하기 위한 챔버를 포함한다. 다른 실시 예들에서, 패터닝 모듈은 300 ㎚ 이하 (sub-300 ㎚) 파장 방사선의 소스 (예를 들어, 소스가 30 ㎚ 이하 파장 방사선의 소스일 수 있음) 를 갖는 포토리소그래피 툴을 포함한다. 또 다른 실시 예들에서, 현상 모듈은 레지스트 막을 현상하기 위한 챔버를 포함한다.
특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 증착 모듈에서) 막 (예를 들어, 방사선-감응 막) 을 형성하도록 기판의 상단 표면 상의 이미징 층 및 방사선-흡수 층의 증착을 유발하기 위한 머신-판독 가능 인스트럭션들을 포함한다. 다른 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 패터닝 모듈에서) 패터닝된 방사선 노출에 의해 직접적으로 300 ㎚ 이하 분해능 (예를 들어, 또는 30 ㎚ 이하 분해능) 으로 막의 패터닝을 유발하여, 방사선 노출 영역들 및 방사선 비노출 영역들을 갖는 노출된 막을 형성하기 위한 머신 판독 가능 인스트럭션들을 포함한다. 또 다른 실시 예들에서, 노출된 막은 EUV 노출 영역들 및 EUV 비노출 영역들을 갖는다. 특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 현상 모듈에서) 레지스트 막 내에 패턴을 제공하기 위해 방사선 노출 영역들 또는 방사선 비노출 영역들을 제거하도록 노출된 막의 현상을 유발하기 위한 머신-판독 가능 인스트럭션들을 포함한다. 다른 특정한 실시 예들에서, 머신 판독 가능 인스트럭션들은 EUV 노출 영역들 또는 EUV 비노출 영역들의 제거를 유발하기 위한 인스트럭션들을 포함한다.
일부 실시 예들에서, 흡수 층 및/또는 이미징 층의 증착을 유발하기 위한 머신 판독 가능 인스트럭션들은: 고 패터닝 방사선-흡수 단면적을 갖는 원소의 증착을 유발하기 위한 인스트럭션들을 더 포함한다. 특정한 실시 예들에서, 원소는 고 EUV 흡수 단면적을 갖는다.
일부 실시 예들에서, 장치는 세정 모듈 (예를 들어, 기판 또는 막을 세정하기 위한 챔버를 포함함) 을 더 포함할 수 있다. 특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 세정 모듈에서) 상기 증착 후 반도체 기판의 배면 표면 또는 베벨의 세정을 유발하고 그리고/또는 상기 증착 후 막의 에지 비드 (edge bead) 의 제거를 유발하기 위한 머신 판독 가능 인스트럭션들을 포함한다.
일부 실시 예들에서, 장치는: 소성 (bake) 모듈을 더 포함할 수 있다. 특정한 실시 예들에서, 제어기 인스트럭션들은 (예를 들어, 소성 모듈에서) 상기 증착 후 막의 소성을 유발하고 그리고/또는 상기 패터닝 후 노출된 막의 소성을 유발하기 위한 머신 판독 가능 인스트럭션들을 포함한다.
일부 실시 예들에서, 장치는: 에칭 모듈을 더 포함할 수 있다. 특정한 실시 예들에서, 제어기 인스트럭션들은 상기 패터닝 후 (예를 들어, 에칭 모듈에서) 노출된 막의 에칭 또는 제거 (예를 들어, 흡수 층 및/또는 이미징 층의 노출 영역 또는 비노출 영역의 제거) 및/또는 기판의 에칭 또는 제거 (예를 들어, 흡수 층의 노출 영역 또는 비노출 영역 아래에 있는 기판의 일부를 제거하는 단계) 를 유발하기 위한 머신-판독 가능 인스트럭션들을 포함한다.
본 명세서의 임의의 실시 예에서, 흡수 층 및/또는 이미징 층은 EUV-감응 막, DUV-감응 막, UV-감응 막, 포토레지스트 막, 광 패터닝 가능 막, 또는 광 반응성 접착 막을 포함한다.
본 명세서의 임의의 실시 예에서, 흡수 층 및/또는 이미징 층은 고 패터닝 방사선-흡수 단면적을 갖는 금속 또는 원자를 포함한다. 특정한 실시 예들에서, 금속 또는 원자는 고 EUV 흡수 단면적을 포함한다. 다른 실시 예들에서, 금속-함유 층은 주석 (Sn), 비스무트 (Bi), 텔루륨 (Te), 세슘 (Cs), 안티몬 (Sb), 인듐 (In), 몰리브덴 (Mo), 하프늄 (Hf), 요오드 (I), 지르코늄 (Zr), 철 (Fe), 코발트 (Co), 니켈 (Ni), 구리 (Cu), 아연 (Zn), 은 (Ag), 백금 (Pt) 또는 납 (Pb), 뿐만 아니라 이들의 조합들을 포함한다. 일부 실시 예들에서, 흡수 층 및/또는 이미징 층은 감광성 층이다.
본 명세서의 임의의 실시 예에서, 전구체는 고 패터닝 방사선-흡수 단면적을 갖는 금속 또는 원자를 포함한다. 특정한 실시 예들에서, 금속 또는 원자는 고 EUV 흡수 단면적 (예를 들어, 1x107 ㎠/mol 이상) 을 포함한다. 다른 실시 예들에서, 전구체는 Sn, Bi, Te, Cs, Sb, In, Mo, Hf, I, Zr, Fe, Co, Ni, Cu, Zn, Ag, Pt, 또는 Pb, 뿐만 아니라 이들의 조합들을 포함한다. 또 다른 실시 예들에서, 전구체는 고 광 흡수 전구체이다 (예를 들어, 약 6 ㎛-1보다 크거나 또는 본 명세서에 기술된 다른 값들의 α를 포함하는, 고 Beer 흡수 계수 α를 가짐).
본 명세서의 임의의 실시 예에서, 상기 흡수 층을 통합하는 단계 및/또는 상기 이미징 층을 제공하는 단계는 하나 이상의 전구체들을 제공하는 것을 포함한다. 비제한적인 전구체들은 화학식 (I), (II), (IIa), (III), (IV), (V), (VI), (VII), 또는 (VIII) 을 갖는 구조를 포함한다. 특정한 비제한적인 실시 예들에서, 흡수 층을 위한 전구체는 화학식 (I), (II), (III), (IV), (V), (VI), (VII), 또는 (VIII) 을 갖는 구조를 포함한다. 다른 실시 예들에서, 이미징 층을 위한 전구체는 화학식 (II), (IIa), (VII), 또는 (VIII) 을 갖는 구조를 포함한다.
본 명세서의 임의의 실시 예에서, 상기 흡수 층을 통합하는 단계는 인듐 전구체 (예를 들어, 본 명세서에 기술된 바와 같은, InR3), 주석 전구체 (예를 들어, 본 명세서에 기술된 바와 같은, SnR2 또는 SnR4), 비스무트 전구체 (예를 들어, 본 명세서에 기술된 바와 같은, BiR3), 안티몬 전구체 (예를 들어, 본 명세서에 기술된 바와 같은, SbR3), 텔루륨 전구체 (예를 들어, 본 명세서에 기술된 바와 같은, TeR2 또는 TeR4), 또는 요오드 전구체 (예를 들어, 본 명세서에 기술된 바와 같은, 요오드산염 또는 RI) 를 포함하는 하나 이상의 전구체들을 제공하는 단계를 포함한다.
본 명세서의 임의의 실시 예에서, 상기 이미징 층을 제공하는 단계는 대응-반응 물질의 존재시 하나 이상의 전구체들 (예를 들어, 화학식 (I) 또는 화학식 (II) 를 갖는 구조를 포함하는 것과 같은, 본 명세서에 기술된 임의의 전구체들) 을 제공하는 단계를 포함한다. 비제한적인 대응-반응 물질들은 산소 (O2), 오존 (O3), 물, 페록사이드 (peroxide), 수소 페록사이드 (hydrogen peroxide), 산소 플라즈마, 물 플라즈마, 알코올, 디하이드록시 알코올, 폴리하이드록시 알코올, 플루오르화된 디하이드록시 알코올, 플루오르화된 폴리하이드록시 알코올, 플루오르화된 글리콜, 포름산, 및 하이드록실 모이어티들 (moieties) 의 다른 소스들, 뿐만 아니라 이들의 조합들을 포함하는 산소-함유 대응-반응 물질을 포함한다. 또 다른 비제한적인 대응-반응 물질들은 화학식 ZR2를 갖는 칼코게나이드 전구체를 포함하고, Z는 황, 셀레늄 또는 텔루륨이고; 그리고 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬 (예를 들어, 메틸, 에틸, n-프로필, 이소프로필, n-부틸, t-부틸, 등), 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 선택 가능하게 치환된 트리알킬실릴이다.
본 명세서의 임의의 실시 예에서, 단일 전구체가 층 (예를 들어, 흡수 층 또는 이미징 층) 을 증착하도록 채용된다. 다른 실시 예들에서, 2 이상의 상이한 전구체들이 층을 증착하도록 채용된다.
본 명세서의 임의의 실시 예에서, 증착하는 단계는 증기 형태의 금속 전구체(들)를 제공하거나 증착하는 것을 포함한다. 다른 실시 예들에서, 증착하는 단계는 증기 형태의 하나 이상의 대응-반응 물질(들)을 제공하는 것을 포함한다. 특정한 실시 예들에서, 증착은 CVD, ALD, 또는 이들의 플라즈마-강화된 형태들을 포함한다.
본 명세서의 임의의 실시 예에서, 층의 증착 단계는 대응-반응 물질을 제공하는 것을 더 포함한다. 비제한적인 대응-반응 물질은 O2, O3, 물, 페록사이드, 수소 페록사이드, 산소 플라즈마, 물 플라즈마, 알코올, 디하이드록시 알코올, 폴리하이드록시 알코올, 플루오르화된 디하이드록시 알코올, 플루오르화된 폴리하이드록시 알코올, 플루오르화된 글리콜, 포름산, 하이드록실 모이어티들의 다른 소스들, 및 ZR2 (예를 들어, 여기서 Z는 S, Se, 또는 Te이고; 그리고 R 각각은 독립적으로, H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 선택 가능하게 치환된 트리알킬실릴), 뿐만 아니라 이들의 조합들을 포함하는 산소-함유 대응-반응 물질 또는 칼코게나이드 전구체를 포함한다.
본 발명의 다른 특징들 및 장점들은 이하의 기술 및 청구항들로부터 자명해질 것이다.
도 1a 내지 도 1b는 비제한적인 패터닝 구조체들의 개략도들을 제시한다. (A) 기판 (101) 및 이미징 층 (102) 을 갖는 구조체; 및 (B) 기판 (111), 이미징 층 (112), 및 이미징 층 (112) 아래의 방사선-흡수 층 (113) 을 갖는 구조체가 제공된다.
도 2는 방사선-흡수 층 (213) 및 이미징 층 (212) 을 채용하는 비제한적인 방법의 개략도를 제시한다.
도 3은 방사선-흡수 층 (313) 및 하드 마스크 (315) 를 채용하는 비제한적인 방법의 개략도를 제시한다.
도 4는 비제한적인 제 1 전구체 (1) 와 비제한적인 제 2 전구체 (2) 사이의 반응 스킴 (scheme) 을 제시한다.
도 5는 패터닝 구조체를 제조하고 사용하기 위한 비제한적인 방법의 개략도를 제시한다.
도 6은 패터닝 구조체를 제조하고 사용하기 위한 또 다른 비제한적인 방법의 개략도를 제시한다.
도 7a 내지 도 7c는 비제한적인 패터닝 구조체들을 나타낸다. (A) 기판 (711), 이미징 층 (712), 및 이미징 층 (712) 아래에 방사선-흡수 층 (713) 을 갖는 구조체; (B) 비제한적인 패터닝 구조체의 이미지; 및 (C) 비제한적인 방법의 개략도가 제공된다.
도 8은 다양한 원소들의 극 자외선 (EUV) 광 흡수 단면적들을 도시하는 비제한적인 그래프를 제시한다. 애스터리스크들 (*) 은 고 EUV 광 흡수 단면적들을 갖는 비제한적인 원소들을 나타내고; 그리고 샵들 (#) 은 방사성인 비제한적인 원소들을 나타낸다. 내측 쉘 전자들의 여기와 연관된 EUV 광 (92 eV, 13.5 ㎚) 의 흡수는 화학적 결합에 거의 종속되지 않을 수 있다.
도 9는 건식 현상을 위한 프로세스 스테이션 (900) 의 실시 예의 개략적인 예시를 제시한다.
도 10은 멀티-스테이션 프로세싱 툴 (1000) 의 실시 예의 개략적인 예시를 제시한다.
도 11은 유도 결합 플라즈마 장치 (1100) 의 실시 예의 개략적인 예시를 제시한다.
도 12는 반도체 프로세스 클러스터 툴 아키텍처 (1200) 의 실시 예의 개략적인 예시를 제시한다.
도 13은 건식 증착 장치 (1300) 의 예의 단면 개략도를 도시한다.
도 14는 상단 플레이트, 기판, 및 에지 링의 일부의 상세 측면도 및 평면도를 도시한다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피 (lithography) 를 수반한다. 193 ㎚ 포토리소그래피와 같은 종래의 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토레지스트 상에 프린팅하여, 현상 후 패턴을 형성하기 위해 포토레지스트에서 포토레지스트의 특정한 부분들을 제거하는 화학 반응을 유발함으로써 프린팅된다.
(ITRS (International Technology Roadmap for Semiconductors) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚, 및 이를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 구조체의 통상적인 비아 또는 라인의 폭은 통상적으로 약 30 ㎚보다 크지 않다. 발전된 반도체 집적 회로들 (Integrated Circuits; IC들) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동한다.
극자외선 (EUV) 리소그래피는 종래의 포토리소그래피 방법들로 달성될 수 있는 것보다 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술 (technology) 을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 방사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수되고, 따라서 진공에서 동작한다.
전통적인 유기 화학 증폭 레지스트 (chemically amplified resists; CAR) 는 EUV 리소그래피에 사용될 때 몇 가지 단점들, 특히 EUV 영역에서 저 흡수 계수 및 광-활성화된 화학 종의 산 확산을 갖는다. 저 흡수 계수를 극복하기 위해, 두꺼운 CAR 막이 필요하지만 패턴 붕괴의 위험이 있다. 더욱이, 산 확산 프로세스 동안 넓은 클리어링 반경은 패터닝된 CAR 막들에서 상대적으로 높은 라인 거칠기를 야기한다. 퀀처들 (quenchers) 은 산 확산 반경을 감소시키도록 사용될 수도 있지만, 감소된 감도 (sensitivity) 를 감수한다. 이와 같이, 현재 CAR들의 리소그래피 성능은 목표된 EUV 리소그래피 성능에 도달할 수 없다.
유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들을 함유하는 직접 광 패터닝 가능한 EUV 레지스트들은 이들이 EUV 광자 흡수를 향상시킬 수 있고 2 차 전자들을 생성할 수 있고 그리고/또는 아래에 놓인 막 스택 및 디바이스 층들에 대해 증가 된 에칭 선택도를 나타낼 수 있다는 것을 보증한다. OR, Corvalis 소재의 Inpria Corp로부터 입수 가능한 유기 금속 레지스트들은 실질적으로 보다 고 흡수 계수를 갖고, 여전히 우수한 에칭 내성을 제공하면서 상당히 보다 얇을 수 있다. 현재, 고분해능 패터닝 적용 예들을 위해 상업적으로 입수 가능한 모든 EUV 포토레지스트들은 용액-기반 (습식) 스핀-온 포뮬레이션들 (spin-on formulations) 이다. 그러나, 스핀-온 포뮬레이션은 일반적으로 깊이-종속적 EUV 도즈 문제를 겪을 수 있는 공간적으로 균질한 막을 발생시키고; 일단 재료가 입사하는 EUV 광자들의 약 30 % 이상을 흡수하기 시작하면 선택적인 현상을 허용하기 위해 필요한 화학적 효과들을 유도하도록 불가피하게 보다 적은 광자들이 막의 하단부로 흡수되게 한다. 이 개념은 본 명세서에 더 기술된 바와 같이, 도 1a 및 도 1b에 예시된다. 스핀-온 포뮬레이션들의 또 다른 잠재적인 단점은 용액의 불안정성 및/또는 스핀 코팅 동안 환경적 변수들로 인한 막 특성들의 변동일 수 있다.
금속-유기계 레지스트들의 건식 증착은 또한 예를 들어, 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS이고, 2019 년 5 월 9 일 출원되고, 국제 공개 번호 WO2019/217749로 공개된 선행 국제 출원 PCT/US2019/031618에 기술되었고, EUV 레지스트 마스크들을 형성하기 위한 직접 광 패터닝 가능한 금속-유기계 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시는 본 명세서에 참조로서 인용된다. 이들 금속-유기계 레지스트들 대부분 또는 전체에서, 금속 중심 (대부분 Sn) 이외의 모든 다른 원소들은 저 EUV 흡수 단면적 (absorption cross-section) 을 갖는다. 대안적인 금속 중심으로서 또는 대응-반응 물질의 일부로서 또는 유기기들 상의 수소 대신 불소 또는 요오드의 치환에 의해 레지스트 내로 다른, 고-EUV-흡수성 원소들의 도입은 PR에서 EUV 흡수를 더 증가시킬 수 있고, 따라서 패터닝에 필요한 EUV 도즈를 더 감소시킬 수 있고, 발명자들의 이전 연구는 이러한 가능성들을 탐구했다.
EUV 리소그래피 유기 금속 레지스트 성능의 추가 개선은 본 명세서에서 "z-팩터"로 참조된 용어로 캡처된, 감도를 증가시키고, 라인 에지 거칠기를 감소시키고, 그리고/또는 분해능을 개선함으로써 예상된다. 본 개시는 EUV 포토레지스트들의 EUV 흡수율 및 패터닝 성능을 증가시키기 위해 막의 광활성 (photoactive) 층 아래에 고 EUV 흡수를 갖는 원소들의 혼입을 통해 이들 레지스트들의 감도를 개선하기 위한 방법들을 개략적으로 설명하는 유기 금속 레지스트 막의 하부층을 다룬다. 기술된 조성들, 구조들, 및 방법들은 건식 증착된 유기 금속 포토레지스트 전략들뿐만 아니라 CAR들 및 스핀-온 금속 유기 막들과 같은 다른 경쟁 전략들 모두에 적용 가능할 수도 있다.
패터닝 구조체들
본 명세서의 패터닝 구조체들 (또는 막들) 은 기판의 표면 상의 이미징 층 및 이미징 층 아래의 방사선-흡수 층을 포함할 수 있다. 특정한 실시 예들에서, 방사선-흡수 층의 존재는 이미징 층의 상승된 방사선 흡수율 및/또는 패터닝 성능을 제공한다.
일반적으로, 층을 통한 광자 흡수는 깊이 종속적이다. 균질한 층 또는 막이 방사선에 노출될 때, 동일한 층의 상부 부분과 비교하여, 보다 적은 광자들이 하부 부분에 도달하기 때문에, 이 층의 하부 부분은 보다 낮은 방사선 도즈에 노출된다. 따라서, 층의 전체 깊이를 관통하여 충분하고 균일한 노출을 보장하기 위해, 층은 방사선의 충분한 투과를 제공해야 한다. 도 1a에 도시된 바와 같이, 균질한 이미징 층 (102) 이 기판 (101) 의 상단 표면 상에 배치된다. 방사선에 노출시, 균질한 층을 통한 광 흡수 (105) 는 깊이 종속적이고 기판을 향하여 (그리고 층 (102) 의 하부 부분에서) 보다 낮은 값 (105a) 및 기판으로부터 멀리 (away from) 보다 높은 값 (105b) (층 (102) 의 상부 부분에서) 을 갖는다.
이미징 층 아래의 방사선-흡수 층을 사용함으로써, 방사선 흡수는 이미징 층을 통해 증가될 수 있다. 예를 들어, 이미징 층에 대해 막의 하단부에서 고 EUV 흡수율을 갖는 원자들의 증가된 밀도를 갖는 흡수 층을 제공함으로써, 패터닝 구조체의 하단부를 향하여 흡수 (및 2 차 전자들의 효과들) 를 보다 균일하게 분배하는 동안 가용한 EUV 광자들을 보다 효율적으로 활용하는 것이 가능해진다. 게다가, 일부 예들에서, 흡수 층은 패터닝 구조체의 하부 부분들을 보다 잘 노출할 수 있는 보다 많은 2 차 전자들을 효과적으로 생성할 수 있다.
도 1b에 도시된 바와 같이, 패터닝 구조체는 이미징 층 (112), 뿐만 아니라 이미징 층 (112) 아래 그리고 기판 (111) 위에 방사선-흡수 층 (113) 을 포함할 수 있다. 흡수 층이 결여된 구조체와 비교하여, 층 (112) 의 하부 부분 (115a) 과 상부 부분 (115b) 사이의 광 흡수는 보다 균일할 수 있다. 방사선에 대한 노출시, 층들을 통한 광 흡수 (115) 는 흡수 층 (113) 에 의해 영향을 받고, 이는 이미징 층의 하단부의 방사선 노출을 보조할 수 있는 부가적인 2 차 전자들 (114) 의 생성을 발생시킬 수 있다. 이러한 방식으로, 광 흡수 (115) 는 흡수 층이 결여된 이미징 층에서의 광 흡수와 비교하여 개선될 수 있다.
흡수 층은 I, In, Sn, Sb, Bi, 및/또는 Te와 같은 고 광 흡수 단면적을 갖는 원소 (또는 고 흡수 원소) (예를 들어, 금속 원자 또는 비금속 원자) 를 포함할 수 있다. 이러한 원소들은 하나 이상의 전구체들 (예를 들어, 본 명세서에 기술된 임의의 전구체) 과 함께, 임의의 유용한 방식으로, 예컨대 스퍼터링 증착, PVD (physical vapor deposition) 공-스퍼터링을 포함한 PVD, CVD (chemical vapor deposition), PE-CVD (plasma enhanced CVD), 저압 CVD (LP-CVD), ALD, (예를 들어, 열적 ALD 및 PE-ALD (plasma-enhanced ALD)), 스핀-코트 증착, 플라즈마-기반 증착, 열-유도 분해, 플라즈마-유도 분해, e-빔 공-증발 (e-beam co-evaporation) 을 포함하는 전자-빔 (e-빔) 증착, 등, 또는 이들의 조합에 의해 층으로서 제공될 수 있다. 흡수 층은 기판의 표면 상에 배치된 포토레지스트 층 또는 표면 개질 층일 수 있다.
흡수 층 및 이미징 층 중 하나 또는 모두는 고-흡수성 원소를 포함할 수 있다. 일 예에서, 흡수 층 및 이미징 층 모두는 고-흡수 원소를 포함한다. 흡수 층 및 이미징 층 각각의 원소는 동일하거나 상이할 수 있다.
특정한 비제한적인 예들에서, 흡수 층은 이미징 층과 비교하여 상승된 방사선 흡수율을 갖는 것을 특징으로 한다. 예를 들어, 흡수 층은 부피가 큰 (bulky) 말단 치환체들에 결합되지 않은 보다 높은 분율의 EUV-흡수 종을 포함할 수 있다. 또 다른 예에서, 흡수 층은 이미징 층에 존재하는 원소보다 높은 흡수 계수를 갖는 원소를 포함할 수 있다. 예를 들어, 이미징 층은 Sn을 포함할 수 있고, 흡수 층은 Te (예를 들어, Te 단독 또는 Te와 Sn의 혼합물) 를 포함할 수 있다. 또 다른 예에서, 고-흡수 원소의 농도는 이미징 층에서보다 흡수 층에서 보다 높다.
흡수 층은 광자 또는 방사선 흡수를 조절하는 것에 더하여, 다른 기능들을 제공할 수 있다. 일 예에서, 흡수 층은 방사선에 대한 노출이 위에 놓인 이미징 층에 대한 접착을 향상시킬 수 있는 광 반응성 접착 층일 수 있다. 방사선 노출 전에, 흡수 층의 상단 표면은 패터닝 방사선에 대한 노출 하에서 절단될 수 있는 불안정한 (labile) 모이어티를 포함할 수 있다. 노출시, 불안정한 모이어티는 반응성 중심을 제공할 수 있고, 이는 이어서 흡수 층과 이미징 층 사이의 공유 결합의 형성에 관여한다. 이러한 방식으로, 흡수 층의 상단 표면은 광 반응성 표면으로서 특징화될 수 있고, 흡수 층 자체는 광 반응성 접착 층으로서 특징화될 수 있다.
도 2는 광 반응성 접착 층을 갖는 패터닝 구조체를 사용하는 비제한적인 방법 (200) 을 제공한다. 비제한적인 패터닝 구조체는 기판 (211), 이미징 층 (212), 및 이미징 층 (212) 아래의 흡수 층 (213) 을 포함할 수 있다. 알 수 있는 바와 같이, 또한 흡수 층 (213) 상단에 광 반응성 표면 (214) 이 존재한다. 이러한 층들을 포함하는 패터닝 구조체는 본 명세서에 기술된 바와 같이 임의의 유용한 방식으로 형성될 수 있다. 일 실시 예에서, 이미징 층은 방사선-감응 이미징 층, EUV-감응 막, 또는 포토레지스트 층이다.
동작 201에서, 패터닝 구조체는 방사선 노출 영역(들) (212a) 및 방사선 비노출 영역(들) (212b) 을 갖는 노출된 막을 제공하도록 패터닝된 방사선 노출에 노출되고, 패터닝은 방사선 투과 영역(들) 및 방사선 불투과 영역(들)을 갖는 마스크 (224) 의 사용을 포함할 수 있고, 방사선 빔들 (225) 이 방사선 투과 영역을 통해, 이미징 층 (212) 내로, 그리고 더 나아가 흡수 층 (213) 내로 투과된다.
방사선은 또한 흡수 층 (213) 의 광 반응성 표면 (214) 을 패터닝할 수 있다. 알 수 있는 바와 같이, 광 반응성 표면은 방사선 노출 영역(들) (214a) 및 방사선 비노출 영역(들) (214b) 을 포함한다. 방사선 노출 영역(들) (214a) 에서, 향상된 접착이 이미징 층 (212) 과 흡수 층 (213) 사이에 제공된다. 특정한 실시 예들에서, 향상된 접착은 이미징 층 및/또는 흡수 층 내에 목표된 패터닝된 피처들을 제공하기 위해 필요한 방사선 도즈를 낮출 수 있다.
선택 가능하게, 동작 202에서, 노출된 층들은 소성될 수 있고, 이는 흡수 층과 이미징 층 사이의 결합을 더 촉진할 수 있고 그리고/또는 노출된 층(들)의 에칭 선택도의 대비를 증가시킬 수 있다. 패터닝 구조체를 현상하는 단계 (203) 는 방사선 비노출 영역(들) (212b) 을 선택적으로 제거하고 이미징 층 (212) 의 방사선 노출 영역(들) (212a) 을 유지하는 것을 발생시킬 수 있다. 발생되는 패터닝 구조체는 이미징 층의 노출된 부분 (212a), 아래에 놓인 패터닝된 흡수 층 (213), 및 기판 (211) 을 포함할 수 있다. 선택 가능하게, 이러한 현상은 방사선 비노출 영역(들) (214b) 을 선택 가능하게 제거하고 이미징 층 (214) 의 방사선 노출 영역(들) (214a) 을 유지하는 것과 같이, 흡수 층 (213) 의 부분들을 선택적으로 제거하는 것을 발생시킬 수 있다.
도 3은 광 반응성 접착 층을 갖는 패터닝 구조체를 제조하는 비제한적인 방법 (300) 을 제공한다. 이러한 방법 (300) 은 기판 (311) 의 표면 상에 배치된 흡수 층 (313) 을 갖는 기판 (311) 을 제공하는 것을 포함할 수 있다.
동작 301에서, 흡수 층 (313) 의 광 반응성 표면 (314) 은 패터닝된 흡수 층을 제공하도록 패터닝된다. 알 수 있는 바와 같이, 광 반응성 표면 (314) 은 방사선 노출 영역(들) (314a) 및 방사선 비노출 영역(들) (314b) 을 포함할 수 있다. 이러한 패터닝은 광 반응성 표면을 패터닝된 방사선 노출에 노출함으로써, 표면으로부터 불안정한 모이어티를 릴리즈하고 (release) 반응성 중심을 제공하는 것을 포함할 수 있다. 특정한 실시 예들에서, 반응성 중심은 방사선 비노출 영역과 비교하여, 이미징 층의 증착을 위해 향상된 핵 생성을 갖는 표면을 제공할 수 있다. 선택 가능하게, 반응성 중심은 향상된 핵 생성을 제공하는 추가의 반응성 중심을 제공하도록 (예를 들어, 산화에 의해 또는 본 명세서에 기술된 공기, 물, 또는 대응-반응 물질과의 반응에 의해) 처리될 수 있다. 비제한적인 반응성 중심들 및 추가의 반응성 중심들은 M-H 결합들 또는 M-OH 결합들을 포함할 수 있고, 여기서 M은 금속이다. 선택 가능하게, 패터닝된 흡수 층은 소성될 수 있고, 이는 흡수 층과 이미징 층 사이의 결합을 더 촉진할 수 있고 그리고/또는 노출된 층(들)의 에칭 선택도의 대비를 증가시킬 수 있다.
동작 302에서, 흡수 층 상의 추가 표면 이미징은 하드 마스크 (315) 를 제공할 수 있다. 표면 이미징은 흡수 층의 방사선 노출 영역(들) (314a) 상의 선택적인 증착을 포함할 수 있다. 이러한 선택적인 증착은 본 명세서에 기술된 바와 같은 선택적 ALD, 선택적 CVD, 선택적 ELD (electroless deposition), 중합체의 선택적 증착, BCP (block co-polymer) 의 DSA (directed self-assembly), 또는 졸-겔 (sol-gel) 의 DSA를 포함할 수 있다.
동작 303에서, 추가 프로세싱은 하드 마스크 (315), 에칭된 기판 (311a), 및 에칭된 흡수 층 (313a) 을 포함하는 패터닝 구조체를 제공할 수 있다. 하드 마스크 (315) 의 증착 후, 추가 단계들은 예컨대 산소 플라즈마를 사용함으로써, 하부 흡수 층 및 기판의 에칭을 포함할 수 있다.
본 개시는 흡수 층을 갖는 패터닝 구조체를 채용하는 임의의 방법을 포괄하고, 이러한 방법들은 이러한 구조체들을 제조 및/또는 사용하는 방법들이다. 일 실시 예에서, 패터닝 구조체를 제조하는 방법은: 패턴을 수용하는 기판을 제공하는 단계; 기판의 상단 표면 상에 흡수 층을 통합하는 단계; 및 이미징 층을 제공하는 단계를 포함하고, 흡수 층은 이미징 층의 방사선 흡수율 및/또는 패터닝 성능을 증가시키도록 이미징 층 아래에 놓인다.
흡수 층은 하나 이상의 전구체들 (예를 들어, 본 명세서에 기술된 임의의 전구체) 을 사용함으로써 증착될 수 있는 고-흡수성 원소를 포함할 수 있다. 흡수 층은 주로 고-흡수 원소들을 포함할 수 있다. 일 실시 예에서, 흡수 층은 I, In, Sn, Bi, Sb, Te, 또는 이들의 조합을 포함한다. 또 다른 실시 예에서, 단일 전구체가 채용된다. 또 다른 실시 예에서, 2 개 이상의 전구체들이 채용된다. 선택 가능하게, 전구체(들)는 본 명세서에 기술된 바와 같이 하나 이상의 대응-반응 물질들과 함께 채용된다. 증착은 예를 들어, ALD 또는 CVD를 포함할 수 있다. 도 4에 도시된 바와 같이, 흡수 층은 실릴기들을 제거하기 위해 (예를 들어, 대응-반응 물질 또는 알코올과) 더 반응할 수 있는, 트리메틸실릴 표면을 갖는 SnTe 재료 (3) 를 제공하기 위해 비제한적인 Te-계 전구체 (예를 들어, 비스(트리메틸실릴)텔루륨, 1) 및 비제한적인 유기 주석 전구체 (예를 들어, 테트라(t-부톡시)주석, 2) 의 사용을 포함할 수 있다.
흡수 층의 표면은 불안정한 모이어티, 예컨대 할로, 알킬 (예를 들어, 분지된 알킬), 할로알킬, 또는 화학식 (I) 또는 화학식 (II) 에 대한 R로서 본 명세서에 기술된 임의의 모이어티를 포함할 수 있다. 도 5는 기판 (511), 기판 (511) 의 상단 표면 상에 배치된 흡수 층 (513), 및 이미징 층 (512) 을 포함하는 비제한적인 패터닝 구조체를 채용하는 방법 (500) 을 도시한다. 비제한적인 흡수 층은 불안정한 모이어티 R'을 갖는 Te를 포함하고, 비제한적인 이미징 층은 불안정한 모이어티 R을 갖는 주석 옥사이드 막을 포함한다.
불안정한 모이어티 R'는 방사선에 노출시 활성화될 수 있는 패시베이션된 표면을 제공할 수 있다. 동작 501에서, 패터닝 구조체는 이미징 층 (512) 내에 방사선 노출 영역(들) (512a) 및 방사선 비노출 영역(들) (512b), 뿐만 아니라 흡수 층 (513) 내의 방사선 노출 영역(들) (513a) 및 비노출 영역(들) (513b) 을 갖는 노출된 막을 제공하도록 패터닝된 방사선 노출에 노출된다. 방사선 노출로 인해, 불안정한 모이어티들 (R 및 R') 은 제거되거나 절단되어, 따라서 금속-금속 (M-M) 결합 (여기서, Sn-Te 결합) 을 형성하도록 반응할 수 있는, 금속 반응성 중심들, 즉 이미징 층 (513) 의 Sn 및 흡수 층 (514) 의 Te를 제공한다. 이미징 층에서, 유기 주석 옥사이드 층의 Sn-R 결합의 방사선-유도된 절단은 또한 방사선 노출 영역(들)에 보다 응결된 금속 옥사이드 (주석 옥사이드) 네트워크를 제공하도록 통합을 유도한다.
동작 502에서, 이미징 층의 비노출 영역(들)이 에칭되거나 용해되어, 이미징 층의 노출된 부분 (512a) 을 갖는 구조체를 릴리즈하고 패턴을 제공한다. 이러한 에칭은 예를 들어, 본 명세서에 기술된 할라이드 화학 물질 (chemistry) 을 사용하는 건식 에칭을 포함할 수 있다. 동작 503에서, 흡수 층의 비노출 영역(들)이 제거되어, 흡수 층의 노출된 부분 (513a) 을 갖는 패터닝 구조체를 제공한다. 이러한 제거는 예를 들어, 본 명세서에 기술된 할라이드 화학 물질을 사용하는 건식 에칭, 또는 (예를 들어, NF3, CF4, 등을 포함하는 CH4-계 플라즈마 또는 F-계 플라즈마를 사용한) 플라즈마 에칭을 포함할 수 있다.
도 6은 Te 및 불안정한 모이어티, 예를 들어 t-부틸을 포함하는 흡수 층에 대한 또 다른 용도를 제공한다. 비제한적인 방법 (600) 은 기판 (611) (예를 들어, 애시 가능 하드 마스크 탄소 하부 층) 을 제공하는 단계를 포함한다. 동작 601에서, 박형 흡수 층 (613) 이 증착되고, 흡수 층은 Te를 포함하거나 Te로 구성된다. 흡수 층의 비제한적인 두께는 약 3 내지 5 ㎚이다. 동작 602에서, 흡수 층의 표면은 고-흡수 원소 (예를 들어, Te) 및 불안정한 모이어티 (예를 들어, t-부틸) 를 갖는 유기 금속 전구체로 처리된다. Te 및 t-부틸 (t-Bu) 을 포함하는 비제한적인 전구체들은 (t-Bu)TeH 또는 (t-Bu)2Te일 수 있다.
동작 603에서, 흡수 층 (613) 의 표면 (614) 은 노출 영역 (614a) 및 비노출 영역 (614b) 을 제공하도록 패터닝 방사선 (예를 들어, EUV 방사선) 으로 패터닝된다. 불안정한 모이어티는 EUV-유도된 절단에 의해 릴리즈된다. 예를 들어, 불안정한 모이어티가 t-부틸일 때, 이소부틸렌은 흡수 층으로부터 모이어티의 절단 후에 릴리즈된다.
방사선-노출 영역들은 재료의 향상된 증착을 제공할 수 있어서, 패터닝된 표면 상에 재료의 선택적인 증착을 제공한다. 일 예에서, 노출 영역들은 금속 옥사이드 재료의 선택적인 성장 또는 증착을 개시할 수 있는, M-H 결합 또는 M-OH 결합과 같은 산성기를 포함한다. 알 수 있는 바와 같이, 동작 604에서, 금속 (M)-산소 (O) 함유 재료 (615) 가 노출 영역 (614a) 상에 선택적으로 증착될 수 있다. 이러한 재료들은 교번적으로 그리고 반복적으로 전달될 수 있는, 금속 옥사이드 (MOx) 전구체 및 산소-함유 전구체 (예를 들어, 본 명세서에 기술된 수증기 또는 대응-반응 물질) 를 사용함으로써 증착될 수 있다.
기판 준비
인입 웨이퍼는 목표된 재료의 기판 표면으로 준비될 수 있고, 최상부 재료는 레지스트 패턴이 전사되는 층이다. 재료 선택은 집적에 따라 가변할 수도 있지만, 일반적으로 EUV 레지스트 또는 이미징 층에 대해 높은 선택도로 (즉, 훨씬 보다 빨리) 에칭될 수 있는 재료를 선택되도록 목표된다. 적합한 기판 재료들은 다양한 탄소계 막들 (예를 들어, AHM (ashable hardmask)), 실리콘계 막들 (예를 들어, SiOx, SiCx, SiOxCy, SiOxNy, SiOxCyNz, a-Si:H, 폴리-Si, 또는 SiN), 또는 패터닝 프로세스를 용이하게 하도록 적용된 임의의 다른 (일반적으로 희생적인) 막을 포함할 수 있다. 상당한 토포그래피 (topography) 를 생성하는 패터닝될 기판 상에 디바이스 피처들이 존재하는 경우들에서, 하부 층의 또 다른 중요한 기능은 후속하는 패터닝 단계가 포커싱된 패턴의 모든 영역들을 갖는 편평한 표면 상에서 수행될 수도 있도록 기존의 토포그래피를 오버 코팅하고 평탄화하는 것일 수 있다. 이러한 적용 예들에 대해, 하부 층 (또는 복수의 하부 층들 중 적어도 하나) 은 일반적으로 스핀-코팅 기법들을 사용하여 도포된다. 채용되는 포토레지스트 재료가 상당한 무기 컴포넌트를 가질 때, 예를 들어, 이는 주로 금속 옥사이드 구조 (framework) 를 나타낼 때, 하부 층은 유리하게 스핀-코팅 프로세스 또는 건식 진공-기반 증착 프로세스에 의해 도포된, 탄소계 막일 수도 있다. 층은 탄소계 조성 및 수소계 조성을 갖는 다양한 AHM 막들을 포함할 수도 있고, 텅스텐, 붕소, 질소, 또는 불소와 같은 부가적인 원소들로 도핑될 수도 있다.
일부 실시 예들에서, 노출된 하이드록실기들을 포함하는 기판은 하이드록실-종단된 SnOx를 포함하는 표면 층 또는 막을 포함한다. 예를 들어, 기판은 하이드록실-종단된 SnOx의 표면을 갖는 비정질 탄소를 포함할 수도 있다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 하이드록실-종단된 SnOx 층은 패터닝 동안 기판의 표면 상에 증착된 재료들의 개선된 접착 및 EUV (또는 다른 방사선) 의 향상된 흡수와 같은 이점들을 제공할 수도 있다고 여겨진다. EUV 또는 다른 조사에 대한 감도 및 분해능은 두께, 밀도 및 단거리 전하 전달 특징들과 같은 SnOx 층의 특성들에 종속될 수도 있다. 다양한 실시 예들에서, SnOx 층은 0.1 ㎚ 내지 20 ㎚, 또는 0.2 ㎚ 내지 10 ㎚, 또는 0.5 ㎚ 내지 5 ㎚의 두께를 갖는다.
일부 실시 예들에서, 하이드록실-종단된 SnOx 층은 기상 증착에 의해 기판의 표면 상에 증착된다. 이러한 방법들에서, 증착은 Sn-Xn을 산소-함유 대응-반응 물질과 반응시키는 것을 포함하고, 여기서 X는 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 및 디에틸아미노), 알코올 (예를 들어, t-부톡시, 및 이소프로폭시), 할로겐 (예를 들어, F, Cl, Br, 및 I), 또는 다른 유기 치환기 (예를 들어, 아세틸아세톤, N 2 , N 3-디-터트부틸-부탄-2,3-디아미노) 와 같은 리간드이다. 예를 들어, Sn-Xn은 SnCl4, SnI4, 또는 Sn(NR2)4일 수도 있고, 여기서 R은 메틸 또는 에틸, 또는 Sn(t-BuO)4이다. 일부 실시 예들에서, 복수의 타입들의 리간드들이 존재한다. 산소-함유 대응-반응 물질은 물, 수소 페록사이드, 포름산, 알코올들, 산소, 오존, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다.
적합한 기상 증착 프로세스들은 화학적 기상 증착 (Chemical Vapor Deposition; CVD), 원자 층 증착 (Atomic Layer Deposition; ALD), 플라즈마-강화된 화학적 기상 증착 (Plasma-Enhanced Chemical Vapor Deposition; PECVD), 또는 플라즈마-강화된 원자 층 증착 (Plasma-Enhanced Atomic Layer Deposition; PEALD) 을 포함한다. 일부 실시 예들에서, 증착은 Sn-Xn을 증착하고 산소-함유 대응-반응 물질을 증착하는 순환적 프로세스의 ALD이다. 일부 실시 예들에서, 증착은 Sn-Xn 및 산소-함유 대응-반응 물질을 동시에 흘림으로써 CVD이다. 이들 중에서 SnOx 층들을 증착하기 위해 본 명세서에 유용한 재료들 및 프로세스들은 Nazarov 등의, Atomic Layer Deposition of Tin Dioxide Nanofilms: A Review, 40 Rev. Adv. Mater. Sci. 262 (2015) 에 기술된다. SnOx 기판은 본 명세서에 기술된 바와 같이 CVD 또는 ALD 프로세스에 의해 증착될 수도 있다.
표면 활성화 동작은 미래의 동작들을 위해 표면을 활성화하도록 사용될 수도 있다. 예를 들어, SiOx 표면에 대해, 물 또는 산소/수소 플라즈마가 표면 상에 하이드록실기들을 생성하도록 사용될 수도 있다. 탄소계 표면 또는 하이드로카본계 표면에 대해, 물, 수소/산소 또는 CO2 플라즈마 또는 오존 처리가 카르복시산들/또는 하이드록실기들을 생성하도록 사용될 수도 있다. 이러한 방법들은, 그렇지 않으면 현상을 위해 사용된 용매에서 디라미네이트되거나 (delaminate) 리프트 오프될 수도 있는, 기판에 대한 레지스트 피처들의 접착을 개선하는데 중요하다는 것을 증명할 수 있다.
접착은 또한 상호 작용에 이용 가능한 표면적을 증가시키기 위해 기판 표면에 조면화 (roughness) 를 유도함으로써 향상될 수도 있을뿐만 아니라 기계적 접착을 직접적으로 개선할 수도 있다. 예를 들어, 먼저 Ar 또는 다른 비 반응성 이온 충격을 사용하는 스퍼터링 프로세스가 거친 표면들을 생성하도록 사용될 수 있다. 이어서, 표면은 상기 기술된 바와 같이 목표된 표면 작용기 (functionality) (예를 들어, 하이드록실기 및/또는 카르복시산기) 로 종단될 수 있다. 탄소 상에서, CO2, O2, H2O (또는 H2 및 O2의 혼합물들) 과 같은 화학적으로 반응성인 산소-함유 플라즈마가 국소 불균일성을 갖는 막의 박층을 에칭하고 동시에 -OH, -OOH, 또는 -COOH 기들로 종단하도록 사용될 수 있는 조합 방법이 채용될 수 있다. 이는 바이어스를 사용하거나 사용하지 않고 행해질 수도 있다. 상기 언급된 표면 개질 전략들과 함께, 이 방법은 무기 금속-옥사이드계 레지스트에 대한 직접적인 접착을 위해 또는 추가의 기능화 (functionalization) 를 위한 중간 표면 개질로서 기판 표면의 표면 조면화 및 화학적 활성화의 이중 목적을 제공할 수 있다.
EUV 향상 층의 증착
구현 예 1: 증가된 EUV 광자 흡수를 위한 재료의 증착
예를 들어 상기 기술된 바와 같이 표면 개질을 통해 일단 기판이 준비되면, 웨이퍼가 EUV 감도를 향상시키기 위해 재료의 증착을 위해 프로세싱 챔버로 운반될 (bring into) 수 있다. 이는 매우 고 EUV 흡수율을 나타내는 원소들로 하여금 표면 상에 통합되게 할 것이다. EUV 흡수-향상 층의 증착에 이어서, 건식-증착된 유기 금속 층, 스핀-코팅된 유기 금속 층, 또는 화학적으로 증폭된 레지스트와 같은 EUV-감응 이미징 층이 웨이퍼 상에 증착될 수 있다. 노출시, EUV-감응 이미징 층을 통과하는 EUV 광자들 중 일부는 고 EUV 흡수 향상 층에 의해 흡수될 수도 있어서, 2 차 전자들을 생성한다. 2 차 전자들은 EUV-감응 이미징 층과 상호 작용할 수도 있고, EUV 막들에서 반응들을 촉진한다. 이러한 방식으로, 예컨대 도 1a 및 도 1b에 개념적으로 도시된 바와 같이, 막 스택에 대한 EUV 광자들의 향상된 감도 및 사용이 있다. 표면 개질, EUV 흡수 층의 증착, 및 EUV 레지스트의 증착은 동일한 챔버 또는 별도의 챔버들에서 발생할 수도 있다.
향상 층의 증착의 이점은 기판보다 고 EUV 단면을 갖는 임의의 엘리먼트에서 관찰될 수도 있다. 가장 통상적으로 채용된 탄소계 하부 층들은 상대적으로 저 EUV 단면들을 갖는다. 따라서, 매우 다양한 원소들이 표면 개질에 적절할 수도 있다. 일부 특히 적합한 원소들은 고 EUV 흡수 단면적을 나타내는 고 In, Sn, Sb, Te, I, Pb, 및 Bi를 포함하는 건식 에칭 프로세스들을 사용하여 효과적으로 제거될 수 있다 (도 8 참조). 이들 원소들의 합금들 및 옥사이드들 및 다른 화합물들이 또한 활용될 수도 있다.
EUV 향상 층의 두께는 통합 스킴에 따라 가변할 것이다. 발생되는 기판 표면 개질된 층은 0.3 ㎚ 내지 5 ㎚ 범위로 매우 얇을 수 있는데, 이는 5 ㎚보다 깊이 생성된 2 차 전자들이 막을 통해 레지스트 층 내로 침투할 가능성이 보다 적기 때문이다. 그러나, 포토레지스트 막에 대해 높은 에칭 선택도로 에칭될 수 있는 임의의 EUV 흡수 하부 층은 또한 훨씬 보다 두껍게 도포될 수 있고, 일부 경우들에서, 이는 에칭 화학 물질들이 존재한다면 하부 층 디바이스 층들로 하여금 에칭 마스크로서 하부 층 막을 사용하여 선택적으로 제거되게 할 수 있다.
예를 들어, C-F 결합들에 의한 치환 C-H 결합들을 통해 많은 유기계 포뮬레이션들에서 상당히 향상된 EUV 흡수가 또한 달성되었다. 본 개시는 EUV 흡수를 증가시키는 것과는 별개로, 임의의 특정한 동작 이론에 의해 제한되지 않지만, C-F 결합-함유 화합물들은 EUV 노출시 2 차 전자들을 생성할 수도 있고, 이는 결국 F 음이온들을 방출할 (liberate) 수도 있어서, 용해도 또는 건식 에칭 레이트의 EUV 유도된 변화에 대한 또 다른 잠재적인 메커니즘을 제공하는 것으로 이해된다.
표면 개질을 달성하기 위한 일 방법은 EUV-흡수 원소를 함유하는 반응성 종의 사용을 통한 것이다. 일부 반복들에서, 반응성 종의 증기가 웨이퍼 위를 통과할 수도 있다. 웨이퍼는 반응이 진행되도록 열 에너지를 제공하도록 가열될 수도 있다. 일부 반복들에서, 가열은 50 내지 250 ℃일 수 있다. 일부 경우들에서, 반응 물질의 펄스들이 펌프 및/또는 퍼지 단계들에 의해 분리되어 사용될 수도 있다. 일부 경우들에서, 대응-반응 물질은 ALD 또는 ALD-유사 성장을 발생시키는 반응 물질 펄스들 사이에서 펄싱될 수도 있다. 다른 경우들에서, 반응 물질 및 대응-반응 물질 모두가 동시에 흐를 수도 있다. 표면 개질에 유용한 원소들의 예들은 I, F, Sn, Bi, Sb, Te, 및 이들 화합물들의 옥사이드들 또는 합금들을 포함한다.
이 기법에 따른 표면 개질의 일부 예들은 순수한 원소들 또는 이들의 임의의 충분히 휘발성 화합물들의 증발/승화를 포함한다. 코팅들은 또한 다양한 스퍼터링 또는 물리적 기상 증착 기법들에 의해 도포될 수도 있다.
ALD (Atomic Layer Deposition) 기법들은 또한 이러한 박막들을 제어 가능하게 도포하기 위해 유리하게 사용될 수도 있다. 이러한 방식으로, 주석-텔루라이드, 안티몬-텔루라이드, 또는 비스무트-텔루라이드의 박형, 고 EUV 흡수 층들은 비스(트리메틸실릴)텔루륨과 함께 다양한 휘발성 금속 알콕사이드계 전구체들의 교번하는 플로우들을 사용하여 증착될 수도 있다. 이의 예는 도 4에서 SnTe2의 박막들의 증착에 대해 예시된다. 이러한 프로세스의 특정한 장점은 무기 금속 옥사이드계 레지스트 상부 층 (overlayer) 에 대한 접착을 최대화하도록 계면을 미세 튜닝하는 (fine tune) 능력이다.
본 개시에 따른 표면 개질을 달성하도록 적용될 수도 있는 또 다른 방법은 플라즈마 기법들을 통한 것이다. 플라즈마 내의 반응성 종이 고 EUV-흡수성 원소들을 증착하기 위해 표면과 반응하도록 플라즈마가 스트라이킹될 (strike) 수도 있다. 플라즈마는 리모트 플라즈마 또는 직접 플라즈마일 수도 있다.
이들 플라즈마 기법들의 일부 예들은 불활성 캐리어 가스의 요오드 증기의 플로우에서 생성된 플라즈마에 대한 노출에 의해 개질된 플라즈마-증착된 애시 가능 탄소 하드 마스크 (ashable carbon hardmask; AHM) 막을 포함한다. I2 플라즈마는 표면 C-C 결합들을 파괴할 수 있고 표면에서 C-I 작용기를 생성하도록 직접 삽입될 수 있는 반응성 I2 또는 원자 I 종을 생성하도록 사용될 수 있다. 이러한 플라즈마 전략은 대부분 C-C 단일 결합들을 포함하는 다이아몬드-유사 탄소 막, 뿐만 아니라 탄소 원자들 사이에 이중 결합들을 포함하는 재료들 모두에 적용될 수 있다. 대안적으로, 박형의 요오드-풍부 층은 웨이퍼 표면 위의 디-요오드메탄/He 또는 다른 요오드화된 탄화수소의 플로우에서 생성된 플라즈마 방전에 노출함으로써 표면 상에 그래프팅될 (graft) 수 있다.
대안적으로, 휘발성 유기 금속 전구체의 열적, 화학적 반응, 또는 플라즈마-유도된 분해가 주 원소적 조성을 갖는 막들을 생성하도록 채용될 수 있다. 예를 들어, 박형 텔루륨-풍부 막은 250 ℃로 가열된 AHM 탄소 막 상의 비스(t-부틸)텔루륨의 열적 분해에 의해 증착될 수도 있다. 대안적으로, RF 플라즈마는 비스(t-부틸)텔루륨 및 H2 또는 He의 플로우에서 점화되어 (ignite) 텔루륨-풍부 층으로 하여금 AHM 막의 표면 상에 증착되게 한다. 유사하게, 이러한 층은 비스(트리메틸실릴)텔루륨과 수증기 간의 화학적 기상 증착 반응에 의해 증착될 수 있다. 또 다른 예에서, 박형의 Sn-풍부 막은 테트라키스(디메틸아미노)주석 또는 테트라(이소프로필)주석과 같은 주석 전구체를 함유하는 혼합물에서 플라즈마를 생성함으로써 형성될 수도 있고, 디메틸아미노 또는 이소프로필 리간드들 중 하나 이상의 손실을 통해 표면에 결합된 Sn-함유 종을 발생시킨다.
구현 예 2: 반응 형 표면 접착으로 향상된 EUV 광자 흡수를 위한 재료 증착
제 2 구현 예에서, EUV 광 흡수를 향상시키고 EUV-감응 이미징 층에 광-반응성, 맞춤 가능한 표면 접착을 제공하도록 표면을 개질할 재료가 증착될 수 있다. 이 방법의 예들은 도 2 및 도 5에 도시된다. 개질 프로세스는 알킬기들 (예를 들어, t-부틸, n-부틸, sec-부틸, i-프로필, 등) 또는 예를 들어 -CF3, -CF2CF3와 같은 불소 치환된 알킬기들과 같은 EUV-불안정기들로 종단된 표면을 남길 수 있다. EUV-흡수 층 도포에 이어서, 건식-증착된 유기 금속 층, 스핀-코팅된 유기 금속 층, 또는 화학적으로 증폭된 레지스트와 같은 EUV-감응 이미징 층이 웨이퍼 상에 증착될 수 있다. 증착들은 동일한 챔버에서 또는 분리된 챔버들에서 발생할 수도 있지만, 챔버들을 통합하는 것이 진공으로부터 제거시 공기 반응성인 표면들 상에서 유리할 수도 있다.
이 구현 예에 따라, 기판은 부가적인 EUV 광자들을 흡수하고 2 차 전자들을 생성하도록 개질될 수 있다. 이어서 이들 2 차 전자들은 막에 추가 노출을 유발하도록 EUV-감응 이미징 층으로 갈 수 있고, 따라서 EUV 광자들을 수확하는 (harvest) 효율을 증가시킨다. 이에 더하여, 이 층은 노출 영역들이 비노출 영역들에 비해 개선된 접착성을 갖도록 개질된 표면에 대한 EUV-감응 이미징 층의 접착을 튜닝하게 할 수 있다. 이 효과는 특히 현상 후에 불용성이 되고 남아 있는 노출 영역인 네거티브 톤 액팅 레지스트 (negative tone acting resist) 의 성능을 향상시키는 것과 관련된다.
건식 증착된 유기 금속 EUV 레지스트에 대한 이 구현 예의 예는 다음과 같다: EUV 조사된 영역들에서 표면 상에 존재하는 알킬기들은 알킬기들이 제거되도록 베타-수소 제거를 겪을 (undergo) 수 있고, 계면 상에 M-H 기들을 남긴다. 이들 기들은 산소 및 수분에 노출시 M-OH 기들로 변환될 수도 있다. 노출 또는 후속 노출 후 소성 단계들 동안, 이들 기들 중 일부는 막 내에 이미 존재하거나 레지스트 막 내 EUV 유도된 반응들에 의해 생성된 하이드록실기와 반응할 수도 있어서, 노출된 레지스트 막과 기판 사이에 강한 결합들을 생성한다. 노출 후 소성은 접착을 더 향상시킬 수도 있고 교차-결합 지점들의 수를 증가시킬 수도 있다. 이 접착 차는 네거티브 톤 레지스트에서 목표된 바와 같이 비노출 영역들을 선택적으로 제거하는 것을 보조할 수 있다. 스핀-코팅된 유기 또는 금속-유기 레지스트들은 또한 유사한 메커니즘들에 의해 이익을 얻을 수도 있다.
하부 층 조성은 가변할 수도 있다. 후보 EUV 흡수체는 나열되지 않은 다른 원소들을 포함하여, In, Sn, Sb, Te, Pb, Bi, 및 이들의 합금들 또는 화합물들을 포함한다. EUV-불안정기들은 또한 가변할 수도 있지만, 바람직하게 n ≥ 3인 알킬기 CnH2n+1을 포함하고, 불소 치환된 알킬기들을 포함한다. 하부 층은 습식 또는 건식 프로세스에 의해 증착될 수도 있다. 이들 층들을 제조하기 위한 일부 예시적인 방법들은 다음을 포함한다:
알킬기 종단을 갖는 ALD 또는 CVD 옥사이드: 박형 금속 옥사이드 또는 금속이 ALD 또는 CVD에 의해 증착될 수도 있다. 예들은 SnOx, BiOx 및 Te를 포함한다. 증착 후에, 막은 MRxLy 형태의 알킬 치환된 전구체로 캡핑될 수도 있고, 여기서 M은 바람직하게 고 EUV 흡수를 갖는 금속이고, R은 알킬 또는 플루오로알킬기이고, L은 박형 금속 옥사이드 또는 금속의 표면과 반응하는 리간드이고, x는 1 이상의 정수이고 y는 1 이상의 정수이다. 대응-반응 물질은 리간드들을 보다 잘 제거하기 위해 사용될 수도 있고, 기판 표면의 완전한 포화를 보장하기 위해 복수의 사이클들이 반복될 수도 있다. 이어서 표면은 EUV-감응 이미징 층이 증착될 준비가 된다. 일 가능한 방법은 SnOx의 박막을 생성하는 것이다. 가능한 화학 물질들은 테트라키스(디메틸아미노)주석 및 물 또는 O2 플라즈마와 같은 대응-반응 물질을 순환시킴으로써 SnO2의 성장을 포함한다. 성장 후, 캡핑제가 사용될 수 있다. 예를 들어, 이소프로필트리스(디메틸아미노)주석 증기가 표면 위로 흐를 수도 있다.
알킬-종단된 Sn 계면 개질과 같은 시츄 캡핑을 사용한 플라즈마 보조된 프로세스들: 일 방법은 기판 하부 층의 표면 상에 박형 금속성 Sn 또는 Sn 합금 기반 막의 증착을 수반한다. 알킬 유기 금속 주석 전구체의 증기는 챔버 내로 흐를 수 있다. 알킬 주석 전구체는 Sn 산화 상태에 따라 SnR2 또는 SnR4의 형태를 가질 수도 있다. 알킬기는 CnH2n +1일 수 있고, 여기서 n은 일반적으로 2 이상이다. 예들은 테트라이소프로필주석 및 테트라(t-부틸)주석을 포함한다. 전구체 증기들은 적합한 캐리어 가스 (예를 들어, H2, He, Ar, 또는 N2) 내로 동반될 수 있고 챔버 내로 흐를 수 있고, RF 플라즈마는 웨이퍼와 샤워헤드 사이에서 점화된다. 결과는 원소 Sn과 유사한 기본 조성을 갖는 막의 증착이다. 플라즈마의 전력은 증착 내내 조정될 수도 있고, 가능하게 종료시 램핑 다운될 (ramp down) 수도 있다. 이어서 플라즈마 전력은 중단되지만, 알킬 주석 전구체는 여전히 흐른다. 결과는 알킬기들로 캡핑된 주석 하부 층일 수 있다. 이어서 금속 유기 레지스트와 같은 레지스트가 개질된 층의 상단에 증착될 수 있고 노출을 위해 EUV 스캐너로 운반될 수 있다. EUV 노출시, 개질된 계면의 알킬기들은 베타-수소 제거를 겪을 수 있고, 계면에서 Sn-H 결합들의 형성을 발생시킨다. 이 스테이지에서, 또는 노출 후 소성 동안, Sn-H 결합들은 계면에 걸쳐 Sn-O-Sn 브리지들을 형성하도록 레지스트와 반응할 수도 있고, 노출 영역에서 막의 접착을 효과적으로 증가시킨다.
알킬-종단된 Te 계면 개질: 알킬-종단된 Sn 계면 개질에 대해 기술된 프로세스와 유사하게, 유기 텔루륨 전구체는 고 EUV 흡수율을 제공하는 박형 주로 Te 조성 막을 증착하도록 채용될 수 있다. 유기-Te 전구체는 RTeH 또는 R2Te의 형태를 가질 수도 있고, 여기서 R은 알킬기 또는 리간드 분자이다. 알킬기는 CnH2n +1일 수도 있고, 여기서 n은 3 이상이다. 예들은 t-부틸 텔루륨 하이드라이드, 디(t-부틸) 텔루륨, 디(이소프로필)텔루륨, 또는 비스(트리메틸실릴)텔루륨을 포함한다. 통상적인 희석 가스들은 H2, He, Ar, 또는 N2를 포함할 수도 있다. RF 플라즈마를 점화하는 것은 주로 Te 막의 증착을 발생시킨다. 플라즈마의 전력은 증착 내내 조정될 수도 있고, 말단에서 램핑 다운될 수도 있고, 여전히 유기 텔루륨 전구체를 흘리는 동안 소화될 수도 있고, 이는 알킬기들로 캡핑된 Te 하부 층을 발생시킨다. 이어서 상기 기술된 바와 같이 스핀-온 또는 건식-증착된 금속 유기 레지스트와 같은 EUV 레지스트가 개질된 층의 상단에 증착될 수 있고 노출을 위해 EUV 스캐너로 운반될 수 있다. EUV 노출시, (예를 들어, AHM 탄소 하부 층의 표면 상에 증착된) 막 하단의 Te는 레지스트 재료에 캡처되지 않은 EUV 광자들을 강하게 흡수할 것이고, 따라서 계면에서 알킬기 절단 및 Te-Sn 결합들의 형성을 유도할 가능성이 있는 2 차 전자들의 생성을 최대화할 것이다. 개질된 계면에 존재하는 알킬기들은 베타-수소 제거를 겪을 수 있어서, 계면에서 반응성 Te-H 결합들의 형성을 발생시킨다. 소성시 Te-H는 상단의 레지스트 막의 Sn과 반응할 수도 있고 안정한 Sn-Te 결합들을 형성할 수도 있다. 이 방법의 예는 도 5에 도시된다.
CFx 및 OH, O, COO 표면 개질: 이 예에서, 향상된 EUV 흡수는 또한 접착을 조정하기 위해 OH, O, 또는 COO기들을 포함하는 동안 계면에서 F의 통합을 통해 달성될 수 있다. 흡수는 유기 (탄소계) 하부 층들의 플루오로카본 (또는 NF3, SF6, 또는 다른 F 소스) 플라즈마 처리로부터 F의 존재에 의해 EUV-노출 영역에서 강화될 수 있다. 박형 CFx 종단된 표면을 생성하기 위해, RF 플라즈마는 하나 이상의 플루오르화된 하이드로카본 전구체 (예들은 CH2F2, C4F6, CHF3, CH3F, CF4, 또는 C4F8을 포함), 기판 표면에 EUV-노출된 금속 옥사이드계 레지스트 재료들의 접착을 용이하게 하도록 극성 모이어티들의 형성을 촉진하도록 선택 가능하게 O2, H2, 및 /또는 CO2와 같은 가스들과 함께 생성될 수 있다. CFx 층이 또한 노출된 금속 유기 막들과의 접착을 향상시킬 것으로 예상되는 표면 작용기를 형성하는데 효과적인 것으로 도시된 조건들 하에서 웨이퍼 상에 증착되도록 플라즈마가 스트라이킹되고 조건들은 선택된다. 이어서 레지스트-예를 들어 건식 증착된 금속 유기 막-는 개질된 기판 표면 위에 도포되고 EUV-패터닝에 노출된다 (subject). EUV 노출시, 자유 F 이온들이 생성될 수 있고, 포토레지스트 현상액들에서 용해에 보다 내성이 있는 불용성 플루오라이드 착체들을 형성하는 경향을 나타낸다.
구현 예 3: 표면 이미징을 위한 고 EUV 흡수 증착
제 3 구현 예에서, EUV 광 흡수를 향상시키고 자체적으로 EUV-활성이고 표면 이미징 기법들을 통해 추가 프로세싱이 가능한 표면으로서 역할을 할 재료가 증착될 수 있고, 이들의 예들은 표면 이미징 기법들의 개시에 대한 참조로서 본 명세서에 인용된, 본 출원인의 선행 미국 특허 출원 번호 제 62/767,198 호에 기술된다. 이 방법의 예들은 도 3 및 도 6에 도시된다.. 웨이퍼는 기판 표면에서 EUV-감도를 향상시키기 위해 재료의 증착을 위해 프로세싱 챔버 내로 운반될 수 있다. 표면 개질 프로세스는 알킬기들 (예를 들어, t-부틸, n-부틸, sec-부틸, i-프로필, 등) 또는 예를 들어, -CF3, -CF2CF3와 같은 불소-치환된 알킬기들과 같은 EUV-불안정기들로 종결된 웨이퍼 기판 표면을 남길 수 있다. 이어서 웨이퍼는 EUV 스캐너로 이동되고 노출될 수 있다. 노출 후, 웨이퍼는 스캐너로부터 제거될 수 있고, 선택 가능하게 소성되고, 선택 가능하게 제어된 양의 산소 또는 수분에 노출될 수 있고, 이어서 본 명세서에 기술된 표면 이미징 기법들 중 하나와 같은 표면 이미징 기법을 사용하여 프로세싱될 수 있다. 상이한 단계들 사이에, 바람직하게 수분 및/또는 산소에 대한 노출을 최소화하거나 주의 깊게 제어된 환경이 있도록 표면 개질 툴 및 EUV 스캐너; EUV 스캐너 및 표면 이미징 툴; 또는 표면 개질 툴, EUV 스캐너 및 표면 이미징 툴을 통합하는 것이 유리할 수도 있다.
표면 이미징은 많은 형태들을 취할 수도 있다. 이들은 선택적인 ALD 또는 CVD 프로세스, ELD 프로세스에 의한 선택적인 증착, 또는 표면의 상단에 졸-겔을 스피닝하는 (spin) 것을 포함한다. 공통 메커니즘은 노출시 표면의 차이에 의존한다. 노출 영역들에서, EUV-불안정기들은 제거될 수도 있고, 표면은 M-R로부터 M-H (통상적으로 -OH가 되는) 표면으로 스위칭된다. 최초 M-R 작용기에 대한 M-H 또는 M-OH 표면들의 반응성 및 표면 에너지의 차들은 후속 프로세싱을 가능하게 할 수 있고, 고 EUV-흡수 하부 층의 존재는 EUV 광자들의 수확을 향상시킬 수 있다.
고 EUV-흡수 하부 층의 조성은 가변할 수 있다. 후보 성분 (constituent) EUV-흡수 원소들은 In, Sn, Sb, Te, Pb, Bi, 및 다른 원소들과 함께 이들의 합금들 또는 화합물들, 뿐만 아니라 이들의 옥사이드들, 나이트라이드들, 카바이드들, 또는 임의의 혼합물들을 포함한다. EUV-불안정기들은 또한 예를 들어, 바람직하게 n ≥ 3인 알킬기들 CnH2n +1, 및 예를 들어, -CF3, -CF2CF3와 같은 불소 치환된 알킬기들을 포함하여 가변할 수도 있다. 하부 층은 습식 또는 건식 프로세스에 의해 증착될 수도 있다. 이들 층들을 제조하기 위한 일부 예시적인 방법들은 다음을 포함한다:
표면 이미징을 위한 열적 ALD 프로세스들: 기판은 ALD 코팅, 예컨대 SnO2, Te, BiOx, SnTe, 등의 코팅으로 개질될 수 있고, 이는 표면 상에 증착되는 알킬-종단된 막을 발생시킨다. 이는 표면 이미징 기법들의 개시를 위해 본 명세서에 참조로서 인용된, 본 출원인의 선행 미국 특허 출원 번호 제 62/767,198 호에 보다 상세히 기술된 바와 같이, 알킬-치환된 ALD 전구체들을 통해 이루어질 수도 있다.
알킬-종단된 Te 이미징 층: 알킬-종단된 Sn 계면 개질에 대해 기술된 프로세스와 유사하게, 유기-Te 증기가 챔버 내로 흐를 수도 있다. 유기-Te 전구체는 RTeH 또는 R2Te의 형태를 가질 수도 있고, 여기서 R은 알킬기 또는 리간드 분자이다. 알킬기는 CnH2n + 1 형태일 수도 있고, 여기서 n은 3 이상이다. 예들은 t-부틸 텔루륨 하이드라이드, 디(t-부틸)텔루륨, 디(이소프로필)텔루륨, 또는 비스(트리메틸실릴)텔루륨 통상적으로 H2, He, Ar, 또는 N2 중 적어도 하나를 포함하는 캐리어 가스들과의 혼합물로 챔버에 공급된 전구체 및 박형 Te 막의 증착을 발생시키는 스트라이킹된 플라즈마를 포함한다. 플라즈마의 전력은 증착 내내 조정될 수도 있고, 가능하게 종료시 램핑 다운될 (ramp down) 수도 있다. 플라즈마 전력은 유기-Te 전구체가 여전히 흐를 때 중단될 수도 있고, 이는 알킬기들로 캡핑된 Te 박층을 발생시킨다. EUV 노출시, 고 흡수성 Te 층은 많은 2 차 전자들을 생성할 수 있고, 이는 이들 알킬기들의 베타-수소 제거를 유도할 수 있고, 계면에서 Te-H 결합들의 형성을 발생시킨다. 선택 가능하게, 이들 결합들은 수분의 도입을 통해 Te-OH 결합들로 변환될 수도 있다. 이어서 웨이퍼는 표면 이미징 기법을 통해 프로세싱될 수도 있다. 이 방법의 예는 도 6에 도시된다.
고 EUV 하부 층 + CFx 표면 개질: 이 예에서, CFx 중합체 막은 고 EUV 흡수 막의 상단에 증착된다. 흡수 막은 상기 기술된 Sn 층 및 Te 층을 포함하는 다양한 재료들일 수도 있다. 플루오로카본계 막을 증착하기 위해, 불소, 탄소, 및 선택 가능하게 수소를 갖는 가스들이 챔버 내로 흐를 수도 있다. 예시적인 가스들은 이로 제한되는 것은 아니지만, CH2F2, C4F6, CHF3, CH3F, CF4 및 C4F8을 포함한다. 일부 경우들에서, N2, Ar, 또는 He와 같은 불활성 가스들 및 선택 가능하게 수소가 또한 첨가될 수도 있고, CFx 층이 웨이퍼 상에 증착되도록 선택된 조건들로 플라즈마 스트라이킹된다. CFx 층 화학 물질 및 증착 조건들은 특정한 증기 상 화학 물질들에 대해 매우 불활성이도록 튜닝될 수 있다. EUV 노출시, 반응 사이트들 (reactive sites) 은 EUV 생성된 2 차 전자들의 결과로서 F 또는 CFx 기들의 추출 (abstraction) 을 통해 표면 상에 생성될 수도 있다. 이어서 웨이퍼는 표면 이미징이 수행될 수 있는 ALD 챔버 내로 운반될 수도 있다. ALD 전구체들은 개질되지 않은 플루오로카본 개질된 계면과의 반응성을 나타내지 않을 수도 있지만, 노출 영역들 상에서 핵을 형성할 수도 있다. 따라서 에칭 하드 마스크는 이 방법을 통해 형성될 수도 있고, 예를 들어, 표면 이미징 기법들의 개시를 위해 참조로서 본 명세서에 인용된, 본 출원의 선행 미국 특허 출원 번호 제 62/767,198 호에 기술된 바와 같이, 아래에 놓인 층들 내로 전사될 수도 있다.
일부 비제한적인 적용 예들 및 장점들
본 개시에 기술된 기법들은 고 흡수성 EUV 재료들의 통합 및/또는 표면 접착의 제어를 통해 기판 화학 물질을 튜닝할 수 있게 한다. 많은 잠재적인 용도들 및 장점들은 다음과 같다:
EUV 광자들의 보다 효율적인 수확을 허용하는, 부가적인 2 차 전자들을 레지스트 내로 주입하기 위해 레지스트 근방의 계면에서 보다 고 흡수성 EUV 재료들을 통합함으로써 복수의 타입들의 포토레지스트들에서 EUV 도즈 요건들을 감소시킬 가능성;
노출 영역들에서 접착을 촉진하는 동안 (보다 적은 스커밍 (scumming)) 비노출 영역들의 보다 쉬운 제거를 허용하는 EUV-반응성 접착; 및
선택적인 하드 에칭 마스크의 선택적인 ALD 성장에 기초하여 새로운 "포토레지스트 프리" 표면 이미징 전략들을 인에이블하기 위한 가능성. 이는 가능한 가장 낮은 EUV 노출 도즈의 패터닝 가능성을 제공한다.
그러나 다른 잠재적인 장점들은 스핀-코팅과 비교하여 건식 진공 증착과 연관된 것들을 포함한다. 일 예에서, 건식 증착의 사용은 코팅 품질 및 균일성으로부터 표면 준비를 완전히 분리하고, 이는 접착 층들 또는 표면 전처리들에 대한 요건들 또는 결함들 없이 보다 얇은 막들의 증착을 허용할 수 있다. 더욱이, 용액 안정성에 관한 제약들은 최소화되거나 제거될 수 있고, 이는 도즈 및 LWR 감소를 위한 잠재력을 제공하는 보다 반응성이고 균질한 조성물들의 탐구를 허용할 수 있다. 특히 건식 현상을 목표로 하는 불용성 조성물로의 확장을 허용할 수 있는, 용해도 제약의 완전한 제거가 있을 수 있다. 이에 더하여, 이러한 건식 증착 방법들은 EUV 흡수 및 반응성을 향상시키기 위해 하부 층 계면 개질을 위한 유망한 전략들과 보다 용이하게 통합될 수 있다. 도 7a에 도시된 바와 같이, 패터닝 구조체는 기판 (711) 위 그리고 이미징 층 (712) 아래에 주석, 요오드, 또는 텔루륨-도핑된 AHM 하부층 (713) 을 포함할 수 있다. 이러한 구조체는 계면들 (715a, 715b) 모두에서 향상된 EUV 흡수 (715) 를 가질 수 있다.
잠재적인 적용 예들은 디바이스 토포그래피 바로 위에 증착 및 패터닝의 가능성을 포함하여, 기판들 및 디바이스들의 범위를 확장하는 용도를 포함한다 (도 7b의 비제한적인 기판 참조). 일 적용 예는 아래에 놓인 (평탄화된) 디바이스 피처들에 대한 선택적인 접착/부착을 통한 잠재적인 자기-정렬 (self-alignment) 을 포함한다.
또 다른 비제한적인 가능성은 과소 노출된 (underexposed) 측벽의 선택적인 제거 (SADP (self-aligned double patterning) 의 반전 (reverse)) 를 포함한다. 특히, 방법은 디바이스 피처들의 수직 측벽 상에 증착된 재료 (예를 들어, 막, 흡수 층, 및/또는 이미징 층) 의 과소 노출 및 선택적인 제거를 포함한다. 따라서 남아 있는 노출된 막 재료의 결과적인 분포는 이방성 플라즈마 에칭이 수직 측벽 상에 재료를 남기면서 상단 수평 표면 및 하단 수평 표면 상의 재료를 제거하도록 적용되는 표준 SADP 워크플로우에서 달성된 것과 반대이다. 도 7c는 평면형 구조체 (711a) 및 피처 (711b) 를 포함하는 기판을 제공하는 단계를 포함하는, 이러한 적용 예의 비제한적인 방법을 제공한다. 방법은 기판 상에 막 (712) 을 증착하는 동작 701, 막을 방사선의 블랭킷 노출에 노출하는 동작 702, 및 노출된 막을 현상하는 동작 703 (예를 들어, 습식 또는 건식 네거티브 톤 현상에 의해) 을 더 포함할 수 있다. 현상 후, 결과적인 구조체는 피처 (711b) 의 상단 표면 상에 배치된 수평 오버행 부분 (712b) 및 평면 구조체 (711a) 의 상단 표면 상에 배치된 수평 평면 부분 (712a) 을 포함할 수 있다. 막의 과소 노출은 수직 측벽 (711c) 상에 배치된 막에 대한 노출을 최소화하면서, 이러한 오버행 부분 (712b) 을 제공할 수 있다. 비제한적인 실시 예들에서, 막은 피처 표면에 대한 막의 접착을 향상시키기 위해 광 반응성 접착제 층을 포함할 수 있다. 이러한 노출된 수직 측벽들은 아키텍처 내에서 또는 다른 디바이스들 또는 라인들에 측 방향 연결들 (예를 들어, 측 방향 전기적 연결들) 을 제공하도록 사용될 수 있다.
전구체들
본 명세서의 층들 (예를 들어, 방사선-흡수 층 및/또는 이미징 층) 은 1x107 cm2/mol 이상과 같은, 고 광 흡수 단면적을 갖는 원소 (예를 들어, 금속 원자 또는 비금속 원자) 를 포함할 수도 있다. 이러한 원소들은 흡수 층 및/또는 이미징 층을 제공하도록 하나 이상의 전구체(들)를 증착함으로써 제공될 수 있다.
흡수 층 및 이미징 층은 단독으로 또는 함께 막으로 간주될 수 있다. 일부 실시 예들에서, 막은 방사선 감응 막 (예를 들어, EUV-감응 막) 이다. 이 막은 결국 본 명세서에 더 기술된 바와 같이 EUV 레지스트로서 역할을 할 수 있다. 특정한 실시 예들에서, 층 또는 막은 방사선 (예를 들어, EUV 또는 DUV 방사선) 에 의해 제거, 절단, 또는 교차-결합될 수 있는 하나 이상의 리간드들 (예를 들어, EUV 불안정 (labile) 리간드들) 을 포함할 수 있다.
전구체는 방사선에 감응성인 패터닝 가능한 막 (또는 패터닝 방사선-감응 막 또는 포토패터닝 가능한 막) 을 제공할 수 있다. 이러한 방사선은 패터닝된 마스크를 통해 조사함으로써 제공되어 패터닝된 방사선이 되는 EUV 방사선, DUV 방사선, 또는 UV 방사선을 포함할 수 있다. 막 자체는 이러한 방사선에 노출됨으로써, 막이 방사선 감응성 또는 감광성이도록 변경될 수 있다. 특정한 실시 예들에서, 전구체는 적어도 하나의 금속 중심을 포함하는 유기금속 화합물이다. 다른 실시 예들에서, 전구체로부터 획득된 막은 막에 노출될 패터닝된 방사선 (예를 들어, UV, DUV, 또는 EUV 노출로부터) 의 파장에서 약 6 ㎛-1 초과 (예를 들어, 약 7 ㎛-1, 8 ㎛-1, 9 ㎛-1, 10 ㎛-1, 20 ㎛-1, 30 ㎛-1, 이상) 의 Beer 흡수 계수 α를 특징으로 한다.
전구체는 임의의 유용한 수 및 타입의 리간드(들)를 가질 수 있다. 일부 실시 예들에서, 리간드는 대응-반응 물질의 존재시 또는 패터닝된 방사선의 존재시 반응하는 능력을 특징으로 할 수 있다. 예를 들어, 전구체는 금속 중심들 사이에 연결들 (linkages) (예를 들어, -O- 연결) 을 도입할 수 있는, 대응-반응 물질과 반응하는 리간드를 포함할 수 있다. 또 다른 예에서, 전구체는 패터닝된 방사선의 존재시 제거하는 리간드를 포함할 수 있다. 이러한 EUV 불안정 리간드는 베타-수소를 갖는 분지형 또는 선형 알킬기들, 뿐만 아니라 화학식 (I) 또는 화학식 (II) 에서 R에 대해 본 명세서에 기술된 임의의 리간드를 포함할 수 있다.
전구체는 (예를 들어, 본 명세서에 기술된 바와 같이) 임의의 유용한 금속-함유 전구체, 예컨대 유기금속제 (organometallic agent), 금속 할라이드, 또는 캡핑제일 수 있다. 비제한적인 예에서, 전구체는 화학식 (I) 을 갖는 구조를 포함한다:
MaRb (I),
여기에서:
M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드, 중성 리간드, 또는 여러 자리 리간드 (multidentate ligand) 이고;
a ≥ 1; 그리고 b ≥ 1이다.
또 다른 비제한적인 예에서, 전구체는 화학식 (II) 을 갖는 구조를 포함한다:
MaRbLc (II),
여기에서:
M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
R 각각은 독립적으로 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 L이고;
L 각각은 독립적으로, 리간드, 음이온성 리간드, 중성 리간드, 여러 자리 리간드, 이온, 또는 대응-반응 물질과 반응성인 다른 모이어티이고, 여기서 R 및 L은 M과 함께 취해져 선택 가능하게 헤테로사이크릴기 (heterocyclyl group) 를 형성할 수 있거나 R 및 L은 함께 취해져 선택 가능하게 헤테로사이크릴기를 형성할 수 있고;
a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다.
일부 실시 예들에서, 전구체 내 리간드 각각은 대응-반응 물질과 반응성인 리간드일 수 있다. 일 예에서, 전구체는 화학식 (II) 을 갖는 구조를 포함하고, 여기서 R 각각은 독립적으로, L이다. 또 다른 예에서, 전구체는 화학식 (IIa) 을 갖는 구조를 포함한다:
MaLc (IIa),
여기에서:
M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
L 각각은 독립적으로, 리간드, 이온, 또는 대응-반응 물질과 반응성인 다른 모이어티이고, 여기서 2 개의 L은 함께 취해져 선택 가능하게 헤테로사이크릴기를 형성할 수 있고;
a ≥ 1; 그리고 c ≥ 1이다.
화학식 (IIa) 의 특정한 실시 예들에서, a는 1이다. 추가 실시 예들에서, c는 2, 3, 또는 4이다.
본 명세서의 임의의 화학식에 대해, M은 고 패터닝 방사선 흡수 단면적 (예를 들어, 1x107 ㎠/mol 이상인 EUV 흡수 단면적) 을 갖는 금속 또는 준금속 또는 원자일 수 있다. 일부 실시 예들에서, M은 주석 (Sn), 비스무트 (Bi), 텔루륨 (Te), 세슘 (Cs), 안티몬 (Sb), 인듐 (In), 몰리브덴 (Mo), 하프늄 (Hf), 요오드 (I), 지르코늄 (Zr), 철 (Fe), 코발트 (Co), 니켈 (Ni), 구리 (Cu), 아연 (Zn), 은 (Ag), 백금 (Pt) 및 납 (Pb) 이다. 추가의 실시 예들에서, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서 M은 Sn이고, a는 1이고, c는 4이다. 다른 실시 예들에서, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서 M은 Sn이고, a는 1이고, c는 2이다. 특정한 실시 예들에서, M은 Sn(II) (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa)) 이고, 이에 따라 Sn(II)-계 화합물인 전구체를 제공한다. 다른 실시 예들에서, M은 (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) Sn(IV) 이고, 이에 따라 Sn(IV)-계 화합물인 전구체를 제공한다. 특정한 실시 예들에서, 전구체는 (예를 들어, 페리오데이트 (periodate) 에서와 같이) 요오드를 포함한다.
본 명세서의 임의의 화학식에 대해, R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시 (예를 들어, -OR1, 여기서 R1은 선택 가능하게 치환된 알킬일 수 있음), 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드 (예를 들어, 옥시도 (oxido), 클로리도 (chlorido), 하이드리도 (hydrido), 아세테이트, 이미노디아세테이트, 프로파노에이트, 부타노에이트, 벤조에이트, 등), 중성 리간드, 또는 여러 자리 리간드이다.
일부 실시 예들에서, 선택 가능하게 치환된 아미노는 -NR1R2이고, 여기서 R1 및 R2 각각은 독립적으로 H 또는 알킬이고; 또는 여기서 R1 및 R2는 각각이 부착된 질소 원자와 함께 본 명세서에 규정된 바와 같이, 헤테로사이크릴기를 형성한다. 다른 실시 예들에서, 선택 가능하게 치환된 비스(트리알킬실릴)아미노는 -N(SiR1R2R3)2이고, 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 알킬이다. 또 다른 실시 예들에서, 선택 가능하게 치환된 트리알킬실릴은 -SiR1R2R3이고, 여기서 R1, R2, 및 R3 각각은 독립적으로, 선택 가능하게 치환된 알킬이다.
다른 실시 예들에서, 화학식은 -NR1R2인 제 1 R (또는 제 1 L) 및 -NR1R2인 제 2 R (또는 제 2 L) 을 포함하고, 여기서 R1 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; 또는 제 1 R (또는 제 1 L) 로부터의 R1 및 제 2 R (또는 제 2 L) 로부터의 R1은 각각이 부착된 질소 원자 및 금속 원자와 함께 취해져 본 명세서에 규정된 바와 같이, 헤테로사이크릴기를 형성한다. 또 다른 실시 예들에서, 화학식은 -OR1인 제 1 R 및 -OR1인 제 2 R을 포함하고, 여기서 R1 각각은 독립적으로, H 또는 임의적으로 치환된 알킬이고; 또는 제 1 R로부터의 R1 및 제 2 R로부터의 R1은 각각이 부착된 산소 원자 및 금속 원자와 함께, 본 명세서에 정의된 바와 같이, 헤테로사이크릴기를 형성한다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 중 적어도 하나는 선택 가능하게 치환된 알킬이다. 비제한적인 알킬기들은 예를 들어, CnH2n +1을 포함하고, 여기서 n은 1, 2, 3 이상, 예컨대 메틸, 에틸, n-프로필, 이소프로필, n-부틸, 이소부틸, s-부틸, 또는 t-부틸을 포함한다. 다양한 실시 예들에서, R 또는 L은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 다른 실시 예들에서, R 또는 L 중 적어도 하나는 할로-치환된 알킬 (예를 들어, 플루오로-치환된 알킬) 이다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 할로이다. 특히, 전구체는 금속 할라이드일 수 있다. 비제한적인 금속 할라이드들은 SnBr4, SnCl4, SnI4, 및 SbCl3을 포함한다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 질소 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 모노알킬아미노 (예를 들어, -NR1H, 여기서 R1은 선택 가능하게 치환된 알킬임), 선택 가능하게 치환된 디알킬아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로, 선택 가능하게 치환된 알킬임), 또는 선택 가능하게 치환된 비스(트리알킬실릴)아미노이다. 비제한적인 R 치환기 및 L 치환기는 예를 들어, -NMe2, -NHMe, -NEt2, -NHEt, -NMeEt, -N(t-Bu)-[CHCH3]2-N(t-Bu)- (tbba), -N(SiMe3)2, 및 -N(SiEt3)2를 포함할 수 있다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 실리콘 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 트리알킬실릴 또는 선택 가능하게 치환된 비스(트리알킬실릴)아미노일 수 있다. 비제한적인 R 또는 L 치환기들은 예를 들어, -SiMe3, -SiEt3, -N(SiMe3)2, 및-N(SiEt3)2를 포함할 수 있다.
일부 실시 예들에서, (예를 들어, 화학식 (I), 화학식 (II), 또는 화학식 (IIa) 에서) R 또는 L 각각 또는 적어도 하나의 R 또는 L은 산소 원자를 포함할 수 있다. 특정한 실시 예들에서, 하나 이상의 R 또는 L은 선택 가능하게 치환된 알콕시 또는 선택 가능하게 치환된 알카노일옥시일 수 있다. 비제한적인 R 또는 L 치환기들은 예를 들어, 메톡시, 에톡시, 이소프로폭시 (i-PrO), t-부톡시 (t-BuO), 아세테이트 (-OC(O)-CH3), 및 -O=C(CH3)-CH=C(CH3)-O-(acac)를 포함한다.
본 명세서의 임의의 화학식들은 하나 이상의 중성 리간드들을 포함할 수 있다. 비제한적인 중성 리간드들은 선택 가능하게 치환된 아민 (예를 들어, NR3 또는 R2N-Ak-NR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있고, Ak는 선택 가능하게 치환된 알킬렌임), 선택 가능하게 치환된 포스핀 (예를 들어, PR3 또는 R2P-Ak-PR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있고, 그리고 Ak는 선택 가능하게 치환된 알킬렌임), 선택 가능하게 치환된 에테르 (예를 들어, OR2, 여기서 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 하이드로카빌, 또는 선택 가능하게 치환된 아릴일 수 있음), 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 알켄, 선택 가능하게 치환된 알킨, 선택 가능하게 치환된 벤젠, 옥소, 또는 일산화탄소를 포함한다.
본 명세서의 임의의 화학식들은 하나 이상의 여러 자리 (예를 들어, 두자리) 리간드들을 포함할 수 있다. 비제한적인 여러 자리 리간드는 디케토네이트 (예를 들어, 아세틸아세토네이트 (acac) 또는 -OC(R1)-Ak-(R1)CO- 또는 -OC(R1)-C(R2)-(R1)CO-), 두자리 킬레이트 이질소 (예를 들어, -N(R1)-Ak-N(R1)- 또는 -N(R3)-CR4-CR2=N(R1)-), 방향족 (예를 들어, -Ar-), 아미디네이트 (예를 들어, -N(R1)-C(R2)-N(R1)-), 아미노알콕시드 (예를 들어, -N(R1)-Ak-O- 또는 -N(R1)2-Ak-O-), 디아자디에닐 (예를 들어, -N(R1)-C(R2)-C(R2)-N(R1)-), 사이클로펜타디에닐, 피라졸레이트, 선택 가능하게 치환된 헤테로사이크릴, 선택 가능하게 치환된 알킬렌, 또는 선택 가능하게 치환된 헤테로알킬렌을 포함한다. 특정한 실시 예들에서, R1 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 할로알킬, 또는 선택 가능하게 치환된 아릴이고; R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이고; R3 및 R4는 함께 취해질 때 선택 가능하게 치환된 헤테로사이크릴을 형성하고; Ak는 선택 가능하게 치환된 알킬렌이고; Ar은 선택 가능하게 치환된 아릴렌이다.
특정한 실시 예들에서, 전구체는 주석을 포함한다. 일부 실시 예들에서, 주석 전구체는 SnR 또는 SnR2 또는 SnR4 또는 R3SnSnR3을 포함하고, 여기서 R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2), 선택 가능하게 치환된 C2-12 알케닐, 선택 가능하게 치환된 C2-12 알키닐, 선택 가능하게 치환된 C3-8 사이클로알킬, 선택 가능하게 치환된 아릴, 사이클로펜타디에닐, 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 선택 가능하게 치환된 알카노일옥시 (예를 들어, 아세테이트), 디케토네이트 (예를 들어, -OC(R1)-Ak-(R2)CO-), 또는 두자리 킬레이팅 이질소 (예를 들어, -N(R1)-Ak-N(R1)-) 이다. 특정한 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로 H 또는 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이고; 그리고 Ak는 선택 가능하게 치환된 C1-6 알킬렌이다. 특정한 실시 예들에서, R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 아릴, 사이클로펜타디에닐, 또는 디케토네이트이다. 비제한적인 주석 전구체들은 SnF2, SnH4, SnBr4, SnCl4, SnI4, 테트라메틸 주석 (SnMe4), 테트라에틸 주석 (SnEt4), 트리메틸 주석 클로라이드 (SnMe3Cl), 디메틸 주석 디클로라이드 (SnMe2Cl2), 메틸 주석 트리클로라이드 (SnMeCl3), 테트라알릴 주석, 테트라비닐 주석, 헥사페닐 이주석 (IV) (Ph3Sn-SnPh3, 여기서 Ph는 페닐임), 디부틸디페닐 주석 (SnBu2Ph2), 트리메틸(페닐) 주석 (SnMe3Ph), 트리메틸(페닐에티닐) 주석, 트리사이클로헥실 주석 하이드라이드, 트리부틸 주석 하이드라이드 (SnBu3H), 디부틸 주석 디아세테이트 (SnBu2(CH3COO)2), 주석(II) 아세틸아세토네이트 (Sn(acac)2), SnBu3(OEt), SnBu2(OMe)2, SnBu3(OMe), Sn(t-BuO)4, Sn(n-Bu) (t-BuO)3, 테트라키스(디메틸아미노)주석 (Sn(NMeEt)4), 테트라키스(에틸메틸아미노)주석 (Sn(NMeEt)4), 테트라키스(디에틸아미노)주석(IV) (Sn(Me)3(NMe2), Sn(i-Pr) (NMe2)3, Sn(n-Bu)(NMe2)3, Sn(s-Bu)(NMe2)3, Sn(i-Bu)(NMe2)3, Sn(t-Bu)(NMe2)3, Sn(t-Bu)2(NMe2)2, Sn(t-Bu)(NEt2)3, Sn(tbba), Sn(II) (1,3-비스(1,1-디메틸에틸)-4,5-디메틸-(4R,5R)-1,3,2-디아자스탄놀리딘-2-일리덴), 또는 비스[비스(트리메틸실릴)아미노] 주석 (Sn[N(SiMe3)2]2) 을 포함한다.
다른 실시 예들에서, 전구체는 BiR3에서와 같은 비스무트를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 모노-C1-12 알킬아미노 (예를 들어, -NR1H), 디-C1-12 알킬아미노 ( 예를 들어, -NR1R2), 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR1R2R3)2), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-) 이다. 특정한 실시 예들에서, R1, R2, 및 R3 각각은 독립적으로, C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸); R4 및 R5 각각은 독립적으로 H 또는 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 또는 네오펜틸) 이다. 비제한적인 비스무트 전구체들은 BiCl3, BiMe3, BiPh3, Bi(NMe2)3, Bi[N(SiMe3)2]3, 및 Bi(thd)3을 포함하고, 여기서 thd는 2,2,6,6-테트라메틸-3,5-헵탄디오네이트이다.
다른 실시 예들에서, 전구체는 텔루륨, 예컨대 TeR2 또는 TeR4를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아릴, 하이드록실, 옥소, 또는 선택 가능하게 치환된 트리알킬실릴이다. 비제한적인 텔루륨 전구체들은 디메틸 텔루륨 (TeMe2), 디에틸 텔루륨 (TeEt2), 디(n-부틸) 텔루륨 (Te(n-Bu)2), 디(이소프로필) 텔루륨 (Te(i-Pr)2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), t-부틸 텔루륨 하이드라이드 (Te(t-Bu) (H)), Te(OEt)4, 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 및 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2) 을 포함한다.
전구체는 SbR3에서와 같은 안티몬을 포함할 수 있고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 선택 가능하게 치환된 C1-12 알콕시, 또는 선택 가능하게 치환된 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 독립적으로 H 또는 선택 가능하게 치환된 C1-12 알킬임) 이다. 비제한적인 안티몬 전구체들은 SbCl3, Sb(OEt)3, Sb(On-Bu)3, 및 Sb(NMe2)3을 포함한다.
다른 전구체들은 InR3에서와 같은 인듐 전구체들을 포함하고, 여기서 R 각각은 독립적으로, 할로, 선택 가능하게 치환된 C1-12 알킬 (예를 들어, 메틸, 에틸, 이소프로필, t-부틸, 및 네오펜틸), 또는 디케토네이트 (예를 들어, -OC(R4)-Ak-(R5)CO-, 여기서 R4 및 R5 각각은 독립적으로 H 또는 C1-12 알킬임) 이다. 비제한적인 인듐 전구체들은 InCp을 포함하고, Cp는 사이클로펜타디에닐, InCl3, InMe3, In(acac)3, In(CF3COCHCOCH3)3, 및 In(thd)3이다.
전구체는 요오드, 예컨대 RI를 포함할 수 있고, 여기서 R은 요오드 (I) 또는 선택 가능하게 치환된 C1-12 알킬, 또는 페리오데이트이다. 비제한적인 요오드 전구체들은 요오드 가스 (I2), 디요오드메탄 (CH2I2), 및 페리오데이트를 포함한다.
또 다른 전구체들 및 비제한적인 치환체들이 본 명세서에 기술된다. 예를 들어, 전구체들은 상기 기술된 바와 같이, 화학식 (I), 화학식 (II), 또는 화학식 (IIa); 또는 이하에 기술된 바와 같은 화학식 (III), 화학식 (IV), 화학식 (V), 화학식 (VI), 화학식 (VII), 또는 화학식 (VIII) 의 구조를 갖는 임의의 전구체일 수 있다. 본 명세서에 기술된 바와 같은 임의의 치환기들 M, R, X, 또는 L은 임의의 화학식 (I), 화학식 (II), 화학식 (IIa), 화학식 (III), 화학식 (IV), 화학식 (V), 화학식 (VI), 화학식 (VII), 또는 화학식 (VIII) 에 채용될 수 있다.
또 다른 예시적인 EUV-감응 재료들, 뿐만 아니라 프로세싱 방법들 및 장치들이 미국 특허 제 9,996,004 호; 국제 특허 출원 공개 번호 WO 2020/102085; 및 특허 출원 공개 번호 WO 2019/217749에 기술되고, 이들 각각은 전체가 참조로서 본 명세서에 인용된다.
본 명세서에 기술된 바와 같이, 본 명세서의 막들, 층들, 및 방법들은 임의의 유용한 전구체와 함께 채용될 수 있다. 일부 경우들에서, 전구체는 다음 화학식 (III) 을 갖는 금속 할라이드를 포함한다:
MXn (III),
M은 금속이고, X는 할로이고, n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 예시적인 금속 할라이드들은 SnBr4, SnCl4, SnI4, 및 SbCl3을 포함한다.
또 다른 비제한적인 전구체는 화학식 (IV) 을 갖는 구조를 포함한다:
MRn (IV),
M은 금속이고; R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 아미노 (예를 들어, -NR2, 여기서 R 각각은 독립적으로 알킬임), 선택 가능하게 치환된 비스(트리알킬실릴)아미노 (예를 들어, -N(SiR3)2, 여기서 R 각각은 독립적으로 알킬임), 또는 선택 가능하게 치환된 트리알킬실릴 (예를 들어, -SiR3, 여기서 R 각각은 독립적으로 알킬임); 그리고 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 알킬기는 CnH2n +1일 수도 있고, 여기서 n은 1, 2, 3, 이상이다. 예시적인 유기금속제들은 SnMe4, SnEt4, TeRn, RTeR, t-부틸 텔루륨 하이드라이드 (Te(t-Bu) (H)), 디메틸 텔루륨 (TeMe2), 디(t-부틸) 텔루륨 (Te(t-Bu)2), 디(이소프로필)텔루륨 (Te(i-Pr)2), 비스(트리메틸실릴)텔루륨 (Te(SiMe3)2), 비스(트리에틸실릴) 텔루륨 (Te(SiEt3)2), 트리스(비스(트리메틸실릴)아미도) 비스무트 (Bi[N(SiMe3)2]3), Sb(NMe2)3, 등을 포함한다.
또 다른 비제한적인 전구체는 다음 화학식 (V) 을 갖는 캡핑제를 포함할 수 있다:
MLn (V),
M은 금속이고; L 각각은 독립적으로 선택 가능하게 치환된 알킬, 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 본 명세서에 기술된 바와 같이 H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 본 명세서에 기술된 바와 같이, 알킬임) 할로, 또는 다른 유기 치환기를 포함하고; 그리고 n은 M의 선택에 따라 2 내지 4이다. M에 대한 예시적인 금속들은 Sn, Te, Bi, 또는 Sb를 포함한다. 예시적인 리간드들은 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 및 디에틸아미노), 알콕시 (예를 들어, t-부톡시 및 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 및 I), 또는 다른 유기 치환기들 (예를 들어, 아세틸아세톤 또는 N 2 ,N 3-디-터트부틸-부탄-2,3-디아미노) 을 포함한다. 비제한적인 캡핑제들은 SnCl4; SnI4; Sn(NR2)4을 포함하고, 여기서 R 각각은 독립적으로 메틸 또는 에틸이고; 또는 Sn(t-BuO)4이다. 일부 실시 예들에서, 복수의 타입들의 리간드들이 존재한다.
전구체는 다음 화학식 (VI) 을 갖는 하이드로카빌-치환된 캡핑제를 포함할 수 있다:
RnMXm (VI),
여기서 M은 금속이고, R은 C2-10 알킬 또는 베타-수소를 갖는 치환된 알킬이고, X는 노출된 하이드록실기들의 하이드록실기와 반응 시 적합한 이탈기 (leaving group) 이다.
다양한 실시 예들에서, n = 1 내지 3, 그리고, m > 0 (또는 m ≥ 1) 인 한, m = 4 - n, 3 - n, 또는 2 - n이다. 예를 들어, R은 t-부틸, t-펜틸, t-헥실, 사이클로헥실, 이소프로필, 이소부틸, sec-부틸, n-부틸, n-펜틸, n-헥실, 또는 베타 위치에 헤테로 원자 (heteroatom) 치환기를 갖는 이의 유도체들일 수도 있다. 적합한 헤테로 원자들은 할로겐 (F, Cl, Br, 또는 I), 또는 산소 (-OH 또는 -OR) 를 포함한다. X는 디알킬아미노 (예를 들어, 디메틸아미노, 메틸에틸아미노, 또는 디에틸아미노), 알콕시 (예를 들어, t-부톡시, 이소프로폭시), 할로 (예를 들어, F, Cl, Br, 또는 I), 또는 또 다른 유기 리간드일 수도 있다. 하이드로카빌-치환된 캡핑제들의 예들은 t-부틸트리스(디메틸아미노)주석 (Sn(t-Bu)(NMe2)3), n-부틸트리스(디메틸아미노)주석 (Sn(n-Bu)(NMe2)3), t-부틸트리스(디에틸아미노)주석 (Sn(t-Bu)(NEt2)3), 디(t-부틸)디(디메틸아미노)주석 (Sn(t-Bu)2(NMe2)2), sec-부틸트리스(디메틸아미노)주석 (Sn(s-Bu)(NMe2)3), n-펜틸트리스(디메틸아미노)주석 (Sn(n-pentyl)(NMe2)3), i-부틸트리스(디메틸아미노)주석 (Sn(i-Bu)(NMe2)3), i-프로필트리스(디메틸아미노)주석 (Sn(i-Pr)(NMe2)3), t-부틸트리스(t-부톡시)주석 (Sn(t-Bu)(t-BuO)3), n-부틸(트리스(t-부톡시)주석 (Sn(n-Bu)(t-BuO)3), 또는 이소프로필트리스(t-부톡시)주석 (Sn(i-Pr)(t-BuO)3) 을 포함한다.
다양한 실시 예들에서, 전구체는 증기-상 반응에서 살아 남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위결합된 다른 리간드들 (ligands) 또는 이온들은 대응-반응 물질에 의해 대체될 수 있다. 따라서, 또 다른 비제한적인 전구체는 화학식 (VII) 을 갖는 유기금속제를 포함한다:
MaRbLc (VII),
M은 금속이고; R은 선택 가능하게 치환된 알킬이고; L은 대응-반응 물질과 반응성인 리간드, 이온, 또는 다른 모이어티이고; a ≥ 1; b ≥ 1; 그리고 c ≥ 1이다. 특정한 실시 예들에서, a = 1, 그리고 b + c = 4이다. 일부 실시 예들에서, M은 Sn, Te, Bi, 또는 Sb이다. 특정한 실시 예들에서, L 각각은 독립적으로 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 본 명세서에 기술된 임의의, H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 본 명세서에 기술된 임의의, 알킬), 또는 할로 (예를 들어, F, Cl, Br, 또는 I) 이다. 예시적인 제제들은 SnMe3Cl, SnMe2Cl2, SnMeCl3, SnMe(NMe2)3, SnMe2(NMe2)2, SnMe3(NMe2), 등을 포함한다.
다른 실시 예들에서, 비제한적인 전구체는 화학식 (VIII) 을 갖는 유기금속제를 포함한다:
MaLc (VIII),
M은 금속이고; L은 대응-반응 물질과 반응성인 리간드, 이온, 또는 다른 모이어티이고; a ≥ 1; 그리고 c ≥ 1이다. 특정한 실시 예들에서, c = n - 1이고, n은 2, 3, 또는 4이다. 일부 실시 예들에서, M은 Sn, Te, Bi, 또는 Sb이다. 대응-반응 물질들은 바람직하게 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 본 명세서의 화학식들에서 L) 을 대체하는 능력을 갖는다.
본 명세서의 임의의 실시 예에서, R은 선택 가능하게 치환된 알킬 (예를 들어, C1-10 알킬) 일 수 있다. 일 실시 예에서, 알킬은 하나 이상의 할로 (예를 들어, F, Cl, Br, 또는 I와 같은 1, 2, 3, 4 또는 그 이상의 할로를 포함하는 할로-치환된 C1-10 알킬) 로 치환된다. 예시적인 R 치환기들은 CnH2n +1, 바람직하게 n ≥ 3; 및 CnFxH(2n+1-x), 여기서 2n+1 ≤ x ≤이다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다.
본 명세서의 임의의 실시 예에서, L은 아미노 (예를 들어, -NR1R2, 여기서 R1 및 R2 각각은 임의의 본 명세서에 기술된 바와 같은 H 또는 알킬일 수 있음), 알콕시 (예를 들어, -OR, 여기서 R은 임의의 본 명세서에 기술된 바와 같은 알킬), 카르복실레이트들, 할로 (예를 들어, F, Cl, Br, 또는 I), 및 이들의 혼합물로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응 물질에 의해 용이하게 치환될 수도 있다.
대응-반응 물질들은 바람직하게 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 본 명세서의 화학식들에서 L) 을 대체하는 능력을 갖는다. 예시적인 대응-반응 물질들은 산소 (O2), 오존 (O3), 물, 페록사이드들 (예를 들어, 수소 페록사이드 (hydrogen peroxide)), 산소 플라즈마, 물 플라즈마, 알코올들, 디하이드록시 알코올들, 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올, 플루오르화된 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 포름산, 및 하이드록실 모이어티들의 다른 소스들, 뿐만 아니라 이들의 조합들과 같은 산소-함유 대응-반응 물질들을 포함한다. 다양한 실시 예들에서, 대응-반응 물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 전구체와 반응한다. 다른 잠재적인 대응-반응 물질들은 황 브리지들을 통해 금속 원자들을 교차 결합시킬 (crosslink) 수 있는 수소 설파이드 및 수소 디설파이드 및 텔루륨 브리지들을 통해 금속 원자들을 교차 결합할 수 있는 비스(트리메틸실릴)텔루륨을 포함한다. 이에 더하여, 수소 아이오다이드는 요오드를 막 내로 통합시키기 위해 활용될 수도 있다.
또 다른 비제한적인 대응-반응 물질들은 화학식 ZR2를 갖는 칼코게나이드 전구체를 포함하고, 여기서: Z는 황, 셀레늄 또는 텔루륨이고; 그리고 R 각각은 독립적으로 H, 선택 가능하게 치환된 알킬 (예를 들어, 메틸, 에틸, n-프로필, 이소프로필, n-부틸, t-부틸, 등), 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 선택 가능하게 치환된 트리알킬실릴이다.
예시적인 유기금속제들은 SnMeCl3, (N 2,N 3-디-t-부틸-부탄-2,3-디아미도) 주석(II) (Sn (tbba)), 비스(비스(트리메틸실릴)아미도) 주석(II), 테트라키스(디메틸아미노) 주석(IV) (Sn(NMe2)4), t-부틸 트리스(디메틸아미노) 주석 (Sn(t-butyl)(NMe2)3), i-부틸 트리스(디메틸아미노) 주석 (Sn(i-Bu)(NMe2)3), n-부틸 트리스(디메틸아미노) 주석 (Sn (n-Bu)(NMe2) 3), sec-부틸 트리스(디메틸아미노) 주석 (Sn(s-Bu) (NMe2)3), i-프로필(트리스)디메틸아미노 주석 (Sn(i-Pr) (NMe2)3), n-프로필 트리스(디에틸아미노) 주석 (Sn(n-Pr)(NEt2)3), 및 유사한 알킬(트리스) (t-부톡시) 주석 화합물들, 예컨대 t-부틸 트리스(t-부톡시) 주석 (Sn(t-Bu) (t-BuO)3) 를 포함한다. 일부 실시 예들에서, 유기금속제들은 부분적으로 플루오르화된다.
리소그래피 프로세스들
EUV 리소그래피는 액체-기반 스핀-온 기법들에 의해 생성된 중합체-기반 화학적으로 증폭된 레지스트들 또는 건식 기상-증착된 기법들에 의해 생성된 금속 옥사이드계 레지스트들일 수도 있는 EUV 레지스트들을 사용한다. 이러한 EUV 레지스트들은 본 명세서에 기술된 임의의 EUV-감응 막 또는 재료를 포함할 수 있다. 리소그래피 방법들은, 예를 들어, 포토 패턴을 형성하기 위해 EUV 방사선으로 EUV 레지스트의 노출에 의해 레지스트를 패터닝하고, 이어서 마스크를 형성하기 위해 포토 패턴에 따라 레지스트의 일부를 제거함으로써 패턴을 현상하는 것을 포함할 수 있다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 방사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 보다 낮은 에너지 범위의 EUV를 형식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 이러한 방법들은 기판의 표면 상의 이미징/PR 층으로서 금속 옥사이드 (예를 들어, 다른 비금속 및 비-산소기를 포함할 수도 있는 금속 옥사이드 결합들의 네트워크를 포함하는 층) 막을 형성하기 위해 (예를 들어, 선택 가능하게 노출된 하이드록실기들을 갖는) 기판이 전구체 (예를 들어, 본 명세서에 기술된 임의의 전구체) 와 콘택트하는 것을 포함한다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 적용 예들에 종속될 수도 있다. 따라서, 본 적용 예에 기술된 방법들은 단지 본 기술 (technology) 에서 사용될 수도 있는 방법들 및 재료들의 예시이다. 일부 실시 예들에서, 리소그래피는 10 ㎚ 내지 400 ㎚인 파장을 갖는 방사선 소스의 사용을 포함한다.
직접 포토패터닝 가능한 EUV 레지스트들은 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡수를 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 아래에 놓인 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 현재까지, 이들 레지스트들은 웨이퍼가 현상 용매에 노출되고, 건조되고, 소성되는 (bake), 트랙으로 이동하는 것을 필요로 하는, 습식 (용매) 방법을 사용하여 현상되었다. 습식 현상 (wet development) 은 생산성을 제한할 뿐만 아니라 미세 피처들 사이의 용매의 증발 동안 표면 장력 효과들로 인해 라인 붕괴를 야기할 수 있다.
기판 박리 및 계면 파손들 (interface failures) 을 제거함으로써 이들 문제들을 극복하기 위해 건식 현상 기법들이 제안되었다. 건식 현상은 습식 현상과 비교할 때 효과적인 레지스트 노출을 위해 보다 높은 도즈 대 사이즈 요건을 야기할 수 있는 비노출 레지스트 재료와 EUV 노출된 레지스트 재료 사이의 에칭 선택도를 포함하여, 고유의 문제들을 갖는다. 차선의 선택도는 또한 에칭 가스 하에서 보다 긴 노출들로 인해 PR 코너 라운딩을 유발할 수 있고, 이는 후속하는 전사 에칭 단계에서 라인 CD 변동을 증가시킬 수도 있다. 리소그래피 동안 채용된 부가적인 프로세스들은 이하에 상세히 기술된다.
건식 증착을 포함한 증착 프로세스들
상기 논의된 바와 같이, 본 개시는 EUV 또는 다른 차세대 리소그래피 기법들을 사용하여 패터닝될 수도 있는, 반도체 기판들 상에 흡수 층들 및 이미징 층들을 제조하기 위한 방법들을 제공한다. 이러한 방법들은 중합된 유기금속 재료들이 증기로 생성되고, 기판 상에 증착되는 것을 포함한다. 일부 실시 예들에서, 건식 증착은 임의의 유용한 전구체 (예를 들어, 본 명세서에 기술된 금속 할라이드들, 캡핑제들, 또는 유기금속제들) 를 채용할 수 있다. 다른 실시 예들에서, 스핀-온 포뮬레이션이 사용될 수도 있다. 증착 프로세스들은 레지스트 막 또는 EUV-감응 막으로서 EUV-감응 재료를 도포하는 것을 포함할 수 있다.
이러한 EUV-감응 막들은 EUV 노출 시, 보다 치밀한 M-O-M 결합된 금속 옥사이드 재료들에 이들의 교차 결합 (crosslinking) 을 허용하여, 저밀도 M-OH 풍부 재료들의 금속 원자들에 결합된 벌키 (bulky) 펜던트 리간드들의 손실과 같은 변화들을 겪는 재료들을 포함한다. 다른 실시 예들에서, EUV 노출은 금속 원자들에 결합된 리간드들 사이에 추가의 교차-결합을 발생시켜, 보다 치밀한 M-L-M 결합된 유기금속 재료들을 제공하고, L은 리간드이다. 또 다른 실시 예들에서, EUV 노출은 포지티브 톤 (positive tone) 현상제들에 의해 제거될 수 있는 M-OH 재료들을 제공하기 위해 리간드들의 손실을 발생시킨다.
EUV 패터닝을 통해, 비노출 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막들의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출 영역 또는 비노출 영역을 용해시키도록, 또는 노출 영역 또는 비노출 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 실시 예들에서, 이러한 후속 프로세싱이 수행되는 조건들 하에 비노출 막은 소수성 표면을 갖고, 노출된 막은 친수성 표면을 갖는다 (노출 영역 및 비노출 영역의 친수성 특성들이 서로 상대적인 것이 인식된다). 예를 들어, 재료의 제거는 막의 화학 조성, 밀도 및 교차 결합의 차이들을 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 본 명세서에 더 기술된 바와 같이 습식 프로세싱 또는 건식 프로세싱에 의한 것일 수도 있다.
기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 약 0.5 ㎚ 내지 약 100 ㎚ 범위일 수도 있다. 바람직하게, 막은 EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기에 충분한 두께를 갖는다. 예를 들어, 레지스트 막의 전체 흡수는 레지스트 막의 하단부의 레지스트 재료가 충분히 노출되도록 30 % 이하 (예를 들어, 10 % 이하, 또는 5 % 이하) 일 수도 있다. 일부 실시 예들에서, 막 두께는 10 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성 (utility) 을 제한하지 않고, 당업계의 습식 프로세스, 스핀-코팅 프로세스와 달리, 본 개시의 프로세스들은 기판의 표면 접착 특성들에 대해 보다 적은 제한들을 갖고, 따라서 폭넓고 다양한 범위의 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
막 (예를 들어, 흡수 층 및/또는 이미징 층) 은 임의의 유용한 방식으로 증착된 금속 옥사이드 층으로 구성될 수도 있다. 이러한 금속 옥사이드 층은 대응-반응 물질과 조합된 전구체 (예를 들어, 금속-함유 전구체, 금속 할라이드, 캡핑제, 또는 유기금속제) 와 같은, 본 명세서에 기술된 임의의 EUV-감응 재료를 사용함으로써 증착되거나 도포될 수 있다. 예시적인 프로세스들에서, 중합된 유기금속 재료는 금속 옥사이드 층을 제공하기 위해 기판의 표면 상에 기상 (vapor phase) 또는 인 시츄 (in situ) 로 형성된다. 금속 옥사이드 층은 막, 접착 층, 또는 캡핑 층으로서 채용될 수도 있다.
선택 가능하게, 금속 옥사이드 층은 하이드록실-종단된 금속 옥사이드 층을 포함할 수 있고, 이는 산소-함유 대응-반응 물질과 함께 캡핑제 (예를 들어, 본 명세서에 기술된 임의의 캡핑제) 를 채용함으로써 증착될 수 있다. 이러한 하이드록실-종단된 금속 옥사이드 층은 예를 들어, 2 개의 다른 층들 사이, 예컨대 기판과 막 사이 및/또는 포토레지스트 층과 하부 층 사이의 접착 층으로서 채용될 수 있다.
(예를 들어, 막에 대한) 예시적인 증착 기법들은 ALD (예를 들어, 열적 ALD 및 플라즈마-강화된 ALD), 스핀-코트 증착, PVD 공-스퍼터링을 포함하는 PVD, CVD (예를 들어, PE-CVD 또는 LP-CVD), 스퍼터링 증착, e-빔 공-증착을 포함하는 e-빔 증착, 등, 또는 이들의 조합, 예컨대 CVD 컴포넌트를 갖는 ALD, 예컨대 전구체들 및 대응-반응 물질들이 시간 또는 공간에서 분리되는, 불연속적인 ALD-유사 프로세스를 포함한다.
본 개시에 적용 가능한 EUV 포토레지스트 막들로서 전구체들 및 이들의 증착을 위한 방법들의 추가의 기술은 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS인 2019 년 5 월 9 일 출원된, WO2019/217749로 공개된 국제 출원 번호 PCT/US2019/031618에서 찾을 수 있다. 박막들은 막의 화학적 또는 물리적 특성들을 개질하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 전구체 및 대응-반응 물질에 더하여 선택 가능한 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 기판 상에 증착 전, 막의 증착 후, 또는 둘 모두에 기상 형성 동안 도핑에 의해서와 같이 도입될 수도 있다. 일부 실시 예들에서, 순한 (gentle) 리모트 H2 플라즈마는 일부 Sn-L 결합들을 예를 들어, Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 상승시킬 수 있다.
일반적으로, 방법들은 중합된 유기금속 재료를 형성하기 위해 전구체 (예를 들어, 유기금속 전구체와 같은, 금속-함유 전구체) 의 증기 스트림을 선택가능한 대응-반응 물질의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속 재료를 증착하는 단계를 포함한다. 일부 실시 예들에서, 전구체와 선택 가능한 대응-반응 물질을 혼합하여 중합된 유기금속 재료를 형성할 수 있다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다.
일 예시적인 연속 CVD 프로세스에서, 기판 상에 (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들 또는 막을 형성하기 위해, 전구체 및 선택가능한 대응-반응 물질의 소스들의, 개별적인 유입구 경로들 내의, 2 개 이상의 가스 스트림들은, 이들이 가스상 (gas phase) 으로 혼합되고 반응하는, CVD 장치의 증착 챔버에 도입된다. 가스 스트림들은 예를 들어, 개별적인 주입 유입구들 또는 듀얼-플레넘 (dual-plenum) 샤워헤드를 사용하여 도입될 수도 있다. 장치는 전구체 및 선택 가능한 대응-반응 물질의 스트림들이 챔버 내에서 혼합되도록 구성되어, 전구체 및 선택 가능한 대응-반응 물질이 반응하여 중합된 유기금속 재료 또는 막 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은, 금속 옥사이드 코팅 또는 응집된 중합 재료들) 을 형성한다.
금속 옥사이드를 증착하기 위해, CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 0.1 Torr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 1 Torr 내지 2 Torr의 압력들에서 수행된다. 기판의 온도는 바람직하게 반응 물질 스트림들의 온도 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다.
응집된 중합 재료들을 증착하기 위해, CVD 프로세스는 일반적으로 10 mTorr 내지 10 Torr와 같은 감소된 압력에서 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 기판의 온도는 바람직하게 반응 물질 스트림들의 온도이거나 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에 중합된 유기금속 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생한다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 금속 원자들이 대응-반응 물질에 의해 교차 결합되기 때문에 이러한 기상 반응으로부터의 생성물이 분자량이 보다 무거워지고, 이어서 응결되거나 그렇지 않으면 기판 상에 증착되는 것으로 여겨진다. 다양한 실시 예들에서, 벌키 알킬기들의 입체 장애 (steric hindrance) 는 치밀하게 패킹된 네트워크의 형성을 더 방지하고, 상승된 다공성을 갖는 저밀도 막들을 생성한다.
건식 증착 방법들을 사용하는 잠재적인 이점은 막이 성장함에 따라 막의 조성을 튜닝하기 쉽다는 것이다. CVD 프로세스에서, 이는 증착 동안 제 1 전구체 및 제 2 전구체의 상대적인 플로우들을 변화시킴으로써 달성될 수도 있다. 증착은 0.01 Torr 내지 100 Torr, 그러나 보다 일반적으로 약 0.1 Torr 내지 10 Torr의 압력들에서 30 ℃ 내지 200 ℃에서 발생할 수도 있다.
막 (예를 들어, 금속-산소-금속 결합 형성을 통한 것과 같은, 금속 옥사이드 코팅 또는 응집된 중합 재료들) 이 또한 ALD 프로세스에 의해 증착될 수도 있다. 예를 들어, 전구체(들) 및 선택 가능한 대응-반응 물질은 ALD 사이클을 나타내는 개별 시간들에 도입된다. 전구체들은 표면 상에서 반응하여, 사이클 각각에 대해 한 번에 재료의 단층 (monolayer) 까지 형성한다. 이는 표면에 걸친 막 두께의 균일성에 대한 우수한 제어를 허용할 수도 있다. ALD 프로세스는 일반적으로 감소된 압력들, 예컨대 0.1 Torr 내지 10 Torr에서 수행된다. 일부 실시 예들에서, 프로세스는 1 Torr 내지 2 Torr에서 수행된다. 기판 온도는 0 ℃ 내지 250 ℃ 또는 주위 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 프로세스는 열적 프로세스 또는 바람직하게, 플라즈마-보조된 증착일 수도 있다.
본 명세서의 임의의 증착 방법들은 2 개 이상의 상이한 전구체들의 사용을 허용하도록 수정될 수 있다. 일 실시 예에서, 전구체들은 동일한 금속이지만 상이한 리간드들을 포함할 수 있다. 또 다른 실시 예에서, 전구체들은 상이한 금속 그룹들을 포함할 수 있다. 일 비제한적인 예에서, 다양한 휘발성 전구체들의 교번하는 플로우들은 상이한 제 2 금속 (예를 들어, Te) 을 갖는 실릴-기반 전구체와 함께 제 1 금속 (예를 들어, Sn) 을 갖는 금속 알콕사이드 전구체의 사용과 같은 혼합된 금속-함유 층을 제공할 수 있다.
본 명세서의 프로세스들은 표면 개질을 달성하기 위해 사용될 수 있다. 일부 반복들에서, 전구체의 증기가 웨이퍼 위를 통과할 수도 있다. 웨이퍼는 반응이 진행되도록 열 에너지를 제공하도록 가열될 수도 있다. 일부 반복들에서, 가열은 약 50 ℃ 내지 약 250 ℃일 수 있다. 일부 경우들에서, 전구체의 펄스들이 펌프 단계 및/또는 퍼지 단계에 의해 분리되어 사용될 수도 있다. 예를 들어, 제 1 전구체는 ALD 또는 ALD-유사 성장을 발생시키는 제 2 전구체 펄스들의 펄스들 사이에서 펄싱될 수도 있다. 다른 경우들에서, 두 전구체들은 동시에 흐를 수도 있다. 표면 개질에 유용한 원소들의 예들은 I, F, Sn, Bi, Sb, Te, 및 이들 화합물들의 옥사이드들 또는 합금들을 포함한다.
본 명세서의 프로세스들은 ALD 또는 CVD에 의해 박형 금속 옥사이드 또는 금속을 증착하도록 사용될 수 있다. 예들은 주석 옥사이드 (SnOx), 비스무트 옥사이드 (BiOx), 및 Te를 포함한다. 증착 후에, 막은 본 명세서의 다른 곳에 기술된 바와 같이, MaRbLc 형태의 알킬 치환된 전구체로 캡핑될 수도 있다. 대응-반응 물질은 리간드들을 보다 잘 제거하기 위해 사용될 수도 있고, 기판 표면의 완전한 포화를 보장하기 위해 복수의 사이클들이 반복될 수도 있다. 이어서 표면은 EUV-감응 막이 증착될 준비가 될 수 있다. 일 가능한 방법은 SnOx의 박막을 생성하는 것이다. 가능한 화학 물질들은 테트라키스(디메틸아미노)주석 및 물 또는 O2 플라즈마와 같은 대응-반응 물질을 순환시킴으로써 SnO2의 성장을 포함한다. 성장 후, 캡핑제가 사용될 수 있다. 예를 들어, 이소프로필트리스(디메틸아미노)주석 증기가 표면 위로 흐를 수도 있다.
증착 프로세스들은 임의의 유용한 표면 상에 채용될 수 있다. 본 명세서에 참조된 바와 같이, "표면"은 상부에 본 기술의 막이 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다. 이러한 표면은 (예를 들어, 그 위에 막이 증착될) 기판 상에, (예를 들어, 그 위에 캡핑 층이 증착될 수 있는) 막 상에, 또는 하부층 상에 존재할 수 있다.
리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함하여, 임의의 유용한 기판이 채용될 수 있다. 일부 실시 예들에서, 기판들은 실리콘 웨이퍼들이다. 기판들은 그 위에 불규칙한 표면 토포그래피를 갖는, 피처들 ("하부 토포그래픽 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다.
이러한 하부 토포그래픽 피처들은 이 기술의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 기술의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 일부 실시 예들에서, 본 기술의 방법들은 포토리소그래픽 막들이이 스핀 캐스팅 방법들을 사용하여 기판들의 표면 상에 증착되는 당업계에 공지된 방법들 중의 방법들에 대한 이점들을 제공하는 것으로 여겨진다. 이러한 이점들은 하부 피처들을 "충진 (filling in)" 또는 달리 이러한 피처들을 평탄화하지 않고 하부 피처들에 대한 본 기술의 막들의 컨포먼스 (conformance) 로부터, 그리고 폭넓고 다양한 범위의 재료 표면들 상에 막들을 증착하는 능력으로부터 유도될 수도 있다.
일부 실시 예들에서, 기판은 하부 반도체 재료의 리소그래피 에칭에 사용되는 하드 마스크이다. 하드 마스크는 비정질 탄소 (a-C), 주석 옥사이드 (예를 들어, SnOx), 실리콘 옥사이드 (예를 들어, SiO2를 포함하는 SiOx), 실리콘 옥시나이트라이드 (예를 들어, SiOxNy), 실리콘 옥시카바이드 (예를 들어, SiOxCy), 실리콘 나이트라이드 (예를 들어, Si3N4), 티타늄 옥사이드 (예를 들어, TiO2), 티타늄 나이트라이드 (예를 들어, TiN), 텅스텐 (예를 들어, W), 도핑된 탄소 (예를 들어, W-도핑된 C), 텅스텐 옥사이드 (예를 들어, WOx), 하프늄 옥사이드 (예를 들어, HfO2), 지르코늄 옥사이드 (예를 들어, ZrO2), 및 알루미늄 옥사이드 (예를 들어, Al2O3) 를 포함하는, 임의의 다양한 재료들을 포함할 수도 있다. 예를 들어, 기판은 바람직하게 SnO2와 같은 SnOx를 포함할 수도 있다. 다양한 실시 예들에서, 층은 1 ㎚ 내지 100 ㎚ 두께, 또는 2 ㎚ 내지 10 ㎚ 두께일 수도 있다.
일부 비제한적인 실시 예들에서, 기판은 하부 층을 포함한다. 본 명세서에 기술된 바와 같이, 하부 층은 하드 마스크 또는 다른 층 상에 증착될 수도 있고 일반적으로 이미징 층 (또는 막) 아래에 있다. 하부 층은 PR의 감도를 개선하고, EUV 흡수율을 증가시키고, 그리고/또는 PR의 패터닝 성능을 증가시키도록 사용될 수도 있다. 상당한 토포그래피 (topography) 를 생성하는 패터닝될 기판 상에 디바이스 피처들이 존재하는 경우들에서, 하부 층의 또 다른 중요한 기능은 후속하는 패터닝 단계가 포커싱된 패턴의 모든 영역들을 갖는 편평한 표면 상에서 수행될 수도 있도록 기존의 토포그래피를 오버 코팅하고 평탄화하는 것일 수 있다. 이러한 적용 예들에 대해, 하부 층 (또는 복수의 하부 층들 중 적어도 하나) 은 스핀-코팅 기법들을 사용하여 도포될 수도 있다. 채용되는 포토레지스트 재료가 상당한 무기 컴포넌트를 가질 때, 예를 들어, 이는 주로 금속 옥사이드 구조 (framework) 를 나타낼 때, 하부 층은 유리하게 스핀-코팅 프로세스 또는 건식 진공-기반 증착 프로세스에 의해 도포된, 탄소계 막일 수도 있다. 층은 탄소계 조성 및 수소계 조성을 갖는 다양한 AHM (ashable hardmask) 막들을 포함할 수도 있고, 텅스텐, 붕소, 질소, 또는 불소와 같은 부가적인 원소들로 도핑될 수도 있다.
다양한 실시 예들에서, (예를 들어, 기판 및/또는 막의) 표면은 표면 상에 노출된 하이드록실기들을 포함한다. 일반적으로, 표면은 노출된 하이드록실 표면을 포함하거나 생성하도록 처리된 임의의 표면일 수도 있다. 이러한 하이드록실기들은 산소 플라즈마, 물 플라즈마, 또는 오존을 사용한 기판의 표면 처리에 의해 표면 상에 형성될 수도 있다. 다른 실시 예들에서, 막의 표면은 노출된 하이드록실기들을 제공하도록 처리될 수 있고, 그 위에 캡핑 층이 도포될 수 있다. 다양한 실시 예들에서, 하이드록시-종단된 금속 옥사이드 층은 0.1 ㎚ 내지 20 ㎚, 또는 0.2 ㎚ 내지 10 ㎚, 또는 0.5 ㎚ 내지 5 ㎚의 두께를 갖는다.
EUV 노출 프로세스들
막의 EUV 노출은 EUV-매개된 절단 이벤트들에 의해 생성되는 금속 원자 (M) 를 포함하는 활성화된 반응성 중심들을 갖는 EUV 노출 영역들을 제공할 수 있다. 이러한 반응성 중심들은 댕글링 금속 결합들, M-H 기들, 절단된 M-리간드 기들, 이량체화된 M-M 결합들, 또는 M-O-M 브리지들을 포함할 수 있다.
EUV 노출은 진공 분위기 (vacuum ambient) 에서 약 10 ㎚ 내지 약 20 ㎚의 범위의 파장, 예컨대 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 가질 수 있다. 특히, 패터닝은 패턴을 형성하기 위해 EUV 노출 영역들 및 EUV 비노출 영역들을 제공할 수 있다.
본 기술은 EUV, 뿐만 아니라 DUV 또는 e-빔을 사용한 패터닝을 포함할 수 있다. 이러한 패터닝에서, 방사선은 이미징 층의 하나 이상의 영역들 상에 포커싱된다. 노출은 통상적으로 이미징 층 막이 방사선에 노출되지 않은 하나 이상의 영역들을 포함하도록 수행된다. 발생하는 이미징 층은 반도체 디바이스의 트랜지스터 또는 다른 피처들의 생성과 일치하는 패턴을 생성하고, 기판의 후속 프로세싱에서 기판으로부터 재료의 추가 또는 제거에 의해 형성된, 복수의 노출 영역 및 비노출 영역을 포함할 수도 있다. 본 명세서에서 유용한 EUV, DUV 및 e-빔 방사 방법들 및 장비는 당업계에 공지된 방법들 및 장비를 포함한다.
일부 EUV 리소그래피 기법들에서, 유기 하드 마스크 (예를 들어, PECVD 비정질 수소화된 탄소의 애시 가능 하드 마스크) 가 종래의 포토레지스트 프로세스를 사용하여 패터닝된다. 포토레지스트 노출 동안, EUV 방사선은 레지스트 및 아래의 기판에서 흡수되어, 고에너지 광전자들 (예를 들어, 약 100 eV) 을 생성하고 결국 수 나노 미터만큼 측면으로 확산하는 저에너지 2 차 전자들의 캐스케이드 (예를 들어, 약 10 eV) 를 생성한다. 이들 전자들은 레지스트에서 EUV 도즈 감도를 상승시키는 화학 반응들의 정도를 상승시킨다. 그러나, 본질적으로 랜덤한 2 차 전자 패턴이 광학 이미지 상에 중첩된다 (superimpose). 이 원치 않은 2 차 전자 노출은 패터닝된 레지스트에서 분해능 손실, 식별 가능한 LER (line edge roughness) 및 라인 폭 변동을 발생시킨다. 이들 결함들은 후속하는 패턴 전사 에칭 동안 패터닝될 재료에 복제된다.
막 형성 (증착/응결) 및 광학 리소그래피를 결합하여 크게 개선된 EUV 리소그래피 (EUVL) 성능-예를 들어 감소된 라인 에지 거칠기-의 결과를 갖는 진공-통합된 금속 하드 마스크 프로세스 및 관련된 진공-통합된 하드웨어가 본 명세서에 개시된다.
본 명세서에 기술된 다양한 실시 예들에서, 증착 (예를 들어, 응결) 프로세스 (예를 들어, Lam Vector®와 같은 PECVD 툴에서 수행된 ALD 또는 MOCVD) 가 (예를 들어, 대략 10 ㎚ 내지 20 ㎚의 파장들의) EUV에서, 예를 들어 EUVL 광원의 파장 (예를 들어, 13.5 ㎚ = 91.8 eV) 에서 강한 흡수로, 감광성 금속 염 또는 금속-함유 유기 화합물 (유기금속 화합물) 과 같은, 금속-함유 막의 박막을 형성하도록 사용될 수 있다. 이 막은 EUV 노출시 광분해되고 후속 에칭 동안 (예를 들어, 도전체 에칭 툴, 예컨대 Lam 2300® Kiyo®에서) 패턴 전사 층인 금속 마스크를 형성한다.
증착 후에, EUV-패터닝 가능한 박막은 통상적으로 상대적으로 고 진공 하에서, EUV 광의 빔으로 노출에 의해 패터닝된다. EUV 노출을 위해, 금속-함유 막은 리소그래피 플랫폼 (예를 들어, NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE:3300B® 플랫폼과 같은 웨이퍼 스텝퍼) 과 통합된 챔버 내에 증착될 수 있고 노출 전에 반응하지 않도록 진공 하에서 이송된다. 리소그래피 툴과 통합은 EUVL이 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다. 다른 실시 예들에서, 감광성 금속 막 증착 및 EUV 노출은 동일한 챔버에서 수행될 수도 있다.
건식 현상을 포함한 현상 프로세스들
EUV 노출 영역 또는 비노출 영역은 모든 유용한 현상 프로세스에 의해 제거될 수 있다. 일 실시 예에서, EUV 노출 영역은 댕글링 금속 결합들, M-H 기들, 또는 이량체화된 M-M 결합들과 같은 활성화된 반응 중심들을 가질 수 있다. 특정한 실시 예들에서, M-H 기들은 하나 이상의 건식 현상 프로세스들 (예를 들어, 할라이드 화학 물질) 을 채용함으로써 선택적으로 제거될 수 있다. 다른 실시 예들에서, M-M 결합들은 습식 현상 프로세스, 예를 들어, 가용성 M(OH)n 기들을 제공하기 위해 고온 에탄올 및 물의 사용을 채용함으로써 선택적으로 제거될 수 있다. 또 다른 실시 예들에서, EUV 노출 영역들은 습식 현상의 사용에 의해 (예를 들어, 포지티브 톤 현상액을 사용함으로써) 제거된다. 일부 실시 예들에서, EUV 비노출 영역들은 건식 현상의 사용에 의해 제거된다.
건식 현상 프로세스들은 HCl-기반 프로세스 또는 HBr-기반 프로세스와 같은 할라이드들의 사용을 포함할 수 있다. 본 개시는 임의의 특정한 이론 또는 동작 메커니즘으로 제한되지 않지만, 이 방법은 증기 또는 플라즈마를 사용하여 휘발성 생성물들을 형성하기 위해 세정 화학 물질 (예를 들어, HCl, HBr, 및 BCl3) 과 건식 증착된 EUV 포토레지스트 막들의 화학적 반응성을 활용하는 것으로 이해된다. 건식 증착된 EUV 포토레지스트 막들은 최대 1 ㎚/s의 에칭 레이트들로 제거될 수 있다. 이들 화학 물질들에 의한 건식 증착된 EUV 포토레지스트 막들의 신속한 제거는 챔버 세정, 배면 세정, 베벨 세정 및 PR 현상에 적용 가능하다. 막들이 다양한 온도들의 증기들 (예를 들어, -10 ℃보다 높은 온도의 HCl 또는 HBr, 또는 예를 들어, 80 ℃보다 높은 온도의 BCl3) 을 사용하여 제거될 수 있지만, 플라즈마는 또한 반응성을 가속화하거나 향상시키도록 사용될 수 있다.
플라즈마 프로세스들은 TCP (Transformer Coupled Plasma), ICP (Inductively Coupled Plasma) 또는 CCP (Capacitively Coupled Plasma) 를 포함하고, 당업계에 공지된 장비 및 기법들을 채용한다. 예를 들어, 프로세스는 0.5 mTorr보다 높은 (예를 들어, 1 mTorr 내지 100 mTorr) 압력, 1000 W보다 낮은 (예를 들어, 500 W보다 낮은) 전력 레벨에서 수행될 수도 있다. 온도들은 1 내지 3000 초 (예를 들어, 10 초 내지 600 초) 동안 100 내지 1000 sccm (standard cubic centimeters per minute), 예를 들어, 약 500 sccm의 플로우 레이트로 30 ℃ 내지 300 ℃ (예를 들어, 30 ℃ 내지 120 ℃) 일 수도 있다.
할라이드 반응 물질 플로우들이 수소 가스 및 할라이드 가스인 경우, 리모트 플라즈마/UV 방사선이 H2 및 Cl2 및/또는 Br2로부터 라디칼들을 생성하도록 사용되고, 수소 및 할라이드 라디칼들은 웨이퍼의 기판 층 상의 패터닝된 EUV 포토레지스트와 콘택트하도록 반응 챔버로 흐른다. 적합한 플라즈마 전력은 바이어스 없이 100 W 내지 500 W의 범위일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
열 현상 프로세스들에서, 기판은 진공 챔버 (예를 들어, 오븐) 의 건식 현상 화학 물질 (예를 들어, 루이스 산) 에 노출된다. 적합한 챔버들은 진공 라인, 건식 현상 수소 할라이드 화학 물질 가스 (예를 들어, HBr, HCl) 라인, 및 온도 제어를 위한 가열기들을 포함할 수 있다. 일부 실시 예들에서, 챔버 내부는 유기 중합체들 또는 무기물 코팅들과 같은 부식 내성 막들로 코팅될 수 있다. 이러한 코팅 중 하나는 폴리테트라플루오로에틸렌 ((PTFE), 예를 들어, TeflonTM) 이다. 이러한 재료들은 플라즈마 노출에 의한 제거 위험 없이 이 개시의 열 프로세스들에서 사용될 수 있다.
건식 현상을 위한 프로세스 조건들은 포토레지스트 막 및 이들의 조성 및 특성들에 따라, 약 10 초 내지 1 분의 시간 동안, 플라즈마를 사용하지 않고 100 sccm 내지 500 sccm (예를 들어, 500 sccm의 HBr 또는 HCl) 의 반응 물질 플로우, -10 ℃ 내지 120 ℃ (예를 들어, -10 ℃) 의 온도, 1 mTorr 내지 500 mTorr (예를 들어, 300 mTorr) 의 압력일 수도 있다.
다양한 실시 예들에서, 본 개시의 방법들은 기상 증착, (EUV) 리소그래픽 포토패터닝 (photopatterning) 및 건식 현상에 의한 막 증착, 형성의 모든 건식 단계들을 결합한다. 이러한 프로세스들에서, 기판은 EUV 스캐너의 포토패터닝에 이어서 건식 현상/에칭 챔버로 직접 이동할 수도 있다. 이러한 프로세스들은 습식 현상과 연관된 재료 및 생산성 비용들을 방지할 수도 있다. 건식 프로세스는 또한 보다 많은 튜닝 가능성을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및/또는 스컴 (scum) 제거를 제공할 수 있다.
다양한 실시 예들에서, 일정량의 금속, 금속 옥사이드 및 유기 컴포넌트들을 함유하는 EUV 포토레지스트는 화학식 RxZy (R = B, Al, Si, C, S, SO 및 x > 0이고, Z = Cl, H, Br, F, CH4 및 y > 0) 의 화합물을 포함하는 건식 현상 가스를 흘리는 동안, 열, (예를 들어, 가능하게 광 활성화된 플라즈마를 포함하여, 예컨대, 램프-가열 또는 UV 램프 가열된) 플라즈마 또는 열과 플라즈마 방법들의 혼합에 의해 건식 현상될 수 있다. 건식 현상은 RxZy 종이 비노출 대응물을 마스크로서 남기는, 노출된 재료를 선택적으로 제거하는 포지티브 톤을 발생시킬 수 있다. 일부 실시 예들에서, 유기 주석 옥사이드계 포토레지스트 막들의 노출된 부분들은 본 개시에 따른 건식 현상에 의해 제거된다. 포지티브 톤 건식 현상은 라디칼들을 생성하기 위해 플라즈마를 스트라이킹하지 않고 HCl 및/또는 HBr을 포함하는 수소 할라이드들 또는 수소 및 할라이드들을 포함하는 플로우들, 또는 플라즈마로부터 생성된 리모트 플라즈마 또는 UV 방사선과 함께 H2 및 Cl2 및/또는 Br2의 플로우들에 노출된 EUV 노출 영역들의 선택적인 건식 현상 (제거) 에 의해 달성될 수도 있다.
습식 현상 방법들이 또한 채용될 수 있다. 특정한 실시 예들에서, 이러한 습식 현상 방법들은 포지티브 톤 포토레지스트 또는 네거티브 톤 레지스트를 제공하기 위해 EUV 노출 영역들을 제거하도록 사용된다. 예시적인, 비제한적인 습식 현상은, 예컨대 암모늄, 예를 들어, 암모늄 하이드록사이드 (NH4OH); 암모늄-기반 이온성 액체들, 예를 들어, 테트라메틸암모늄 하이드록사이드 (TMAH), 테트라에틸암모늄 하이드록사이드 (TEAH), 테트라프로필암모늄 하이드록사이드 (TPAH), 테트라부틸암모늄 하이드록사이드 (TBAH), 또는 다른 4 차 알킬암모늄 하이드록사이드들; 유기아민, 예컨대 모노-유기 아민, 디-유기 아민, 및 트리-유기 아민 (예를 들어, 디메틸아민, 디에틸아민, 에틸렌디아민, 트리에틸렌테트라민); 또는 모노에탄올아민, 디에탄올아민, 트리에탄올아민, 또는 디에틸렌글리콜아민과 같은 알칸올아민을 포함하는, 알칼리 현상액 (예를 들어, 수성 알칼리 현상액) 의 사용을 포함할 수 있다. 다른 실시 예들에서, 알칼리 현상액은 질소-함유 염기들, 예를 들어, 화학식 RN1NH2, RN1RN2NH, RN1RN2RN3N, 또는 RN1RN2RN3RN4N+XN1-를 갖는 화합물들을 포함할 수 있고, RN1, RN2, RN3, 및 RN4 각각은 독립적으로, 유기 치환기 (예를 들어, 선택가능하게 치환된 알킬 또는 본 명세서에 기술된 임의의 치환기), 또는 함께 결합될 수 있는 2 개 이상의 유기 치환기들이고, 그리고 XN1-는 OH-, F-, Cl-, Br-, I-, 또는 다른 당업계에 공지된 4 차 암모늄 양이온 종을 포함할 수도 있다. 이들 염기들은 또한 당업계에 공지된 헤테로사이크릴 질소 화합물들을 포함할 수도 있고, 이들 중 일부는 본 명세서에 기술된다.
다른 현상 방법론들은 할라이드 (예를 들어, HCl 또는 HBr), 유기산 (예를 들어, 포름산, 아세트산, 또는 시트르산), 또는 유기 불소 화합물 (예를 들어, 트리플루오로아세트산) 을 포함하는 산 현상액 (예를 들어, 수성 산 현상액 또는 유기 용매의 산 현상액) 의 사용; 또는 유기 현상액, 예컨대 케톤 (예를 들어, 2-헵타논, 사이클로헥사논, 또는 아세톤), 에스테르 (예를 들어, γ-부티로락톤 또는 에틸 3-에톡시프로피오네이트 (EEP)), 알코올 (예를 들어, 이소프로필 알코올 (IPA)), 또는 에테르, 예컨대 글리콜 에테르 (예를 들어, 프로필렌 글리콜 메틸 에테르 (PGME) 또는 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA)), 뿐만 아니라 이들의 조합들의 사용을 포함할 수 있다.
특정한 실시 예들에서, 포지티브 톤 현상액은 수성 알칼리 현상액 (예를 들어, NH4OH, TMAH, TEAH, TPAH, 또는 TBAH를 포함) 이다. 다른 실시 예들에서, 네거티브 톤 현상액은 수성 산 현상액, 유기 용매 내의 산 현상액, 또는 유기 현상액 (예를 들어, HCl, HBr, 포름산, 트리플루오로아세트산, 2-헵타논, IPA, PGME, PGMEA, 또는 이들의 조합) 이다.
도포 후 (post-application) 프로세스들
본 명세서의 방법들은 이하에 기술된 바와 같이, 임의의 유용한 도포-후 프로세스들을 포함할 수 있다.
배면 및 베벨 세정 프로세스를 위해, 증기 및/또는 플라즈마는 웨이퍼의 전면 상의 어떠한 막 열화도 없이, 배면 및 베벨만이 제거되는 것을 보장하도록 웨이퍼의 특정한 영역으로 제한될 수 있다. 제거될 건식-증착된 EUV 포토레지스트 막들은 일반적으로 Sn, O 및 C로 구성되지만, 동일한 세정 방법들이 다른 금속 옥사이드 레지스트들 및 재료들의 막들로 확장될 수 있다. 이에 더하여, 이 방법은 또한 막 스트립 및 PR 재작업 (rework) 을 위해 사용될 수 있다.
건식 베벨 에지 및 배면 세정을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라 100 sccm 내지 500 sccm (예를 들어, 500 sccm의 HCl, HBr, 또는 H2 및 Cl2 또는 Br2, BCl3 또는 H2), -10 ℃ 내지 120 ℃ (예를 들어, 20 ℃) 의 온도, 20 mTorr 내지 500 mTorr (예를 들어, 300 mTorr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 0 내지 500 W의 플라즈마 전력, 그리고 약 10 초 내지 20 초 동안일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, 캘리포니아 프레몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
포토리소그래피 프로세스들은 통상적으로 포토레지스트의 노출 영역과 비노출 영역 사이의 화학적 콘트라스트를 생성하도록 요구되는 화학적 반응들을 용이하게 하도록, 하나 이상의 소성 단계들을 수반한다. 대량 제작 (high volume manufacturing; HVM) 을 위해, 이러한 소성 단계들은 통상적으로 웨이퍼들이 주변 공기 또는 일부 경우들에서 N2 플로우 하에서 미리 설정된 온도의 핫-플레이트 상에서 소성되는 트랙들 상에서 수행된다. 이들 소성 단계들 동안 분위기에 부가적인 반응성 가스 컴포넌트의 도입뿐만 아니라 소성 분위기의 보다 신중한 제어는 도즈 요건을 더 감소시키고 그리고/또는 패턴 충실도를 개선하는 것을 도울 수 있다.
본 개시의 다양한 양태들에 따라, 증착 후 (예를 들어, PAB (post-applicationbake)) 및/또는 노출 후 (예를 들어, PEB (post-exposure bake)) 및/또는 현상 후 (예를 들어, PDB (post-development bake)), 금속 및/또는 금속 옥사이드계 포토레지스트에 대한 하나 이상의 후 처리들은 노출된 포토레지스트와 비노출 포토레지스트 사이의 재료 특성 차들을 증가시킬 수 있고 따라서 후속 건식 현상 후 DtS (dose to size) 를 감소시키고, PR 프로파일을 개선하고, 라인 에지 및 폭 거칠기 (LER/LWR) 를 개선할 수 있다. 이러한 프로세싱은 온도, 주변 가스, 및 수분의 제어를 갖는 열적 프로세스를 수반할 수 있어, 후속하는 프로세싱에서 개선된 건식 현상 성능을 발생시킨다. 일부 예들에서, 리모트 플라즈마가 사용될 수도 있다.
도포 후 프로세싱 (예를 들어, PAB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서, 및 수분을 제어하는 열적 프로세스가 비노출 금속 및/또는 금속 옥사이드 포토레지스트의 조성을 변화시키기 위해 증착 후 그리고 노출 전에 사용될 수 있다. 변화는 재료의 EUV 감도를 상승시킬 수 있고, 따라서 보다 낮은 도즈 대 사이즈 및 에지 거칠기가 노출 및 건식 현상 후에 달성될 수 있다.
노출 후 프로세싱 (예를 들어, PEB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물) 또는 진공 하에서, 그리고 수분의 제어를 사용한 열적 프로세스는 비노출 포토레지스트와 노출된 포토레지스트 모두의 조성을 변화시키도록 사용될 수 있다. 변화는 비노출 포토레지스트와 노출된 포토레지스트 사이의 조성/재료 특성들 차 및 비노출 포토레지스트와 노출된 포토레지스트 사이의 건식 현상 에칭 가스의 에칭 레이트 차를 증가시킬 수 있다. 이에 따라 보다 높은 에칭 선택도가 달성될 수 있다. 개선된 선택도로 인해, 개선된 표면 거칠기, 및/또는 보다 적은 포토레지스트 잔류물/스컴을 갖는 보다 정돈된 (squarer) PR 프로파일이 획득될 수 있다. 특정한 실시 예들에서, PEB는 공기 중에서 그리고 수분 및 CO2의 선택 가능한 존재 하에 수행될 수 있다.
현상 후 프로세싱 (예를 들어, 현상 후 소성 (post development bake) 또는 PDB) 의 경우, 온도, 가스 분위기 (예를 들어, 공기, H2O, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, Ar, He, 또는 이들의 혼합물들) 또는 진공 하에서 (예를 들어, UV를 사용하여), 그리고 수분의 제어를 사용한 열적 프로세스는 비노출 포토레지스트의 조성을 변화시키도록 사용될 수 있다. 특정한 실시 예들에서, 조건은 또한 플라즈마 (예를 들어, O2, O3, Ar, He, 또는 이들의 혼합물들을 포함함) 의 사용을 포함한다. 변화는 재료의 경도를 상승시킬 수 있고, 이는 아래에 놓인 기판을 에칭할 때 막이 레지스트 마스크로서 사용될 경우 유리할 수 있다.
이들 경우들에서, 대안적인 구현 예들에서, 열적 프로세스는 반응에 대한 에너지 배리어를 낮추고 생산성을 상승시키기 위해 반응성 종을 증가시키도록 리모트 플라즈마 프로세스로 대체될 수 있다. 리모트 플라즈마는 보다 많은 반응성 라디칼들을 생성할 수 있고 따라서 처리를 위한 반응 온도/시간을 낮춰 상승된 생산성을 유도한다.
따라서, 건식 현상 선택도를 상승시키도록 포토레지스트 자체를 개질하도록 하나 또는 복수의 프로세스들이 적용될 수도 있다. 이 열적 또는 라디칼 개질은 비노출 재료와 노출된 재료 사이의 콘트라스트를 상승시킬 수 있고 따라서 후속하는 건식 현상 단계의 선택도를 상승시킬 수 있다. 비노출 재료와 노출된 재료 사이의 결과적인 차이는 온도, 가스 플로우, 수분, 압력, 및/또는 RF 전력을 포함하는 프로세스 조건들을 조정함으로써 튜닝될 수 있다. 습식 현상액 용매에서 재료 용해도에 의해 제한되지 않는, 건식 현상에 의해 가능하게 된 큰 프로세스 자유 범위 (latitude) 는 달성될 수 있는 재료 콘트라스트를 더 향상시키는 보다 공격적인 조건들이 적용되게 한다. 발생되는 높은 재료 콘트라스트는 건식 현상을 위해 보다 넓은 프로세스 윈도우를 피드백하고 따라서 상승된 생산성, 보다 낮은 비용 및 보다 우수한 결함 성능을 가능하게 한다.
습식 현상된 레지스트 막들의 실질적인 한계는 제한된 온도 소성들이다. 습식 현상은 재료 용해도에 의존하기 때문에, 예를 들어 220 ℃ 이하로 가열하면 금속-함유 PR 막의 노출 영역과 비노출 영역 모두에서 교차 결합 정도를 크게 증가시켜 모두 습식 현상 용매들에 불용성이 되어, 막은 더 이상 확실하게 습식 현상될 수 없다. PR의 노출 영역과 비노출 영역 사이의 에칭 레이트 차 (즉, 선택도) 가 레지스트의 노출된 부분 또는 비노출 부분의 제거에 의존하는 건식-현상된 레지스트 막들에 대해, PAB, PEB, 또는 PDB의 처리 온도는 처리 프로세스를 튜닝하고 최적화하기 위해 훨씬 보다 넓은 윈도우에 걸쳐, 예를 들어, 약 90 ℃ 내지 250 ℃, 예컨대 PAB에 대해 90 ℃ 내지 190 ℃, 약 170 ℃ 내지 250 ℃ 이상, 예컨대 PEB 및/또는 PDB에 대해 190 ℃ 내지 240 ℃에서 가변될 수 있다. 감소하는 에칭 레이트 및 보다 큰 에칭 선택도가 언급된 범위들의 보다 높은 처리 온도들에서 발생하는 것으로 밝혀졌다.
특정한 실시 예들에서, PAB, PEB, 및/또는 PDB 처리들은 100 sccm 내지 10000 sccm 범위의 가스 분위기 플로우, 수 퍼센트 내지 최대 100 %의 양의 수분 함량 (예를 들어, 20 % 내지 50 %) 의 수분 함량으로, 대기압과 진공 사이의 압력에서, 그리고 약 1 내지 15 분, 예를 들어 약 2 분의 지속 기간 동안 수행될 수도 있다.
이들 발견들은 특정한 재료들 및 상황들에 대해 프로세싱을 조정하거나 최적화하도록 처리 조건들을 튜닝하는데 사용될 수 있다. 예를 들어, 약 2 분 동안 약 20 % 습도에서 공기 중에서 220 ℃ 내지 250 ℃ PEB 열 처리를 사용하여 미리 결정된 EUV 도즈에 대해 달성된 선택도는 이러한 열 처리 없이 약 30 %보다 고 EUV 도즈에 대한 선택도와 유사하게 이루어질 수 있다. 따라서, 반도체 프로세싱 동작의 선택도 요건들/제약 조건들에 따라, 본 명세서에 기술된 바와 같은 열적 처리가 필요한 EUV 도즈를 낮추기 위해 사용될 수 있다. 또는, 보다 높은 선택도가 요구되고 보다 높은 도즈가 용인될 (tolerate) 수 있다면, 습식 현상 맥락에서 가능한 것보다 훨씬 높은 선택도, 최대 100 배 노출 vs. 비노출이 획득될 수 있다.
또 다른 단계들은 물리적 및 구조적 특징들 (예를 들어, 임계 치수, 막 두께, 등) 이 포토리소그래피 프로세스 동안 평가될 수 있는 인 시츄 계측을 포함할 수 있다. 인 시츄 계측을 구현하기 위한 모듈들은, 예를 들어, 산란계, 타원계, 다운스트림 질량 분광, 및/또는 플라즈마 강화된 다운스트림 광학 방출 분광 모듈들을 포함한다.
장치들
본 개시는 또한 본 명세서에 기술된 임의의 방법들을 수행하도록 구성된 임의의 장치를 포함한다. 일 실시 예에서, 막을 증착하기 위한 장치는 이미징 층 및/또는 방사선-흡수 층을 제공하기 위해 하나 이상의 전구체(들)를 증착하기 위한 챔버를 포함하는 증착 모듈; 30 ㎚ 이하의 파장 방사선의 소스를 갖는 EUV 포토리소그래피 툴을 포함하는 패터닝 모듈; 및 이러한 층들을 포함하는 막을 현상하기 위한 챔버를 포함하는 현상 모듈을 포함한다.
장치는 이러한 모듈들을 위한 인스트럭션들을 갖는 제어기를 더 포함할 수 있다. 일 실시 예에서, 제어기는 하나 이상의 메모리 디바이스들, 하나 이상의 프로세서들, 및 막의 증착을 수행하기 위한 인스트럭션들로 코딩된 시스템 제어 소프트웨어를 포함한다. 이는 증착 모듈에서, 이미징 층 및/또는 방사선-흡수 층을 제공하기 위해 하나 이상의 전구체(들)를 증착하는 단계; 패터닝 모듈에서, EUV 노출에 의해 직접적으로 30 ㎚ 이하 분해능으로 층(들)을 패터닝하여, 막 내에 패턴을 형성하는 단계; 및 현상 모듈에서, 막을 현상하는 단계를 포함할 수 있다. 특정한 실시 예들에서, 현상 모듈은 EUV 노출되거나 EUV 비노출 영역(들)의 제거를 제공하여, 막 내에 패턴을 제공한다.
도 9는 본 명세서에 기술된 바와 같이 기술된 건식 증착 및 현상 실시 예들의 구현 예에 적합한 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (902) 를 갖는 프로세스 스테이션 (900) 의 실시 예의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (900) 이 공통 저압 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 10은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 VECTOR® 프로세싱 툴과 같은 멀티-스테이션 프로세싱 툴 (1000) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (900) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (950) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 12는 본 명세서에 기술된 실시 예들의 구현에 적합한 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 9 내지 도 11 및 도 13을 참조하여 상기 및 이하에 더 기술된 바와 같이, 레지스트 증착, 레지스트 노출 (EUV 스캐너), 레지스트 건식 현상 및 에칭 모듈들을 포함할 수 있다.
일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 모듈에서, 예를 들어 건식 현상 및 에칭이 연속적으로 수행될 수 있다. 그리고 본 개시의 실시 예들은 본 명세서에 기술된 바와 같이, 에칭될 층 또는 층 스택 상에 배치된 포토패터닝된 EUV 레지스트 박막 층을 포함하는 웨이퍼를 EUV 스캐너에서 포토패터닝에 이어서 건식 현상/에칭 챔버로 수용하고, 포토패터닝된 EUV 레지스트 박막 층을 건식 현상하고, 그리고 이어서 패터닝된 EUV 레지스트를 마스크로서 사용하여 아래에 있는 층을 에칭하기 위한 방법들 및 장치에 관한 것이다.
도 9를 다시 참조하면, 프로세스 스테이션 (900) 은 분배 샤워헤드 (906) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (901a) 과 유체로 연통한다. 반응 물질 전달 시스템 (901a) 은 샤워헤드 (906) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (904) 를 선택 가능하게 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (920) 은 프로세스 가스들의 혼합 용기 (904) 로의 도입을 제어할 수도 있다. 플라즈마 노출이 사용되면, 플라즈마는 또한 샤워헤드 (906) 로 전달될 수도 있고 또는 프로세스 스테이션 (900) 에서 생성될 수도 있다. 상기 주지된 바와 같이, 적어도 일부 실시 예들에서, 비플라즈마 열 노출이 유리하다.
도 9는 혼합 용기 (904) 로 공급될 액체 반응 물질을 기화시키기 위한 선택 가능한 기화 지점 (903) 을 포함한다. 일부 실시 예들에서, 기화 지점 (903) 의 업스트림의 LFC (Liquid Flow Controller) 가 기화 및 프로세스 스테이션 (900) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (thermal Mass Flow Meter) 을 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (906) 는 기판 (912) 을 향해 프로세스 가스들을 분배한다. 도 9에 도시된 실시 예에서, 기판 (912) 은 샤워헤드 (906) 밑에 위치되고, 페데스탈 (908) 상에 놓인 것으로 도시된다. 샤워헤드 (906) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (912) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (908) 은 기판 (912) 과 샤워헤드 (906) 사이의 볼륨에 기판 (912) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (950) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (908) 은 히터 (910) 를 통해 온도 제어될 수도 있다. 일부 실시 예들에서, 페데스탈 (908) 은 개시된 실시 예들에 기술된 바와 같이, HBr, HCl 또는 BCl3와 같은, 수소 할라이드 건식 현상 화학 물질에 대한 포토패터닝된 레지스트의 비플라즈마 열 노출 동안, 0 ℃ 초과 및 최대 300 ℃ 이상, 예를 들어 약 65 내지 80 ℃와 같은, 50 내지 120 ℃의 온도로 가열될 수도 있다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (900) 에 대한 압력 제어가 버터플라이 밸브 (918) 에 의해 제공될 수도 있다. 도 9의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (918) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 스로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (900) 의 압력 제어는 또한 프로세스 스테이션 (900) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
일부 구현 예들에서, 샤워헤드 (906) 의 위치는 기판 (912) 과 샤워헤드 (906) 사이의 볼륨을 가변하도록 페데스탈 (908) 에 대해 조정될 수도 있다. 또한, 페데스탈 (908) 및/또는 샤워헤드 (906) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (908) 은 기판 (912) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (950) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마가 사용될 수도 있는 경우, 예를 들어 동일한 챔버에서 수행된 순한 플라즈마-기반 건식 현상 실시 예들 및/또는 에칭 동작들에서, 샤워헤드 (906) 및 페데스탈 (908) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (Radio Frequency; RF) 전력 공급부 (914) 및 매칭 네트워크 (916) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (914) 및 매칭 네트워크 (916) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 최대 약 500 W이다.
일부 실시 예들에서, 제어기 (950) 에 대한 인스트럭션들은 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 레시피 페이즈는 HBr 또는 HCl과 같은 건식 현상 화학 물질 반응 물질 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 일부 실시 예들에서, 제어기 (950) 는 도 10의 시스템 제어기 (1050) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 10은 인바운드 로드록 (1002) 및 아웃바운드 로드록 (1004) 을 갖는 멀티-스테이션 프로세싱 툴 (1000) 의 실시 예의 개략도를 도시하고, 인바운드 로드록 (1002) 및 아웃바운드 로드록 (1004) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (1006) 은, 카세트로부터 포드 (pod) (1008) 를 통해 로딩된 웨이퍼들을 대기 포트 (1010) 를 통해 인바운드 로드록 (1002) 으로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드록 (1002) 내의 페데스탈 (1012) 상에 로봇 (1006) 에 의해 배치되고, 대기 포트 (1010) 는 폐쇄되고, 로드록은 펌핑 다운된다 (pump down). 인바운드 로드록 (1002) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1014) 내로 도입되기 전에 로드록 내에서 실리콘 나이트라이드 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드록 (1002) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (1014) 로의 챔버 이송 포트 (1016) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 10에 도시된 실시 예는 로드록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (1014) 는 도 10에 도시된 실시 예에서 1부터 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 1018로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 건식 현상 모드와 에칭 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (1014) 는 건식 현상 스테이션 및 에칭 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 또한, 일부 실시 예들에서, 수직으로 등급화된 조성을 갖는 EUV 레지스트 막을 증착하기 위해, 멀티-스테이션 프로세싱 툴이 일련의 (예를 들어, 4 개의) 순차적인 균질 계단형 흡수 층을 증착하도록 구성될 수도 있고, 이에 따라 막의 상단에 비해 막의 하단에서 고 EUV 흡수율을 갖는 원자들의 밀도를 상승시킨다. 도시된 프로세싱 챔버 (1014) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 10은 프로세싱 챔버 (1014) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1090) 의 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (1090) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 10은 또한 프로세스 툴 (1000) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1050) 의 실시 예를 도시한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 을 포함할 수도 있다. 프로세서 (1052) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 는 프로세스 툴 (1000) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1050) 는 대용량 저장 디바이스 (1054) 에 저장되고 메모리 디바이스 (1056) 내로 로딩되어 프로세서 (1052) 상에서 실행되는 시스템 제어 소프트웨어 (1058) 를 실행한다. 대안적으로, 제어 로직은 제어기 (1050) 에 하드코딩될 (hard coded) 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타겟 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 위치, 및 프로세스 툴 (1000) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하도록 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (1058) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (1050) 와 연관된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1018) 상에 기판을 로딩하고 기판과 프로세스 툴 (1000) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 수소 할라이드 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 HBr 또는 HCl 가스) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 스로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1050) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들과 같은) 압력 센서들, 써모커플들, 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (1050) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따라 건식 현상 및/또는 에칭 프로세스들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (1050) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (1050) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (1050) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 시스템 제어기 (1050) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (1050) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에 이블하고, 엔드 포인트 측정들을 인에 이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (1050) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (1050) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (1050) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (1050) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (1050) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (1050) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (1050) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시 예들에서, 일부 실시 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 ICP (Inductively Coupled Plasma) 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 결합 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 11은 건식 현상 및/또는 에칭과 같은 특정한 실시 예들 또는 실시 예들의 양태들을 구현하기 위해 적절한 유도 결합 플라즈마 장치 (1100) 의 단면도를 개략적으로 도시하고, 이의 예는 CA, Fremont 소재의 Lam Research Corp. 에 의해 생산된 Kiyo® 반응기이다. 다른 실시 예들에서, 본 명세서에 기술된 건식 현상 프로세스 및/또는 에칭 프로세스를 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 결합 플라즈마 장치 (1100) 는 챔버 벽들 (1101) 및 윈도우 (1111) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (1124) 를 포함한다. 챔버 벽들 (1101) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (1111) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (1150) 가 전체 프로세스 챔버를 상부 서브챔버 (1102) 및 하부 서브챔버 (1103) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (1150) 는 제거될 수도 있고, 이에 따라 서브챔버들 (1102 및 1103) 로 이루어진 챔버 공간을 활용한다. 척 (1117) 이 하단 내측 표면 근방의 하부 서브챔버 (1103) 내에 위치된다. 척 (1117) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (1119) 를 수용하고 홀딩하도록 구성된다. 척 (1117) 은 존재한다면 웨이퍼 (1119) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (1117) 을 둘러싸고, 척 (1117) 위에 존재한다면 웨이퍼 (1119) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (1117) 은 또한 웨이퍼 (1119) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (1117) 으로부터 웨이퍼 (1119) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (1117) 은 RF 전력 공급부 (1123) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (1123) 는 연결부 (1127) 를 통해 매칭 회로 (1121) 에 접속된다. 매칭 회로 (1121) 는 연결부 (1125) 를 통해 척 (1117) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (1123) 는 척 (1117) 에 연결된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 V 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (1111) 위에 위치된 코일 (1133) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (1133) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 11에 도시된 코일 (1133) 의 예는 3 개의 턴들을 포함한다. 코일 (1133) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (1133) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (1141) 를 포함한다. 일반적으로, RF 전력 공급부 (1141) 는 연결부 (1145) 를 통해 매칭 회로 (1139) 에 접속된다. 매칭 회로 (1139) 는 연결부 (1143) 를 통해 코일 (1133) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (1141) 는 코일 (1133) 에 연결된다. 선택 가능한 패러데이 차폐부 (1149a) 가 코일 (1133) 과 윈도우 (1111) 사이에 위치된다. 패러데이 차폐부 (1149a) 는 코일 (1133) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (1149a) 는 윈도우 (1111) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부 (1149a) 는 윈도우 (1111) 와 척 (1117) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부 (1149a) 는 코일 (1133) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (1149b) 는 갭 없이 윈도우 (1111) 바로 아래에 있을 수도 있다. 코일 (1133), 패러데이 차폐부 (1149a), 및 윈도우 (1111) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (1149a) 는 금속 또는 다른 종이 프로세스 챔버 (1124) 의 윈도우 (1111) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (1102) 내에 위치된 하나 이상의 주 가스 플로우 유입구들 (1160) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (1170) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 결합 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (1140) 가, 프로세스 챔버 (1124) 밖으로 프로세스 가스들을 인출하고 프로세스 챔버 (1124) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 ALD의 퍼지 동작 동안 하부 서브챔버 (1103) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (1124) 에 유체적으로 연결하도록 (fluidically connect) 사용될 수도 있다. 이는 동작 중인 (operational) 플라즈마 프로세싱 동안 스로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 결합된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (1100) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (1160 및/또는 1170) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (1160) 를 통해서만, 또는 측면 가스 플로우 유입구 (1170) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (1149a) 및/또는 선택 가능한 그리드 (1150) 는 프로세스 챔버 (1124) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (1149a) 및 선택 가능한 그리드 (1150) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (1160 및/또는 1170) 를 통해 프로세스 챔버 (1124) 내로 도입되도록, 프로세스 챔버 (1124) 의 업스트림에 놓일 수도 있다.
RF 전류로 하여금 코일 (1133) 을 통해 흐르게 하도록, RF 전력 공급부 (1141) 로부터 코일 (1133) 로 무선 주파수 전력이 공급된다. 코일 (1133) 을 통해 흐르는 RF 전류는 코일 (1133) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (1102) 내에 유도 전류를 생성한다. 웨이퍼 (1119) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (1119) 의 피처들을 에칭하고 웨이퍼 (1119) 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (1102) 및 하부 서브챔버 (1103) 모두가 있도록 플라즈마 그리드 (1150) 가 사용된다면, 유도 전류는 상부 서브챔버 (1102) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (1102) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (1150) 는 하부 서브챔버 (1103) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (1100) 는 하부 서브챔버 (1103) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 보다 큰 음이온들 대 양이온들 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (1122) 를 통해 하부 서브챔버 (1103) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (1117) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (1100) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (1100) 에 커플링된다. 부가적으로, 장치 (1100) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (1100) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (1130) 가 프로세스 챔버 (1124) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (1130) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (1100) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (1100) 는 최대 약 500 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처, 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (1130) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (1130) 로 통합될 수도 있다. 시스템 제어기는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (1130) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에 이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (1130) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (1130) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (1130) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 보다 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 12는 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착, EUV 패터닝 및 건식 현상/에칭 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 이러한 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 구현 예들에서 유리할 수도 있다.
도 12는 본 명세서에 기술된 프로세스들의 구현에 적합한, 진공 이송 모듈과 인터페이싱하는 진공-통합된 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 및 패터닝 모듈들은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 것과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (1238) 이 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (1220a 내지 1220d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (1220a 내지 1220d) 은 증착, 증발, ELD, 건식 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (1220a) 은 본 명세서에 기술된 바와 같이 비플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector 툴과 같은 ALD 반응기일 수도 있다. 그리고 모듈 (1220b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (1242 및 1246) 은 VTM (1238) 및 패터닝 모듈 (1240) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 12를 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 보다 통상적인 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (1242) 은 증착 모듈 (1220a) 을 서비스하는 VTM (1238) 으로부터 패터닝 모듈 (1240) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드록일 수도 있고, 에어록 (1246) 은 패터닝 모듈 (1240) 로부터 VTM (1238) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드록일 수도 있다. 인입 로드록 (1246) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (1238) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (1220a) 은 패싯 (1236) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (1226) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (1240) 및 에어록들 (1242 및 1246) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (1222) 은 에어록들 (1242 및 1246) 을 포함하는 모듈들 사이에서 웨이퍼 (1226) 를 이송한다. 일 실시 예에서, 로봇 (1222) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (1222) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (1226) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (1224) 를 갖는다. 프론트 엔드 로봇 (1244) 은 인출 에어록 (1242) 으로부터 패터닝 모듈 (1240) 내로, 패터닝 모듈 (1240) 로부터 인입 에어록 (1246) 내로 웨이퍼들 (1226) 을 이송하도록 사용된다. 프론트 엔드 로봇 (1244) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드록과 툴의 외부 사이에서 웨이퍼들 (1226) 을 이송할 수도 있다. 인입 에어록 모듈 (1246) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (1226) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 하도록 EUVL 툴로의 증착 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (1242) 은 패터닝 툴 (1240) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (1240) 내의 압력보다 높지 않은, 보다 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (1250) 가 클러스터 툴 및/또는 이의 분리된 모듈들의 일부 또는 모든 동작들을 제어한다. 제어기가 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고, 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 시스템 제어기 (1250) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 프로세서는 CPU (Central Processing Unit) 또는 컴퓨터, 아날로그 입력/출력 연결부들 및/또는 디지털 입력/출력 연결부들, 스텝퍼 (stepper) 모터 제어기 보드들, 및 다른 유사한 컴포넌트들을 포함할 수도 있다. 적절한 제어 동작들을 구현하기 위한 인스트럭션들이 프로세서 상에서 실행된다. 이들 인스트럭션들은 제어기와 연관된 메모리 디바이스들 상에 저장될 수도 있고, 또는 이들이 네트워크를 통해 제공될 수도 있다. 특정한 실시 예들에서, 시스템 제어기는 시스템 제어 소프트웨어를 실행한다.
시스템 제어 소프트웨어는 툴 또는 모듈 동작의 임의의 양태의 적용의 타이밍 및/또는 크기를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작들을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어가 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시 예들에서, 시스템 제어 소프트웨어는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함한다. 예를 들어, 반도체 제조 프로세스의 페이즈 (phase) 각각은 시스템 제어기에 의한 실행을 위한 하나 이상의 인스트럭션들을 포함할 수도 있다. 응결, 증착, 증발, 패터닝 및/또는 에칭 페이즈를 위한 프로세스 조건들을 설정하기 위한 인스트럭션들은 예를 들어, 대응하는 레시피 페이즈에 포함될 수도 있다.
다양한 실시 예들에서, 네거티브 패턴 마스크를 형성하기 위한 장치가 제공된다. 장치는 패터닝, 증착 및 에칭을 위한 프로세싱 챔버, 및 네거티브 패턴 마스크를 형성하기 위한 인스트럭션들을 포함하는 제어기를 포함할 수도 있다. 인스트럭션들은 프로세싱 챔버에서, 기판의 표면을 노출하도록 EUV 노출에 의해 반도체 기판 상의 CAR (chemically amplified) 레지스트의 피처를 패터닝하고, 포토패터닝된 레지스트를 건식 현상하고, 그리고 패터닝된 레지스트를 마스크로서 사용하여 아래에 놓인 층 또는 층 스택을 에칭하기 위한 코드를 포함할 수도 있다.
웨이퍼 이동을 제어하는 컴퓨터는 클러스터 아키텍처에 국부적일 수 있거나, 제작 현장에서 클러스터 아키텍처 외부에, 또는 원격 위치에 위치될 수 있고 네트워크를 통해 클러스터 아키텍처에 연결될 수 있다는 것을 주의해야 한다. 도 9, 도 10, 도 11, 또는 도 13 중 임의의 도면에 대해 상기 기술된 바와 같은 제어기가 도 12의 툴을 사용하여 구현될 수도 있다.
도 13은 (예를 들어, 증기-기반 증착, 예컨대 이미징 층 및/또는 방사선 흡수 층을 위한) 증착 챔버의 예를 도시한다. 알 수 있는 바와 같이, 리드 (1308) 를 포함하는 프로세싱 챔버 (1302) 를 갖는 장치 (1300) 가 도시된다. 기판 (1322) 이 웨이퍼 지지부 (1324) 상에 배치될 수도 있는, 프로세싱 챔버 (1302) 는 기판 (1322) 이 통과하게 하고 프로세싱 챔버 (1302) 의 내부로 들어가게 하도록 사이징되는 프로세싱 챔버 (1302) 의 벽들 중 하나를 통한 웨이퍼 이송 통로 (1304) 를 포함할 수도 있다. 웨이퍼 이송 통로 (1304) 는 웨이퍼 이송 통로를 시일링하거나 시일링 해제하도록 (unseal) 동작될 수도 있는 게이트 밸브 (1306) 또는 유사한 도어 메커니즘을 가질 수도 있고, 이에 따라 프로세싱 챔버 (1302) 내 분위기로 하여금 게이트 밸브 (1306) 의 다른 측면 상의 분위기로부터 격리되게 한다. 예를 들어, 프로세싱 챔버 (1302) 는 인접한 이송 챔버 내에 위치된 웨이퍼 핸들링 로봇을 통해 기판들 (1322) 이 제공될 수도 있다. 이러한 이송 챔버는 예를 들어, 주변부 둘레에 배치된 복수의 프로세싱 챔버들 (1302) 을 가질 수도 있고, 이러한 프로세싱 챔버 (1302) 각각은 대응하는 게이트 밸브 (1306) 를 통해 이송 챔버와 연결된다.
웨이퍼 지지부 (1324) 는 예를 들어, 기판 (1322) 을 지지하기 위해 웨이퍼 지지 표면을 제공하도록 사용될 수도 있는 정전 척 (ESC) (1326) 을 포함할 수도 있다. ESC (1326) 는 예를 들어, 베이스 플레이트 (1334) 상단에 배치되는 상단 플레이트 (1328) 에 결합되는 베이스 플레이트 (1334) 를 포함할 수도 있다. 상단 플레이트 (1328) 는 예를 들어, 세라믹 재료로 이루어질 수도 있고 그 내부에 몇몇 다른 컴포넌트들을 임베딩할 (embed) 수도 있다. 도시된 예에서, 상단 플레이트 (1328) 는 내부에 임베딩된 2 개의 분리된 전기 시스템들을 갖는다. 하나의 이러한 시스템은 기판 (1322) 으로 하여금 상단 플레이트 (1328) 의 웨이퍼 지지 표면에 대해 인출되게 하는 기판 (1322) 내에 전하를 생성하도록 사용될 수도 있는 하나 이상의 클램핑 전극들 (1332) 을 가질 수도 있는 정전 클램핑 전극 시스템이다. 도 13의 구현 예에서, 쌍극성 정전 클램핑 시스템을 제공하는 2 개의 클램핑 전극들 (1332) 이 있지만, 일부 구현 예들은 단극성 정전 클램핑 시스템을 제공하기 위해 단일 클램핑 전극 (1332) 만을 사용할 수도 있다.
다른 시스템은 프로세싱 조건들 동안 기판 (1322) 의 온도를 제어하기 위해 사용될 수도 있는 열 제어 시스템 (thermal control system) 이다. 도 13에서, 열 제어 시스템은 서로 동심이고 클램핑 전극들 (1322) 밑에 위치된 4 개의 환형 저항 히터 트레이스들 (1330a, 1330b, 1330c, 및 1330d) 을 특징으로 하는 멀티-존 열 제어 시스템이다. 중심 저항 히터 트레이스들 (1330a) 은, 일부 구현 예들에서, 일반적으로 원형 영역을 채울 수도 있고, 그리고 저항 히터 트레이스 (1330a/1330b/1330c/1330d) 각각은 대응하는 환형 영역 내에서 일반적으로 구불 구불한 (serpentine) 경로 또는 그렇지 않으면 구불 구불한 (meander) 경로를 따를 수도 있다. 저항 히터 트레이스 (1330a, 1330b, 1330c, 및 1330d) 각각은 상단 플레이트 (1328) 내에 다양한 방사상 가열 프로파일들을 제공하도록 개별적으로 제어될 수도 있고; 일부 경우들에서, 이러한 4-존 가열 시스템은 예를 들어, ±0.5 ℃의 온도 균일성을 갖도록 기판 (1322) 을 유지하기 위해 제어될 수도 있다. 도 13의 장치 (1300) 는 ESC (1326) 내의 4-존 가열 시스템을 특징으로 하지만, 다른 구현 예들은 단일-존 또는 4 개보다 많거나 보다 적은 존들을 갖는 멀티-존 가열 시스템들을 사용할 수도 있다.
예를 들어, 상기 논의된 온도 제어 메커니즘들의 일부 구현 예들에서, 열 펌프들이 저항 가열 트레이스들 대신 사용될 수도 있다. 예를 들어, 일부 구현 예들에서, 저항 히터 트레이스들은 일 측면으로부터 또 다른 측면으로 열을 "펌핑"하도록 제어될 수도 있는 펠티에 (Peltier) 접합부들 또는 다른 유사한 디바이스들에 의해 대체되거나 증가될 수도 있다. 이러한 메커니즘들은, 예를 들어, 상단 플레이트 (1328) (및 따라서 기판 (1322)) 로부터 열을 인출하고 베이스 플레이트 (1334) 및 열 교환 통로들 (1336) 내로 열을 지향시키도록 사용될 수도 있고, 이에 따라, 원한다면 기판 (1322) 이 보다 신속하고 보다 효과적으로 냉각되게 한다.
ESC (1326) 는 또한 예를 들어, 상단 플레이트 (1328) 의 아랫면에 구조적 지지를 제공하도록 사용될 수도 있고, 또한 열 분산 시스템으로서 작용할 수도 있는 베이스 플레이트 (1334) 를 포함할 수도 있다. 예를 들어, 베이스 플레이트 (1334) 는 베이스 플레이트 (1334) 전체에 걸쳐 일반적으로 분포된 방식으로 배치되는 하나 이상의 열 교환 통로들 (1336) 을 포함할 수도 있고, 예를 들어, 열 교환 통로들 (1336) 은 베이스 플레이트 (1334) 의 중심 주변의 구불 구불한, 원형 스위치 백 (switchback), 또는 나선형 패턴을 따를 수도 있다. 열 교환 매체, 예를 들어, 물 또는 불활성 플루오르화된 액체는 사용 동안 열 교환 통로들 (1336) 을 통해 순환될 수도 있다. 열 교환 매체의 플로우 레이트 및 온도는 베이스 플레이트 (1334) 에서 특정한 가열 또는 냉각 거동을 발생시키도록 외부적으로 제어될 수도 있다.
ESC (1326) 는 예를 들어, 웨이퍼 지지 컬럼 (1344) 과 연결되고 웨이퍼 지지 컬럼 (1344) 에 의해 지지되는 웨이퍼 지지 하우징 (1342) 에 의해 지지될 수도 있다. 웨이퍼 지지 컬럼 (1344) 은 예를 들어, 베이스 플레이트 (1334) 및/또는 상단 플레이트 (1328) 의 아랫면으로 케이블링, 유체 플로우 도관들, 및 다른 장비를 라우팅하기 위한 다른 패스-쓰루들 (pass-throughs) 이외의 라우팅 통로 (1348) 를 가질 수도 있다. 예를 들어, 도 13에는 도시되지 않지만, 저항 히터 트레이스들 (1330a/1330b/1330c/1330d) 에 전력을 제공하기 위한 케이블 링은 클램핑 전극들 (1332) 에 전력을 제공하기 위한 케이블 링일 수도 있는 것처럼 라우팅 통로 (1348) 를 통해 라우팅될 수도 있다. 다른 케이블들, 예를 들어, 온도 센서들을 위한 케이블들은 또한 라우팅 통로 (1348) 를 통해 웨이퍼 지지부 (1324) 의 내부의 위치들로 라우팅될 수도 있다. 온도-제어 가능한 베이스 플레이트 (1334) 를 사용한 구현 예들에서, 베이스 플레이트 (1334) 로 그리고 베이스 플레이트 (1334) 로부터 열 교환 매체를 전달하기 위한 도관들은 또한 라우팅 통로 (1348) 를 통해 라우팅될 수도 있다. 과도한 혼란 (clutter) 을 방지하기 위해, 이러한 케이블들 및 도관들은 도 13에 도시되지 않지만, 그럼에도 불구하고, 이들이 존재할 것이라는 것이 이해되어야 한다.
도 13의 장치 (1300) 는 또한 웨이퍼 지지 컬럼 (1344) 에 이동식 지지부를 제공할 수도 있는 웨이퍼 지지부 z-액추에이터 (1346) 를 포함한다. 웨이퍼 지지부 z-액추에이터 (1346) 는 웨이퍼 지지 컬럼 (1344) 및 이에 의해 지지된 웨이퍼 지지부 (1324) 로 하여금 프로세싱 챔버 (1302) 의 반응 공간 (1320) 내에서 수직으로, 예를 들어, 수 인치까지 위로 또는 아래로 이동하게 하도록 작동될 수도 있다. 그렇게 함에 있어서, 기판 (1322) 과 샤워헤드 (1310) 의 아랫면 사이의 갭 거리 X는 다양한 프로세스 조건들에 따라 튜닝될 수도 있다.
웨이퍼 지지부 (1324) 는 또한, 일부 구현 예들에서, 다양한 프로세스 조건들을 제어 및/또는 미세-튜닝하도록 사용될 수도 있는 하나 이상의 에지 링들을 포함할 수도 있다. 도 13에서, 예를 들어, 하부 에지 링들 (1340a 및 1340b) 의 상단 상에 놓이는 상부 에지 링 (1338) 이 제공되고, 이는 결국 웨이퍼 지지 하우징 (1342) 및 제 3 하부 에지 링 (1340c) 에 의해 지지된다. 상부 에지 링 (1338) 은 일반적으로 기판 (1322) 과 동일한 프로세싱 분위기를 겪을 수도 있는 한편, 하부 에지 링들 (1340a/1340b/1340c) 은 일반적으로 프로세싱 분위기로부터 차폐될 수도 있다. 상부 에지 링 (1338) 의 증가된 노출로 인해, 상부 에지 링 (1338) 은 제한된 수명을 가질 수도 있고 하부 에지 링들 (1340a/1340b/1340c) 과 비교하여 보다 빈번한 교체 또는 세정을 필요로 할 수도 있다.
장치 (1300) 는 또한 프로세싱이 종료되는 동안 그리고 프로세싱이 종료된 후 프로세싱 챔버 (1302) 로부터 프로세스 가스들을 제거하기 위한 시스템을 포함할 수도 있다. 예를 들어, 프로세싱 챔버 (1302) 는 웨이퍼 지지 컬럼 (1344) 을 둘러싸는 환형 플레넘 (1356) 을 포함할 수도 있다. 환형 플레넘 (1356) 은 결국, 예를 들어 장치 (1300) 아래의 서브 플로어 밑에 위치될 수도 있는 것과 같이, 진공 펌프와 연결될 수도 있는 진공 포어라인 (1352) 과 유체적으로 연결될 수도 있다. 레귤레이터 밸브 (1354) 가 진공 포어라인 (1352) 과 프로세싱 챔버 (1302) 사이에 제공될 수도 있고 진공 포어라인 (1352) 내로의 플로우를 제어하도록 작동될 수도 있다. 일부 구현 예들에서, 웨이퍼 지지 컬럼 (1344) 의 원주 둘레에 보다 고르게 분포된 환형 플레넘 (1356) 내로의 플로우를 만들도록 기능할 수도 있는 배플 (1350), 예를 들어, 환형 플레이트 또는 다른 구조체가 기판 (1322) 을 가로 질러 흐르는 반응 물질들에서 플로우 불균일도들의 발생 기회들을 감소시키도록 제공될 수도 있다.
도시된 바와 같이, 샤워헤드 (1310) 는 듀얼-플레넘 샤워헤드 (1310) 이고 제 1 유입구 (1316) 를 통해 프로세스 가스가 제공되는 제 1 플레넘 (1312) 및 제 2 유입구 (1318) 를 통해 프로세스 가스가 제공되는 제 2 플레넘 (1314) 을 포함한다. 일반적으로, 2 개의 플레넘들이 전구체와 대응-반응 물질의 릴리즈 전에 전구체(들)와 대응-반응 물질(들) 사이의 분리를 유지하도록 채용될 수 있다. 샤워헤드 (1310) 는 일부 구현 예들에서, 2 개보다 많은 플레넘들을 가질 수도 있다. 일부 예들에서, 프로세싱 챔버 (1302) 의 반응 공간 (1320) 내로 전구체(들)를 전달하기 위해 단일 플레넘이 사용된다. 플레넘 각각은 샤워헤드 (1310) 의 대면 플레이트를 통해 반응 공간 (1320) 과 각각의 플레넘을 유체적으로 연결하는 가스 분배 포트들의 대응하는 세트를 가질 수도 있다 (대면 플레이트는 최하부 플레넘과 반응 공간 (1320) 사이에 개재되는 샤워헤드 (1310) 의 부분이다).
샤워헤드 (1310) 의 제 1 유입구 (1316) 및 제 2 유입구 (1318) 는 본 명세서에 논의된 바와 같이, 하나 이상의 전구체(들) 및/또는 대응-반응 물질(들)을 제공하도록 구성될 수도 있는, 가스 공급 시스템을 통해 프로세싱 가스들이 제공될 수도 있다. 도시된 장치 (1300) 는 복수의 전구체들 및 복수의 대응-반응 물질들을 제공하도록 구성된다. 예를 들어, 제 1 밸브 매니폴드 (1368a) 는 제 1 유입구 (1316) 에 전구체(들)를 제공하도록 구성될 수도 있는 한편, 제 2 밸브 매니폴드 (1368b) 는 다른 전구체(들) 또는 다른 대응-반응 물질을 제 2 유입구 (1318) 에 제공하도록 구성될 수도 있다.
제 1 밸브 매니폴드 (1368a) 는 제 1 유입구 (1316) 에 하나 이상의 전구체(들)를 제공하도록 구성될 수도 있는 한편, 제 2 밸브 매니폴드 (1368b) 는 다른 전구체(들) 또는 다른 반응 물질을 제 2 유입구 (1318) 에 제공하도록 구성될 수도 있다. 이 예에서, 제 1 밸브 매니폴드 (1368a) 는 예를 들어, 복수의 밸브들 (A1 내지 A5) 을 포함한다. 밸브 A2는, 예를 들어, 제 1 기화기 (1372a) 와 유체적으로 연결된 일 포트, 바이패스 라인 (1370a) 과 유체적으로 연결된 또 다른 포트, 및 또 다른 3-웨이 (three-way) 밸브 A3 상의 포트와 유체적으로 연결된 제 3 포트를 갖는 3-웨이 밸브일 수도 있다. 유사하게, 밸브 A4는 제 2 기화기 (1372b) 와 유체적으로 연결된 일 포트, 바이패스 라인 (1370a) 과 유체적으로 연결된 또 다른 포트, 및 또 다른 3-웨이 밸브 A5 상의 포트와 유체적으로 연결된 제 3 포트를 갖는 또 다른 3-웨이 밸브일 수도 있다. 밸브 A5 상의 다른 포트들 중 하나는 제 1 유입구 (1316) 와 유체적으로 연결될 수도 있는 한편, 밸브 A5 상의 나머지 포트는 밸브 A3 상의 나머지 포트들 중 하나와 유체적으로 연결될 수도 있다. 밸브 A3 상의 나머지 포트는 결국 밸브 A3와 퍼지 가스 소스 (1374), 예를 들어 질소, 아르곤, 또는 (전구체(들) 및/또는 대응-반응 물질(들)에 대해) 다른 적합하게 불활성인 가스 사이에 유체적으로 개재될 수도 있는 밸브 A1와 유체적으로 연결될 수도 있다. 일부 실시 예들에서, 제 1 밸브 매니폴드만이 채용된다.
본 개시의 목적들을 위해, 용어 "유체적으로 연결된 (fluidically connected)"은 용어 "전기적으로 접속된"이 전기적 접속을 형성하도록 함께 연결되는 컴포넌트들에 대해 사용되는 방법과 유사하게, 유체 연결을 형성하기 위해 서로 연결될 수도 있는, 볼륨들, 플레넘들, 홀들, 등에 대해 사용된다. 용어 "유체적으로 개재된 (fluidically interposed)"은, 사용된다면, 적어도 2 개의 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들과 유체적으로 연결된 컴포넌트, 볼륨, 플레넘, 또는 홀을 지칭하도록 사용될 수도 있어서, 이들 다른 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 하나로부터 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것으로 흐르는 유체가 이들 컴포넌트들, 볼륨들, 플레넘들, 또는 홀들 중 다른 것 또는 또 다른 것에 도달하기 전에 "유체적으로 개재된" 컴포넌트를 통해 먼저 흐를 것이다. 예를 들어, 펌프가 저장부와 유출구 사이에 유체적으로 개재된다면, 저장부로부터 유출구로 흐르는 유체는 유출구에 도달하기 전에 먼저 펌프를 통해 흐를 것이다.
제 1 밸브 매니폴드 (1368a) 는, 예를 들어, 기화기들 (1372a 및 1372b) 중 하나 또는 모두로부터의 증기들로 하여금 프로세싱 챔버 (1302) 로 또는 제 1 바이패스 라인 (1370a) 을 통해 그리고 진공 포어라인 (1352) 내로 흐르게 하도록 제어 가능할 수도 있다. 제 1 밸브 매니폴드 (1368a) 는 또한 퍼지 가스로 하여금 퍼지 가스 소스 (1374) 로부터 제 1 유입구 (1316) 내로 흐르게 하도록 제어 가능할 수도 있다.
예를 들어, 제 1 기화기 (1372a) 로부터 반응 공간 (1320) 내로 증기를 흘리기 위해, 밸브 A2는 제 1 기화기 (1372a) 로부터 증기로 하여금 제 1 바이패스 라인 (1370a) 내로 먼저 흐르게 하도록 작동될 수도 있다. 이 플로우는 증기의 플로우가 정상 상태 플로우 조건들에 도달하게 하기에 충분한 시간 기간 동안 유지될 수도 있다. 충분한 시간이 경과한 후 (또는 사용된다면, 유량계가 플로우 레이트가 안정하다는 것을 나타낸 후), 밸브들 (A2, A3, 및 A5) 은 제 1 기화기 (1372a) 로부터 증기 플로우가 제 1 유입구로 지향되게 하도록 작동될 수도 있다. 밸브들 (A4 및 A5) 을 사용한 유사한 동작들이 제 2 기화기 (1372b) 로부터 제 1 유입구 (1316) 로 증기를 전달하도록 수행될 수도 있다. 일부 예들에서, 퍼지 가스 소스 (1374) 로부터의 퍼지 가스가 제 1 유입구 (1316) 내로 흐르게 하도록 밸브들 (A1, A3, 및 A5) 을 작동시킴으로써 제 1 플레넘 (1312) 으로부터 증기들 중 하나를 퍼지하는 것이 바람직할 수도 있다. 일부 부가적인 구현 예들에서, 퍼지 가스로부터 제 1 유입구 (1316) 내로 가스를 흘리는 것과 연계하여 (in tandem with) 기화기들 중 하나 (1372a 또는 1372b) 로부터 증기를 동시에 흘리는 것이 바람직할 수도 있다. 이러한 구현 예들은 이러한 증기(들)에 함유된 반응 물질(들)의 농도를 희석하도록 사용될 수도 있다.
제 2 밸브 매니폴드 (1368b) 는 유사한 방식으로, 예를 들어, 기화기들 (1372c 및 1372d) 로부터 제 2 유입구 (1318) 또는 제 2 바이패스 라인 (1370b) 으로 증기들을 제공하도록 밸브들 (B1 내지 B5) 을 제어함으로써 제어될 수도 있다는 것이 이해될 것이다. 제 1 유입구 (1316) 및 제 2 유입구 (1318) 로의 전구체(들), 대응-반응 물질(들), 또는 다른 반응 물질들의 플로우를 제어하기 위한 밸브들을 포함하는, 단일 일체형 (unitary) 매니폴드를 포함하여, 상이한 매니폴드 배열들이 또한 활용될 수도 있다는 것이 또한 인식될 것이다.
앞서 언급된 바와 같이, 일부 장치들 (1300) 은 보다 적은 수의 증기 소스들, 예를 들어, 단지 2 개의 기화기들 (1372) 을 특징으로 할 수도 있고, 이 경우 밸브 매니폴드(들) (1368) 는 보다 적은 수의 밸브들, 예를 들어, 밸브들 (A1 내지 A3) 만을 갖도록 수정될 수도 있다.
상기 논의된 바와 같이, 막들의 건식 증착을 제공하도록 사용될 수도 있는 장치 (1300) 와 같은 장치들은 프로세싱 챔버 (1302) 내에서 특정한 온도 프로파일들을 유지하도록 구성될 수도 있다. 특히, 이러한 장치들 (1300) 은 전구체(들) 및/또는 대응-반응 물질(들)과 직접적으로 콘택트하게 되는 장치 (1302) 의 대부분의 장비보다 낮은 온도, 예를 들어, 적어도 25 ℃ 내지 50 ℃ 낮은 온도로 기판 (1322) 을 유지하도록 구성될 수도 있다. 부가적으로, 전구체(들) 및/또는 대응-반응 물질(들)과 직접적으로 콘택트하게 되는 장치 (1300) 의 장비의 온도는 이러한 장비의 표면들 상에서 기화된 반응 물질들의 응결을 못하게 되는 충분히 높은 상승된 레벨로 유지될 수도 있다. 동시에, 기판 (1322) 온도는 기판 (1322) 상의 반응 물질들의 응결, 또는 적어도 증착을 촉진하는 레벨로 제어될 수도 있다.
이러한 온도 제어를 제공하기 위해, 다양한 가열 시스템들이 장치 (1300) 에 포함될 수도 있다. 예를 들어, 프로세싱 챔버 (1302) 는 카트리지 히터들 (1358) 을 수용하기위한 리셉터클들 (receptacles) 을 가질 수도 있고, 예를 들어, 일반적으로 실린더형 내부 볼륨을 갖지만 정사각형 또는 직사각형 외부 형상을 갖는 프로세싱 챔버 (1302) 를 위해, 카트리지 히터들 (1358) 을 수용하기 위한 수직 홀들이 챔버 (1302) 하우징의 4 개의 코너들 내로 천공될 (bore) 수도 있다. 일부 구현 예들에서, 샤워헤드 (1310) 는 상승된 샤워헤드 온도를 유지하기 위해 샤워헤드 (1310) 의 노출된 상부 표면에 걸쳐 열을 인가하도록 사용될 수도 있는, 히터 블랭킷들 (1360) 로 커버될 수도 있다. 기화기들 (1372) 로부터 샤워헤드 (1310) 로 기화된 반응 물질들을 보내도록 (conduct) 사용되는 다양한 가스 라인들을 가열하는 것이 또한 유리할 수도 있다. 예를 들어, 저항성 히터 테이프는 이러한 가스 라인들 둘레에 감겨져 (wound) 이들을 상승된 온도로 가열하도록 사용될 수도 있다. 도 13에 도시된 바와 같이, 전구체(들) 및/또는 이들을 통해 흐르는 대응-반응 물질(들)을 잠재적으로 갖는 모든 가스 라인들은 바이패스 라인들 (1370) 을 포함하여 가열되는 것으로 도시된다. 유일한 예외들은 밸브 매니폴드들 (1368) 로부터 제 1 유입구 (1316) 및 제 2 유입구 (1318) 로의 가스 라인들이며, 이는 매우 짧을 수도 있고 샤워헤드 (1310) 에 의해 간접적으로 가열될 수도 있다. 물론, 이들 가스 라인들까지도 원한다면, 능동적으로 가열될 수도 있다. 일부 구현 예들에서, 히터들은 또한 게이트 밸브에 열을 제공하도록 게이트 밸브 (1306) 에 근접하게 제공될 수도 있다.
장치 (1300) 의 다양한 동작 시스템들은 제어기 (1384) 에 의해 제어될 수도 있고, 제어기 (1384) 는 서로 동작 가능하게 연결되고 장치 (1300) 의 다양한 시스템들 및 서브 시스템들과 통신 가능하게 연결되어 이들 시스템들에 대한 제어 기능을 제공하는, 하나 이상의 프로세서들 (1386) 및 하나 이상의 메모리 디바이스들 (1388) 을 포함할 수도 있다. 예를 들어, 제어기 (1384) 는 밸브들 (A1 내지 A5 및 B1 내지 B5), 다양한 히터들 (1358, 1360), 기화기들 (1372), 레귤레이터 밸브 (1354), 게이트 밸브 (1306), 웨이퍼 지지부 z-액추에이터, 등을 제어하도록 구성될 수도 있다.
장치 (1300) 가 포함할 수도 있는 또 다른 피처가 도 14에 도시되고, 도 13의 기판 (1322), 상단 플레이트 (1328), 및 상부 에지 링 (1338) 의 일부의 확대된 측단면도 및 평면도들을 도시한다. 알 수 있는 바와 같이, 일부 구현 예들에서, 기판 (1322) 은, 기판 (1322) 의 아랫면과 상단 플레이트 (1328) 의 대부분 사이에 배면 갭 (1378) 을 제공하도록 상단 플레이트 (1328) 의 공칭 상부 표면으로부터 작은 거리만큼 돌출된 얕은 보스들 (bosses) 일 수도 있는 복수의 작은 메사들 (1376) 에 의해 상단 플레이트 (1328) 의 대부분으로부터 상승될 수도 있다. 원주형 벽 피처 (1377) 가 상단 플레이트 (1328) 의 주변부에 제공될 수도 있다. 원주형 벽 피처 (1377) 는 상단 플레이트 (1328) 의 전체 주변부 둘레로 연장할 수도 있고 메사들 (1376) 과 명목상 동일한 높이일 수도 있다. 프로세싱 동작들 동안, 일반적으로 불활성 가스, 예컨대 헬륨이 하나 이상의 가스 포트들 (1382) 을 통해 배면 갭 (1378) 내로 흐를 수도 있다. 이어서 이 가스는 원주형 벽 피처 (1377) 를 직면하기 (encounter) 전에 방사상 외측으로 흐를 수도 있고, 이는 이어서 이러한 방사상 외측 플로우를 제한하고 가스의 보다 높은 압력 영역으로 하여금 기판 (1322) 과 상단 플레이트 (1328) 사이에 트랩되게 할 수도 있다. 원주형 벽 (1377) 을 지나 누설되는 불활성 가스는 결국 기판 (1322) 의 외측 에지와 상부 에지 링 (1338) 의 일부 사이의 방사상 갭 (1380) 을 통해 흐를 수도 있다. 이러한 가스는 샤워헤드 (1310) 에 의해 릴리즈된 가스들이 기판 (1322) 의 아랫면에 도달하는 것을 방지하도록 작용함으로써 수행될 프로세싱 동작들에 의해 바람직하지 않게 영향을 받는 것으로부터 기판의 하부를 보호하도록 기능할 수도 있다. 동시에, 배면 갭 (1378) 영역 내로 릴리즈된 가스는 또한 기판 (1322) 과 상단 플레이트 (1328) 사이의 열적 커플링을 상승시키도록 작용할 수도 있고, 이에 따라 상단 플레이트 (1328) 로 하여금 기판 (1322) 을 보다 효과적으로 가열하거나 냉각하게 한다. 원주형 벽에 의해 제공된 보다 높은 압력으로 인해, 배면 갭 (1378) 영역 내에 있는 가스는 또한 챔버의 나머지 부분의 가스보다 보다 높은 밀도일 수도 있고, 따라서 기판 (1322) 과 상단 플레이트 (1328) 사이에 보다 효과적인 열적 커플링을 제공할 수도 있다.
제어기 (1384) 는 예를 들어, 컴퓨터-실행 가능 인스트럭션들의 실행을 통해, 장치 (1300) 로 하여금 상기 제공된 개시와 일치하는 다양한 동작들을 수행하게 하도록 구성될 수도 있다.
일단 이미징 층 및/또는 방사선-흡수 층이 기판 (1322) 상에 증착되면, 기판 (1322) 은 상기 주지된 바와 같이, 부가적인 동작들 (예를 들어, 본 명세서에 기술된 임의의 동작) 을 위해 하나 이상의 후속 프로세싱 챔버들 또는 툴로 이송될 수도 있다. 추가의 증착 장치들은 전체가 참조로서 본 명세서에 인용된, 명칭이 "APPARATUS FOR PHOTORESIST DRY DEPOSITION"인 2020 년 6 월 22 일 출원된 국제 특허 출원 번호 PCT/US2020/038968에 기술된다.
정의들
본 명세서에서 상호 교환 가능하게 사용된 바와 같이, "아실옥시 (acyloxy)" 또는 "알카노일옥시 (alkanoyloxy)"는 옥시기를 통해 모 분자기에 부착된, 본 명세서에 정의된 바와 같은 아실 또는 알카노일기를 의미한다. 특정한 실시 예들에서, 알카노일옥시는 -O-C(O)-Ak이고, 여기서 Ak는 본 명세서에 정의된 바와 같은 알킬기이다. 일부 실시 예들에서, 치환되지 않은 알카노일옥시는 C2-7 알카노일옥시기이다. 예시적인 알카노일옥시기들은 아세톡시를 포함한다.
"알케닐 (alkenyl)"은 하나 이상의 이중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 의미한다. 알케닐기는 고리형 (예를 들어, C3-24 사이클로알케닐) 또는 비고리형일 수 있다. 알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알케닐렌 (alkenylene)"은 하나 이상의 이중 결합을 갖는 선택 가능하게 치환된 C2-24 알킬기인, 알케닐기의 다가 (예를 들어, 2가) 형태를 의미한다. 알케닐렌기는 고리형 (예를 들어, C3-24 사이클로알케닐) 또는 비고리형일 수 있다. 알케닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알케닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인, 비제한적인 알케닐렌기들은 -CH=CH- 또는 -CH=CHCH2-를 포함한다.
"알콕시 (alkoxy)"는 -OR를 의미하고, 여기서 R은 본 명세서에 기술된 바와 같이 선택 가능하게 치환된 알킬기이다. 예시적인 알콕시기들은 메톡시, 에톡시, 부톡시, 트리할로알콕시, 예컨대 트리플루오로메톡시, 등을 포함한다. 알콕시기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알콕시기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인 치환되지 않은 알콕시기들은 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알콕시기들을 포함한다.
"알킬 (alkyl)" 및 접두사 "alk"는 1 내지 24 개의 탄소 원자들의 분지형 또는 비분지형 포화 하이드로카본기, 예컨대 메틸 (Me), 에틸 (Et), n-프로필 (n-Pr), 이소프로필 (i-Pr), 사이클로프로필, n-부틸 (n-Bu), 이소부틸 (i-Bu), s-부틸 (s-Bu), t-부틸 (t-Bu), 사이클로부틸, n-펜틸, 이소펜틸, s-펜틸, 네오펜틸, 헥실, 헵틸, 옥틸, 노닐, 데실, 도데실, 테트라데실, 헥사데실, 에이코실, 테트라코실, 등을 의미한다. 알킬기는 고리형 (예를 들어,C3 -24 사이클로알킬) 또는 비고리형일 수 있다. 알킬기는 분지되거나 분지되지 않을 수 있다. 알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬기는 본 명세서에 기술된 바와 같이, 알킬기가 하나 이상의 할로기들에 의해 치환된 할로알킬을 포함할 수 있다. 또 다른 예에서, 알킬기는 1, 2, 3 또는 2 개 이상의 탄소들의 알킬기들의 경우, 다음으로 구성된 그룹으로부터 독립적으로 선택된 4 개의 치환기들로 치환될 수 있다: (1) C1-6 알콕시 (예를 들어, -O-Ak, 여기서 Ak는 선택 가능하게 치환된 C1-6 알킬임); (2) 아미노 (예를 들어, -NRN1RN2, 여기서 RN1 및 RN2 각각은 독립적으로 H 또는 선택 가능하게 치환된 알킬이거나, RN1 및 RN2는 각각이 부착된 질소 원자와 함께, 헤테로사이크릴기를 형성함); (3) 아릴; (4) 아릴알콕시 (예를 들어, -O-Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴이다); (5) 아릴로일 (예를 들어, -C(O)-Ar, 여기서 Ar은 선택 가능하게 치환된 아릴); (6) 시아노 (예를 들어, -CN); (7) 카르복시알데하이드 (예를 들어, -C(O)H); (8) 카르복실 (예를 들어, -CO2H); (9) C3-8 사이클로알킬 (예를 들어, 1가 포화 또는 불포화 비방향족 고리형 C3-8 하이드로카본기); (10) 할로 (예를 들어, F, Cl, Br, 또는 I); (11) 헤테로사이크릴 (예를 들어, 달리 명시되지 않는 한, 질소, 산소, 인, 황, 또는 할로와 같은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자를 함유하는, 5-원 (membered) 고리, 6-원 고리 또는 7-원 고리); (12) 헤테로사이크릴옥시 (예를 들어, -O-Het, 여기서 Het는 본 명세서에 기술된 바와 같이, 헤테로사이크릴임); (13) 헤테로사이크릴로일 (예를 들어, -C(O)-Het, 여기서 Het는 본 명세서에 기술된 바와 같이, 헤테로사이크릴임); (14) 하이드록실 (예를 들어, -OH); (15) n-보호된 아미노; (16) 니트로 (예를 들어, -NO2); (17) 옥소 (예를 들어, =O); (18) -CO2RA, 여기서 RA는 (a) C1-6 알킬, (b) C4-18 아릴, 및 (c) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴) 로 구성된 그룹으로부터 선택됨; (19) -C(O)NRBRC, 여기서 RB 및 RC 각각은 독립적으로 (a) 수소, (b) C1-6 알킬, (c) C4-18 아릴, 및 (d) (C4-18 아릴) C1-6 알킬 (예를 들어, -Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴) 로 구성된 그룹으로부터 선택됨; 및 (20) -NRGRH, 여기서 RG 및 RH 각각은 독립적으로, (a) 수소, (b) N-보호기, (c) C1-6 알킬, (d) C2-6 알케닐 (예를 들어, 하나 이상의 이중 결합들을 갖는 선택 가능하게 치환된 알킬), (e) C2-6 알키닐 (예를 들어, 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 알킬), (f) C4-18 아릴, (g) (C4-18 아릴) C1-6 알킬 (예를 들어, Lk-Ar, 여기서 Lk는 선택 가능하게 치환된 알킬기의 2가 형태이고 Ar은 선택 가능하게 치환된 아릴임), (h) C3-8 사이클로알킬, 및 (i) (C3-8 사이클로알킬) C1-6 알킬 (예를 들어, -Lk-Cy, 여기서 Lk는 본 명세서에 기술된 바와 같이, 선택 가능하게 치환된 알킬기의 2가 형태이고, Cy는 선택 가능하게 치환된 사이클로알킬임) 로 구성된 그룹으로부터 선택되고, 일 실시 예에서, 2 개의 기가 카르보닐기를 통해 질소 원자에 바인딩되지 않는다. 알킬기는 하나 이상의 치환기들 (예를 들어, 하나 이상의 할로 또는 알콕시) 로 치환된 1 차, 2 차, 또는 3 차 알킬기일 수 있다. 일부 실시 예들에서, 치환되지 않은 알킬기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, 또는 C1-24 알킬기이다.
"알킬렌 (alkylene)"은 본 명세서에 기술된 바와 같이, 알킬기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적인 알킬렌기들은 메틸렌, 에틸렌, 프로필렌, 부틸렌, 등을 포함한다. 일부 실시 예들에서, 알킬렌기는 C1-3, C1-6, C1-12, C1-16, C1-18, C1-20, C1-24, C2-3, C2-6, C2-12, C2-16, C2-18, C2-20, 또는 C2-24 알킬렌기이다. 알킬렌기는 분지되거나 분지되지 않을 수 있다. 알킬렌기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알킬렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알키닐 (alkynyl)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기를 의미한다. 알키닐기는 고리형 또는 비고리형일 수 있고 에티닐, 1-프로피닐, 등으로 예시된다. 알키닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"알키닐렌 (alkynylene)"은 하나 이상의 삼중 결합들을 갖는 선택 가능하게 치환된 C2-24 알킬기인, 알키닐기의 다가 (예를 들어, 2가) 형태를 의미한다. 알키닐렌기는 고리형 또는 비고리형일 수 있다. 알키닐렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 알키닐렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 예시적인, 비제한적인 알키닐렌기들은 -C≡C- 또는 -C≡CH2-를 포함한다.
"아미노 (amino)"는 -NRN1RN2를 의미하고, 여기서 RN1 및 RN2 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이고, 또는 RN1 및 RN2는 각각이 부착된 질소 원자와 함께 본 명세서에 정의된 바와 같이 헤테로사이클릴기를 형성한다.
"아릴 (aryl)"은 이로 제한되는 것은 아니지만, 예를 들어, 인다닐, 테트라하이드로나프틸, 플루오레닐, 등과 같은 융합된 벤조-C4-8 사이클로알킬 라디칼들 (예를 들어, 본 명세서에 정의된 바와 같은) 을 포함하는, 페닐, 벤질, 안트라세닐, 안트릴, 벤조사이클로부테닐, 벤조사이클로옥테닐, 비페닐릴, 크리세닐, 디하이드로인데닐, 플루오란테닐, 인다세닐, 인데닐, 나프틸, 페난트릴, 페녹시벤질, 피세닐, 피레닐, 터페닐, 등을 포함하는 임의의 탄소계 방향족기를 포함하는 기를 의미한다. 용어 아릴은 또한 방향족기의 고리 내에 혼입된 적어도 하나의 헤테로 원자를 갖는 방향족기를 함유하는 기로서 정의되는, 헤테로아릴 (heteroaryl) 을 포함한다. 헤테로 원자들의 예들은 이로 제한되는 것은 아니지만, 질소, 산소, 황, 및 인을 포함한다. 유사하게, 용어 아릴에 또한 포함되는 용어 비헤테로 아릴 (non-heteroaryl) 은 헤테로 원자를 포함하지 않는 방향족기를 포함하는 기를 정의한다. 아릴기는 치환되거나 치환되지 않을 수 있다. 아릴기는 알킬에 대해 본 명세서에 기술된 임의의 것과 같은 1, 2, 3, 4, 또는 5 개의 치환기들로 치환될 수 있다.
"아릴렌 (arylenearylene)"은 본 명세서에 기술된 바와 같이, 아릴기의 다가 (예를 들어, 2가) 형태를 의미한다. 예시적인 아릴렌기들은 페닐렌, 나프틸렌, 비페닐렌, 트리페닐렌, 디페닐 에테르, 아세나프테닐렌, 안트릴렌, 또는 페난트릴렌을 포함한다. 일부 실시 예들에서, 아릴렌기는 C4-18, C4-14, C4-12, C4-10, C6-18, C6-14, C6-12, 또는 C6-10 아릴렌기이다. 아릴렌기는 분지되거나 분지되지 않을 수 있다. 아릴렌기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 아릴렌기는 알킬 또는 아릴에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"(아릴)(알킬)엔 ((aryl)(alkyl)ene)"은 본 명세서에 기술된 바와 같이 알킬렌 또는 헤테로알킬렌기에 부착된, 본 명세서에 기술된 바와 같은 아릴렌기를 포함하는 2가 형태를 의미한다. 일부 실시 예들에서, (아릴)(알킬)엔기는 -L-Ar- 또는 -L-Ar-L- 또는 -Ar-L-이고, 여기서 Ar은 아릴렌기이고 L은 각각 독립적으로, 선택 가능하게 치환된 알킬렌기 또는 선택 가능하게 치환된 헤테로알킬렌기이다.
"카르보닐 (carbonyl)"은 또한 >C=O로 나타낼 수 있는 -C(O)-기, 또는 -CO기를 의미한다.
"카르복실 (carboxyl)"은 -CO2H기를 의미한다.
"카르복시알킬 (carboxyalkyl)"은 본 명세서에 정의된 바와 같은 하나 이상의 카르복시기들에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"카르복시아릴 (carboxyaryl)"은 본 명세서에 정의된 바와 같은 하나 이상의 카르복시기들에 의해 치환된 본 명세서에 정의된 바와 같은 아릴기를 의미한다.
"사이클릭 안하이드라이드 (cyclic anhydride)"는 달리 명시되지 않는 한, 고리에 -C(O)-O-C(O)-기를 갖는, 3 원, 4 원, 5 원, 6 원 또는 7 원 고리 (예를 들어, 5 원, 6 원 또는 7 원 고리) 를 의미한다. 용어 "사이클릭 안하이드라이드"는 또한 상기 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리에 융합된 바이사이클릭 (bicyclic), 트리사이클릭 (tricyclic) 및 테트라사이클릭 (tetracyclic) 기들을 포함한다. 예시적인 사이클릭 안하이드라이드기들은, 하나 이상의 수소를 제거함으로써 숙신산 안하이드라이드 (succinic anhydride), 글루타르산 안하이드라이드 (glutaric anhydride), 말레산 안하이드라이드 (maleic anhydride), 프탈산 안하이드라이드 (phthalic anhydride), 이소크로만-1,3-디온 (isochroman-1,3-dione), 옥세판디온 (oxepanedione), 테트라하이드로프탈산 안하이드라이드 (tetrahydrophthalic anhydride), 헥사하이드로프탈산 안하이드라이드 (hexahydrophthalic anhydride), 피로멜리트산 디안하이드라이드 (pyromellitic dianhydride), 나프탈산 안하이드라이드 (naphthalic anhydride), 1,2-사이클로헥산디카복실산 안하이드라이드 (1,2-cyclohexanedicarboxylic anhydride), 등으로부터 형성된 라디칼을 포함한다. 다른 예시적인 사이클릭 안하이드라이드기들은 디옥소테트라하이드로푸라 닐, 디옥소디하이드로이소벤조푸라닐, 등을 포함한다. 사이클릭 안하이드라이드기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클릭 안하이드라이드기는 헤테로사이크릴에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로알케닐 (cycloalkenyl)"은 달리 명시되지 않는 한, 하나 이상의 이중 결합들을 갖는, 3 내지 8 개의 탄소들의 1가 불포화 비방향족 또는 방향족 사이클릭 하이드로카본기를 의미한다. 사이클로알케닐기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알케닐기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"사이클로알킬 (cycloalkyl)"은 달리 명시되지 않는 한, 3 내지 8 개의 탄소들의 1가 포화 또는 불포화 비방향족 또는 방향족 사이클릭 하이드로카본기를 의미하고, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 사이클로펜타디에닐, 사이클로헥실, 사이클로헵틸, 비사이클로[2.2.1.]헵틸 등으로 예시된다. 사이클로알킬기는 또한 치환되거나 치환되지 않을 수 있다. 예를 들어, 사이클로알킬기는 알킬에 대해 본 명세서에 기술된 것들을 포함하는 하나 이상의 기들로 치환될 수 있다.
"할로 (halo)"는 F, Cl, Br, 또는 I을 의미한다.
"할로알킬 (haloalkyl)"은 하나 이상의 할로로 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"헤테로알킬 (heteroalkyl)"은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자들 (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택됨) 을 함유하는, 본 명세서에 정의된 바와 같은 알킬기를 의미한다.
"헤테로알킬렌 (heteroalkylene)"은 1, 2, 3 또는 4 개의 비탄소 헤테로 원자들 (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택됨) 을 함유하는, 본 명세서에 정의된 바와 같은 알킬렌기의 2가 형태를 의미한다. 헤테로알킬렌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로알킬렌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"헤테로사이크릴 (heterocyclyl)"은 달리 명시되지 않는 한, (예를 들어, 질소, 산소, 인, 황, 셀레늄, 또는 할로로 구성된 그룹으로부터 독립적으로 선택된) 1, 2, 3, 또는 4 개의 비탄소 헤테로 원자들을 포함하는 3 원, 4 원, 5 원, 6 원 또는 7 원 고리 (예를 들어, 5 원, 6 원 또는 7 원 고리) 를 의미한다. 3 원 고리는 0 내지 1 개의 이중 결합들을 갖고, 4 원 및 5 원 고리는 0 내지 2 개의 이중 결합들을 갖고, 6 원 및 7 원 고리는 0 내지 3 개의 이중 결합들을 갖는다. 용어 "헤테로사이클릴"은 또한 상기 헤테로사이클릴 고리들 중 임의의 고리가 아릴 고리, 사이클로헥산 고리, 사이클로헥센 고리, 사이클로펜탄 고리, 사이클로펜텐 고리, 및 또 다른 모노사이클릭 헤테로사이클릭 고리, 예컨대 인돌릴, 퀴놀릴, 이소퀴놀릴, 테트라하이드로퀴놀릴, 벤조푸릴, 벤조티에닐, 등으로 구성된 그룹으로부터 독립적으로 선택된 1, 2, 또는 3 개의 고리들에 융합된 바이사이클릭 (bicyclic), 트리사이클릭 (tricyclic) 및 테트라사이클릭 (tetracyclic) 기를 포함한다. 헤테로사이클릭들은 아크리디닐, 아데닐, 알록사지닐, 아자아다만타닐, 아자벤즈이미다졸릴, 아자바이사이클로노닐, 아자사이클로헵틸, 아자사이클로옥틸, 아자사이클로노닐, 아자히폭산티닐, 아자인다졸릴, 아자인돌릴, 아제시닐, 아제파닐, 아제피닐, 아제티디닐, 아제틸, 아지리디닐, 아지리닐, 아조카닐, 아조시닐, 아조나닐, 벤즈이미다졸릴, 벤즈이소티아졸릴, 벤즈이속사졸릴, 벤조디아제피닐, 벤조디아조디아조시닐, 벤조디하이드로푸릴, 벤조디옥세피닐, 벤조디옥시닐, 벤조디옥사닐, 벤조디옥소시닐, 벤조디옥솔릴, 벤조디티에피닐, 벤조디티이닐, 벤조디옥소시닐, 벤조푸라닐, 벤조페나지닐, 벤조피라노닐, 벤조피라닐, 벤조피레닐, 벤조피로닐, 벤조퀴놀리닐, 벤조퀴놀리지닐, 벤조티아디아제피닐, 벤조티아디아졸릴, 벤조티아제피닐, 벤조티아조시닐, 벤조티아졸릴, 벤조티에닐, 벤조티오페닐, 벤조티아지노닐, 벤조티아지닐, 벤조티오피라닐, 벤조티오피로닐, 벤조트리아제피닐, 벤조트리아지노닐, 벤조트리아지닐, 벤조트리아졸릴, 벤족사티이닐, 벤조트리옥세피닐, 벤족사디아제피닐, 벤족사티아제피닐, 벤족사티아에피닐, 벤족사티오시닐, 벤족사제피닐, 벤족사지닐, 벤족사조시닐, 벤족사졸리노닐, 벤족사졸리닐, 벤족사졸릴, 벤질술타밀 벤질술티밀, 비피라지닐, 비피리디닐, 카르바졸릴 (예를 들어, 4H-카르바졸릴), 카르볼리닐 (예를 들어, β-카르볼리닐), 크로마노닐, 크로마닐, 크로메닐, 시놀리닐, 코우마리닐, 시트디닐, 사이토시닐, 데카하이드로이소퀴놀리닐, 데카하이드로퀴놀리닐, 디아자바이사이클로옥틸, 디아제틸, 디아지리디네티오닐, 디아지리디노닐, 디아지리디닐, 디아지리닐, 디벤즈이소퀴놀리닐, 디벤조아크리디닐, 디벤조카르바졸릴, 디벤조푸라닐, 디벤조페나지닐, 디벤조피라노닐, 디벤조피로닐 (잔토닐 (xanthonyl)), 디벤조퀴녹살리닐, 디벤조티아제피닐, 디벤조티에피닐, 디벤조티오페닐, 디벤조제피닐, 디하이드로아제피닐, 디하이드로아제틸, 디하이드로푸라닐, 디하이드로푸릴, 디하이드로이소퀴놀리닐, 디하이드로피라닐, 디하이드로피리디닐, 디하이드로이피리딜 (dihydroypyridyl), 디하이드로퀴놀리닐, 디하이드로티에닐, 디하이드로인돌릴, 디옥사닐, 디옥사지닐, 디옥신돌릴, 디옥시라닐, 디옥세닐 (dioxenyl), 디옥시닐 (dioxinyl), 디옥소벤조푸라닐, 디옥소릴 (dioxolyl), 디옥소테트라하이드로푸라닐, 디옥소티오모르폴리닐, 디티아닐, 디티아졸릴, 디티에닐, 디티이닐, 푸라닐, 푸라자닐, 푸로일, 푸릴, 구아니닐, 호모피페라지닐, 호모피페리디닐, 하이포잔티닐, 하이단토이닐, 이미다졸리디닐, 이미다졸리닐, 이미다졸릴, 인다졸릴 (예를 들어, 1H-인다졸릴), 인돌레닐, 인돌리닐, 인돌리지닐, 인돌릴 (예를 들어, 1H-인돌릴 또는 3H-인돌릴), 이사티닐, 이사틸, 이소벤조푸라닐, 이소크로마닐, 이소크로메닐, 이소인다조일 (isoindazoyl), 이소인돌리닐, 이소인돌릴, 이소피라졸로닐, 이소피라졸릴, 이속사졸리디닐, 이속사졸릴, 이소퀴놀리닐, 이소티아졸리디닐, 이소티아졸릴, 모르포리닐, 나프트인다졸릴, 나프트인돌일, 나프트이리디닐, 나프토피라닐, 나프토티아졸릴, 나프토티옥솔릴, 나프토트리아졸릴, 나프톡신돌릴, 나프트이리디닐, 옥타하이드로이소퀴놀리닐, 옥사바이사이클로헵틸, 옥사우라실, 옥사디아졸릴, 옥사지닐, 옥사지리디닐, 옥사졸리디닐, 옥사졸리도닐, 옥사졸리닐, 옥사졸로닐, 옥사졸릴, 옥세파닐 (oxepanyl), 옥세타노닐 (oxetanonyl), 옥세타닐, 옥세틸, 옥스테나일 (oxtenayl), 옥스인돌릴 (oxindolyl), 옥시라닐, 옥소벤조이소티아졸릴, 옥소크로메닐, 옥소이소퀴놀리닐, 옥소퀴놀리닐, 옥소티올라닐, 페난트리디닐, 페난트롤리닐, 페나지닐, 페노티아지닐, 페노티에닐 (벤조티오푸라닐 (benzothiofuranyl)), 페녹사티이닐, 페녹사지닐, 프탈라지닐, 프탈라조닐, 프탈리딜, 프탈이미디닐, 피페라지닐, 피페리디닐, 피페리도닐 (예를 들어, 4-피페리도닐), 프테리디닐 (pteridinyl), 퓨리닐, 피라닐, 피라지닐, 피라졸리디닐, 피라졸리닐, 피라졸로피리미디닐, 피라졸릴, 피리다지닐, 피리디닐, 피리도피라지닐, 피리도피리미디닐, 피리딜, 피리미디닐, 피리미딜, 피로닐, 피롤리디닐, 피롤리도닐 (예를 들어, 2-피롤리도닐), 피롤리닐, 피롤리지디닐, 피롤릴 (예를 들어, 2H-피롤릴), 피릴리움, 퀴나졸리닐, 퀴놀리닐, 퀴놀리지닐 (예를 들어, 4H-퀴놀리지닐), 퀴녹살리닐, 퀴누클리디닐, 셀레나지닐, 셀레나졸릴, 셀레노페닐, 숙신이미딜, 설포라닐, 테트라하이드로푸라닐, 테트라하이드로푸릴, 테트라하이드로이소퀴놀리닐, 테트라하이드로이소퀴놀릴, 테트라하이드로피리디닐, 테트라하이드로피리딜 (피페리딜), 테트라하이드로피라닐, 테트라하이드로피로닐, 테트라하이드로퀴놀리닐, 테트라하이드로퀴놀릴, 테트라하이드로티에닐, 테트라하이드로티오페닐, 테트라지닐, 테트라졸릴, 티아디아지닐 (예를 들어, 6H-1,2,5-티아디아지닐 또는 2H, 6H-1,5,2-디티아지닐), 티아디아졸릴, 티안트레닐, 티아닐, 티아나프테닐, 티아제피닐, 티아지닐, 티아졸리딘디오닐, 티아졸리디닐, 티아졸릴, 티에닐, 티에파닐, 티에피닐, 티에타닐, 티에틸, 티라닐, 티오카닐, 티오크로마노닐, 티오크로마닐, 티오크로메닐, 티오디아지닐, 티오디아졸릴, 티오인독실, 티오모르폴리닐, 티오페닐, 티오피라닐, 티오피로닐, 티오트리아졸릴, 티오우라졸릴, 티옥사닐, 티옥소릴, 타이미디닐, 타이미닐, 트리아지닐, 트리아졸릴, 트리티아닐, 우라지닐, 우라졸릴, 우레티디닐, 우레티닐, 우리실, 우리디닐, 잔테닐 (xanthenyl), 잔티닐, 잔티오닐, 등, 뿐만 아니라 이들의 개질된 형태들 (예를 들어, 하나 이상의 옥소 및/또는 아미노를 포함함) 및 이들의 염들을 포함한다. 헤테로사이클릴기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 헤테로사이크릴기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"하이드로카빌 (hydrocarbyl)"은 하이드로카본으로부터 수소 원자를 제거함으로써 형성된 1가 기를 의미한다. 비제한적인 치환되지 않은 하이드로카빌기들은 본 명세서에 정의된 바와 같이 알킬, 알케닐, 알키닐, 및 아릴을 포함하고, 이들 기들은 탄소 및 수소 원자만을 포함한다. 하이드로카빌기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 하이드로카빌기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다. 다른 실시 예들에서, 본 명세서의 임의의 알킬기 또는 아릴기는 본 명세서에 정의된 바와 같이 하이드로카빌기로 대체될 수 있다.
"하이드록실 (hydroxyl)"은 -OH를 의미한다.
"하이드록시알킬 (hydroxyalkyl)"은 단 하나의 하이드록실기는 알킬기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기에 의해 치환된, 본 명세서에 정의된 바와 같은 알킬기를 의미하고, 하이드록시메틸, 디하이드록시프로필, 등으로 예시된다.
"하이드록시아릴 (hydroxyaryl)"은 단 하나의 하이드록실기는 아릴기의 단일 탄소 원자에 부착될 수도 있다는 단서로, 1 내지 3 개의 하이드록실기에 의해 치환된, 본 명세서에 정의된 바와 같은 아릴기를 의미하고, 하이드록시페닐, 디하이드록시페닐, 등으로 예시된다.
"이소시아네이토 (isocyanato)"는 -NCO를 의미한다.
"옥시도 (oxido)"는 -O-기를 의미한다.
"옥소 (oxo)"는 =O기를 의미한다.
"포스핀 (phosphine)"은 하이드로카빌 모이어티들을 갖는 3가 또는 4가 인을 의미한다. 일부 실시 예들에서, 포스핀은 -PRP 3 기이고, 여기서 RP 각각은 독립적으로 H, 선택 가능하게 치환된 알킬, 또는 선택 가능하게 치환된 아릴이다. 포스핀기는 치환되거나 치환되지 않을 수 있다. 예를 들어, 포스핀기는 알킬에 대해 본 명세서에 기술된 바와 같이, 하나 이상의 치환기들로 치환될 수 있다.
"셀레놀 (selenol)"은 -SeH기를 의미한다.
"텔루롤 (tellurol)"은 -TeH기를 의미한다.
"티오이소시아네이토 (thioisocyanato)"는 -NCS를 의미한다.
"티올 (thiol)"은 -SH기를 의미한다.
본 명세서에 사용된 바와 같이, 용어들 "상단 (top)", "하단 (bottom)", "상부 (upper)", "하부 (lower)", "위 (above)" 및 "아래 (below)"는 구조체들 간의 상대적인 관계를 제공하도록 사용된다. 이들 용어들의 사용은 특정한 구조체가 장치의 특정한 위치에 위치되어야 한다는 것을 나타내거나 요구하지 않는다.
결론
예를 들어 EUV 패터닝의 맥락에서 패터닝 마스크를 형성하기 위해, 고 흡수성 EUV 재료들의 통합 및/또는 금속 옥사이드 포토레지스트들에 대한 표면 접착의 제어를 통해 기판 화학 물질을 튜닝하기 위한 프로세스 및 장치가 개시된다.
본 명세서에 기술된 예들 및 실시 예들은 단지 예시적인 목적들을 위한 것이고, 이 관점에서 다양한 수정들 또는 변화들이 당업자들에게 제안될 것이라는 것이 이해된다. 명확성을 위해 다양한 상세들이 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 개시는 본 명세서에 제공된 상세들로 제한되지 않고, 본 개시의 범위 내에서 수정될 수도 있다.
이하의 샘플 청구항들은 본 개시의 특정한 실시 예들의 추가 예시를 위해 제공된다. 본 개시는 이들 실시 예들로 제한되어야 하는 것은 아니다.

Claims (22)

  1. 패터닝 구조체를 제조하는 방법에 있어서,
    패턴을 수용하는 기판을 제공하는 단계;
    상기 기판의 표면 상에 방사선-흡수 층을 통합하는 단계;
    이미징 층 (imaging layer) 을 제공하는 단계로서, 상기 방사선-흡수 층은 상기 이미징 층의 방사선 흡수도 및/또는 패터닝 성능을 증가시키도록 상기 이미징 층 아래에 놓이는, 상기 이미징 층을 제공하는 단계를 포함하는, 패터닝 구조체 제조 방법.
  2. 제 1 항에 있어서,
    상기 이미징 층은 방사선-감응 (radiation-sensitive) 이미징 층, EUV (Extreme Ultraviolet)-감응 막, 포토레지스트 층, 하드 마스크, 또는 ALD (atomic layer deposition) 하드 마스크를 포함하는, 패터닝 구조체 제조 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 방사선-흡수 층은 요오드 (I), 인듐 (In), 주석 (Sn), 비스무트 (Bi), 안티몬 (Sb), 텔루륨 (Te), 이들의 옥사이드, 이들의 합금, 또는 이들의 조합을 포함하는, 패터닝 구조체 제조 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 방사선-흡수 층은 고 패터닝 방사선-흡수 단면적 (radiation-absorption cross-section) 을 갖는 제 1 원소를 포함하는, 패터닝 구조체 제조 방법.
  5. 제 4 항에 있어서,
    상기 이미징 층은 고 패터닝 방사선-흡수 단면적을 갖는 제 2 원소 및 패터닝 방사선에 대한 노출 하에서 절단 가능한 (cleavable) 모이어티 (moiety) 를 포함하는, 패터닝 구조체 제조 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 이미징 층을 제공하는 상기 단계 전에,
    상기 방사선-흡수 층의 표면에 할로, 알킬, 또는 할로알킬 모이어티들을 통합하는 단계를 더 포함하는, 패터닝 구조체 제조 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 통합하는 단계는,
    하나 이상의 전구체들의 스퍼터링, 물리적 기상 증착 (physical vapor deposition; PVD), 화학적 기상 증착 (chemical vapor deposition; CVD), 원자 층 증착 (atomic layer deposition; ALD), 플라즈마-기반 증착, 열-유도 분해 (thermal-induced decomposition), 또는 플라즈마-유도 분해에 의해 상기 방사선-흡수 층을 증착하는 단계를 포함하는, 패터닝 구조체 제조 방법.
  8. 제 7 항에 있어서,
    상기 통합하는 단계는,
    텔루륨 (Te) 을 포함하는 제 1 전구체 및 금속 옥사이드를 포함하는 제 2 전구체를 상기 기판의 상기 표면에 제공하여, 상기 기판 상에 상기 방사선-흡수 층을 증착하는 단계를 포함하고, 상기 제 1 전구체 및 상기 제 2 전구체 각각은 기상 (vapor phase) 으로 상기 기판에 제공되는, 패터닝 구조체 제조 방법.
  9. 제 8 항에 있어서,
    상기 제 1 전구체는 TeR2 또는 TeR4를 포함하고, 여기서 R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아릴, 하이드록실, 옥소, 또는 선택 가능하게 치환된 트리알킬실릴이고; 그리고 상기 제 2 전구체는 주석 옥사이드, 안티몬 옥사이드, 또는 비스무트 옥사이드를 포함하는, 패터닝 구조체 제조 방법.
  10. 제 7 항에 있어서,
    상기 통합하는 단계는,
    플라즈마 또는 열의 존재시 그리고 고 EUV-흡수 단면적을 갖는 원소를 포함하는 증기에 상기 기판의 상기 표면을 노출시켜, 상기 기판 상에 상기 방사선-흡수 층을 증착하는 단계를 포함하는, 패터닝 구조체 제조 방법.
  11. 제 10 항에 있어서,
    상기 증기는 요오드 (I), 요오드 가스 (I2), 디요오드메탄 (CH2I2), 주석 (Sn), 텔루륨 (Te), 또는 비스(알킬)텔루륨 (TeR2) 을 포함하는, 패터닝 구조체 제조 방법.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 방사선-흡수 층의 표면은 상기 패터닝 방사선에 대한 노출 하에서 절단될 수 있는 불안정한 (labile) 모이어티를 갖는 광 반응성 (photoresponsive) 표면을 더 포함하는, 패터닝 구조체 제조 방법.
  13. 제 12 항에 있어서,
    상기 통합하는 단계는,
    상기 기판의 표면 상에 상기 방사선-흡수 층을 증착하는 단계; 및
    상기 불안정한 모이어티를 포함하는 캡핑제를 사용하여 상기 방사선-흡수 층을 캡핑하는 단계를 포함하는, 패터닝 구조체 제조 방법.
  14. 제 13 항에 있어서,
    상기 방사선-흡수 층은 주석 옥사이드, 주석, 주석 합금, 비스무트 옥사이드, 또는 텔루륨을 포함하고; 그리고 상기 캡핑제는 알킬 치환된 금속-함유 전구체를 포함하는, 패터닝 구조체 제조 방법.
  15. 제 12 항에 있어서,
    상기 이미징 층을 제공하는 단계 후에,
    상기 방사선-흡수 층 및 상기 이미징 층을 패터닝된 방사선 노출에 노출하여, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 막을 제공하는 단계를 더 포함하고, 상기 방사선 노출 영역은 상기 방사선 비노출 영역과 비교하여 상기 방사선-흡수 층과 상기 이미징 층 사이의 향상된 접착을 특징으로 하는, 상기 노출 및 제공 단계; 및
    상기 패턴을 제공하기 위해 상기 노출된 막을 현상하여, 상기 방사선 비노출 영역을 제거하는 단계를 더 포함하는, 패터닝 구조체 제조 방법.
  16. 제 12 항에 있어서,
    상기 이미징 층을 제공하는 단계 전에,
    상기 방사선-흡수 층의 상기 광 반응성 표면을 패터닝된 방사선 노출에 노출하여, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 패터닝된 방사선-흡수 층을 제공하는 단계를 더 포함하고, 상기 방사선 노출 영역은 상기 방사선 비노출 영역과 비교하여, 상기 이미징 층의 증착을 위해 향상된 핵 생성을 특징으로 하는, 패터닝 구조체 제조 방법.
  17. 제 1 항 또는 제 2 항에 있어서,
    상기 방법은 상기 이미징 층을 제공하는 단계 후에,
    상기 방사선-흡수 층 및 상기 이미징 층을 패터닝된 방사선 노출에 노출시켜, 방사선 노출 영역 및 방사선 비노출 영역을 갖는 노출된 막을 제공하는 단계; 및
    상기 노출된 막을 현상하여, 상기 패턴을 제공하기 위해 상기 방사선 비노출 영역 또는 상기 방사선 노출 영역을 제거하는 단계를 더 포함하는, 패터닝 구조체 제조 방법.
  18. 제 1 항 또는 제 2 항에 있어서,
    상기 통합하는 단계는,
    화학식 (I) 또는 화학식 (II) 를 갖는 구조를 포함하는 하나 이상의 전구체들을 제공하는 단계를 포함하고,
    MaRb (I),
    여기에서:
    M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
    R 각각은 독립적으로 H, 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 사이클로알킬, 선택 가능하게 치환된 사이클로알케닐, 선택 가능하게 치환된 알케닐, 선택 가능하게 치환된 알키닐, 선택 가능하게 치환된 알콕시, 선택 가능하게 치환된 알카노일옥시, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 선택 가능하게 치환된 트리알킬실릴, 옥소, 음이온성 리간드, 중성 리간드, 또는 여러 자리 리간드 (multidentate ligand) 이고;
    a ≥ 1; 그리고 b ≥ 1이고, 또는
    MaRbLc (II),
    여기에서:
    M은 고 EUV 흡수 단면적을 갖는 금속 또는 원자이고;
    R 각각은 독립적으로 할로, 선택 가능하게 치환된 알킬, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 알콕시, 또는 L이고;
    L 각각은 독립적으로, 리간드, 음이온성 리간드, 중성 리간드, 여러 자리 리간드, 이온, 또는 대응-반응 물질과 반응성인 다른 모이어티이고, 여기서 R 및 L은 M과 함께 취해져 선택 가능하게 헤테로사이크릴기 (heterocyclyl group) 를 형성할 수 있거나 R 및 L은 함께 취해져 선택 가능하게 헤테로사이크릴기를 형성할 수 있고;
    a ≥ 1; b ≥ 1; 그리고 c ≥ 1인, 패터닝 구조체 제조 방법.
  19. 제 1 항 또는 제 2 항에 있어서,
    상기 통합하는 단계는,
    R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 또는 디케토네이트인, InR3;
    R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아미노, 선택 가능하게 치환된 아릴, 사이클로펜타디에닐, 또는 디케토네이트인, SnR2 또는 SnR4;
    R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 모노-C1-12 알킬아미노, 디-C1-12 알킬아미노, 선택 가능하게 치환된 아릴, 선택 가능하게 치환된 비스(트리알킬실릴)아미노, 또는 디케토네이트인, BiR3;
    R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 또는 선택 가능하게 치환된 아미노인, SbR3;
    R 각각은 독립적으로 할로, 선택 가능하게 치환된 C1-12 알킬, 선택 가능하게 치환된 C1-12 알콕시, 선택 가능하게 치환된 아릴, 하이드록실, 옥소, 또는 선택 가능하게 치환된 트리알킬실릴인, TeR2 또는 TeR4; 그리고
    R은 요오드 (I) 또는 선택 가능하게 치환된 C1-12 알킬인, RI로 구성된 그룹으로부터 선택된 하나 이상의 전구체를 제공하는 단계를 포함하는, 패터닝 구조체 제조 방법.
  20. 제 1 항 또는 제 2 항에 있어서,
    상기 이미징 층을 제공하는 단계는,
    상기 대응-반응 물질의 존재시 화학식 (I) 또는 화학식 (II) 을 갖는 구조를 포함하는 하나 이상의 전구체들을 제공하는 단계를 포함하고, 상기 대응-반응 물질은 산소 또는 칼코게나이드 (chalcogenide) 전구체를 포함하는, 패터닝 구조체 제조 방법.
  21. 패터닝 구조체에 있어서,
    패턴을 수용하는 기판;
    상기 기판의 표면 상의 이미징 층;
    상기 이미징 층 아래의 방사선-흡수 층으로서, 상기 방사선-흡수 층은 상기 이미징 층의 방사선 흡수도 및 패터닝 성능을 증가시키도록 구성되는, 상기 방사선-흡수 층을 포함하는, 패터닝 구조체.
  22. 기판을 프로세싱하기 위한 장치에 있어서,
    ⒜ 하나 이상의 프로세스 챔버들로서, 프로세스 챔버 각각은,
    척 또는 페데스탈;
    상기 프로세스 챔버들 및 연관된 플로우 제어 하드웨어 내로의 하나 이상의 가스 유입구들; 및
    상기 프로세스 챔버 및 연관된 플로우 제어 하드웨어로부터 재료들을 제거하기 위한 하나 이상의 가스 유출구들을 포함하는, 상기 프로세스 챔버들;
    ⒝ 적어도 하나의 프로세서 및 메모리를 갖는 제어기를 포함하고,
    상기 적어도 하나의 프로세서 및 상기 메모리는 서로 통신 가능하게 연결되고,
    상기 적어도 하나의 프로세서는 적어도 상기 플로우 제어 하드웨어와 동작 가능하게 연결되고, 그리고
    상기 메모리는 적어도 상기 플로우 제어 하드웨어를 제어하도록 상기 적어도 하나의 프로세서를 제어하고 제 1 항 내지 제 20 항 중 어느 한 항을 유발하기 위한 컴퓨터 실행 가능 인스트럭션들을 저장하는, 기판 프로세싱 장치.
KR1020227014447A 2019-10-02 2020-10-01 고성능 euv 포토레지스트들을 위한 고 euv 흡수제들을 사용한 기판 표면 개질 KR20220076488A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962909430P 2019-10-02 2019-10-02
US62/909,430 2019-10-02
PCT/US2020/053856 WO2021067632A2 (en) 2019-10-02 2020-10-01 Substrate surface modification with high euv absorbers for high performance euv photoresists

Publications (1)

Publication Number Publication Date
KR20220076488A true KR20220076488A (ko) 2022-06-08

Family

ID=75337558

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227014447A KR20220076488A (ko) 2019-10-02 2020-10-01 고성능 euv 포토레지스트들을 위한 고 euv 흡수제들을 사용한 기판 표면 개질

Country Status (7)

Country Link
US (1) US20220365434A1 (ko)
EP (1) EP4038454A4 (ko)
JP (1) JP2022550568A (ko)
KR (1) KR20220076488A (ko)
CN (1) CN114730133A (ko)
TW (1) TW202129421A (ko)
WO (1) WO2021067632A2 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
JP2023501588A (ja) * 2019-11-12 2023-01-18 アプライド マテリアルズ インコーポレイテッド 低減された水素堆積方法
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
CN115398347A (zh) * 2020-02-04 2022-11-25 朗姆研究公司 提高含金属euv抗蚀剂干式显影性能的涂敷/暴露后处理
US11886120B2 (en) * 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
TW202340879A (zh) * 2021-12-16 2023-10-16 美商蘭姆研究公司 高吸收性含金屬光阻的顯影策略
US20230280644A1 (en) * 2022-03-03 2023-09-07 International Business Machines Corporation Method of making euv mask with an absorber layer
WO2024070833A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057587A (en) * 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
CN102610516B (zh) * 2011-07-22 2015-01-21 上海华力微电子有限公司 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
US8968989B2 (en) * 2011-11-21 2015-03-03 Brewer Science Inc. Assist layers for EUV lithography
US8691476B2 (en) * 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
EP3451059A4 (en) * 2016-04-28 2019-04-03 Mitsubishi Gas Chemical Company, Inc. COMPOSITION FOR FORMING A RESISTANT LAYER, LITHOGRAPHY LAYER AND STRUCTURAL FORMING METHOD
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
WO2020102085A1 (en) * 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Also Published As

Publication number Publication date
US20220365434A1 (en) 2022-11-17
WO2021067632A2 (en) 2021-04-08
EP4038454A4 (en) 2023-10-25
EP4038454A2 (en) 2022-08-10
TW202129421A (zh) 2021-08-01
CN114730133A (zh) 2022-07-08
WO2021067632A3 (en) 2021-05-14
JP2022550568A (ja) 2022-12-02

Similar Documents

Publication Publication Date Title
US20220299877A1 (en) Positive tone development of cvd euv resist films
US20220365434A1 (en) Substrate surface modification with high euv absorbers for high performance euv photoresists
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230259025A1 (en) Dry deposited photoresists with organic co-reactants
US20230266664A1 (en) Photoresists from sn(ii) precursors
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230288798A1 (en) Photoresists containing tantalum
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
US20240192590A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202344921A (zh) 有機金屬光阻的水性酸顯影或處理
KR20230146029A (ko) 양자 효율 포토레지스트 및 이의 방법