TW202129421A - 利用高效能極紫外光光阻用高極紫外光吸收劑的基板表面改質 - Google Patents

利用高效能極紫外光光阻用高極紫外光吸收劑的基板表面改質 Download PDF

Info

Publication number
TW202129421A
TW202129421A TW109134377A TW109134377A TW202129421A TW 202129421 A TW202129421 A TW 202129421A TW 109134377 A TW109134377 A TW 109134377A TW 109134377 A TW109134377 A TW 109134377A TW 202129421 A TW202129421 A TW 202129421A
Authority
TW
Taiwan
Prior art keywords
optionally substituted
radiation
layer
euv
substrate
Prior art date
Application number
TW109134377A
Other languages
English (en)
Inventor
凱蒂 林恩 納迪
蒂莫西 威廉 魏德曼
吳呈昊
凱文 李 古
博里斯 佛洛斯基
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202129421A publication Critical patent/TW202129421A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明係關於具有輻射吸收層及成像層之圖案化結構以及其方法與設備。在特定實施例中,輻射吸收層使成像層之輻射吸收率及/或圖案化效能增強。

Description

利用高效能極紫外光光阻用高極紫外光吸收劑的基板表面改質
本發明總體上是關於半導體處理領域。在特定態樣中,本發明係關於具有輻射吸收層及成像層之圖案化結構及其方法與設備。在一些實施例中,輻射吸收層使成像層之輻射吸收率及/或圖案化效能增強。
隨著半導體製造持斷發展,特徵部尺寸不斷縮小,且需新的處理方法。正進展之領域係在圖案化方面,例如使用對微影輻射敏感之光阻材料。
本文所提供的背景係為了概述本發明脈絡之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為相對於本發明的先前技術。
本文之諸多實施例係關於在基板上沉積光阻材料的方法、材料、設備及系統。
在第一態樣中,本發明包括製造圖案化結構的方法,該方法包括 : 提供一基板以接收圖案;以及將一輻射吸收層結合至基板之表面上;提供一成像層,其中該輻射吸收層位於該成像層底下,以增高成像層之輻射吸收率及/或圖案化效能。
在一些實施例中,成像層包括輻射敏感成像層、極紫外(EUV)敏感膜、光阻層、硬遮罩或原子層沉積(ALD)硬遮罩。在其他實施例中,輻射吸收層包括碘(I)、銦(In)、錫(Sn)、鉍(Bi)、銻(Sb)、碲(Te)、其氧化物、其合金、或其組合。在特定實施例中,輻射吸收層包括一第一元素,其具有高圖案化輻射吸收橫截面。在進一步實施例中,成像層包括第二元素,其具有高圖案化輻射吸收截面及暴露於圖案化輻射下為可裂解之部分。第一及第二元素可為相同或不同。
在一些實施例中,該方法進一步包括(例如,在所述提供成像層之前) : 將鹵素、烷基或鹵代烷基部分結合至輻射吸收層的表面。
在其他實施例中,所述結合包括 : 透過一或更多前驅物之濺鍍、物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、基於電漿的沉積、熱誘導分解、或電漿誘導分解來沉積輻射吸收層。
在一些實施例中,所述結合包括 : 提供包含碲(Te)之第一前驅物及包含金屬氧化物之第二前驅物至基板之表面,其中第一及第二前驅物各自以氣相提供至基板,因而沉積輻射吸收層於基板上。在一些實施例中,第一前驅物包含TeR2 或TeR4 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷基、視情況取代之C1-12 烷氧基、視情況取代之芳基、羥基、側氧基或視情況取代之三烷基甲矽烷基;而第二前驅物包括氧化錫、氧化銻或氧化鉍。
在其他實施例中, 所述結合包括 : 在電漿或熱存在下,將基板之表面暴露於包含具有高EUV吸收截面之元素的蒸氣,因而沉積輻射吸收層於基板上。在特定實施例中,蒸氣包括碘(I)、碘氣(I2 )、二碘甲烷(CH2 I2 )、錫(Sn)、碲(Te)、或雙(烷基)碲(TeR2 )。
在一些實施例中,輻射吸收層的表面進一步包括具有不穩定部分之光響應表面,該不穩定部分暴露於圖案化輻射下為可裂解。在特定實施例中,所述結合包括 : 沉積輻射吸收層於基板之表面上;以及利用包含有該不穩定部分之覆蓋劑來覆蓋輻射吸收層。在進一步實施例中,輻射吸收層包括氧化錫、錫、錫合金、氧化鉍或碲;且其中覆蓋劑包括經烷基取代之含金屬前驅物(例如,本文所述之任一者)。
在另其他實施例中,該方法進一步包括 (例如,在所述提供成像層之後) : 將輻射吸收層及成像層暴露於圖案化輻射曝光,因而提供具有輻射曝光區及輻射未曝光區的曝光膜;以及對曝光膜顯影,因而去除輻射未曝光區以提供圖案。在一些實施例中,相較於輻射未曝光區,輻射曝光區之特徵在於輻射吸收層與成像層之間增強的黏附。
在其他實施例中,該方法進一步包括 (例如,在所述提供成像層之前) : 將輻射吸收層的光響應表面暴露於圖案化輻射曝光,因而提供具有輻射曝光區及輻射未曝光區之圖案化輻射吸收層,相較於輻射未曝光區。在一些實施例中,輻射曝光區之特徵在於增強的成核作用以沉積成像層。
在一些實施例中,該方法進一步包括 (例如,在所述提供成像層之後) : 將輻射吸收層及成像層暴露於圖案化輻射曝光,因而提供具有輻射曝光區及輻射未曝光區的曝光膜;對曝光膜顯影,因而去除輻射未曝光區或輻射曝光區以提供圖案。
在第二態樣中,本發明包括一圖案化結構,其包括 : 一基板以接收圖案;一成像層於基板之表面上;一輻射吸收層於成像層下方。在一些實施例中,輻射吸收層配置成增強成像層之輻射吸收率及圖案化效能。
在第三態樣中,本發明包括處理基板之設備,該設備包括 : (a)一或更多製程腔室,其配置成固持基板;以及(b)一控制器,具有至少一處理器及一記憶體,其中該控制器配置成引起本文所述之任一方法。
在一實施例中,每一製程腔室包括吸盤或基座。在其他實施例中,該設備包括往該製程腔室之一或更多氣體入口及相關流量控制硬體;一或更多氣體出口,用於將材料從製程腔室及相關流量控制硬體去除。
在特定實施例中,至少一處理器與記憶體彼此通訊連接,且至少一處理器至少可操作地與流量控制硬體連接。在進一步實施例中,記憶體儲存電腦可執行指令,用於控制至少一處理器以至少控制流量控制硬體,且用於引起本文所述之任一方法。
在第四態樣中,該設備包括一沉積模組;一圖案化模組;一顯影模組;以及一控制器,其包括一或更多記憶體裝置、一或更多處理器、以及編有指令(包括機器可讀指令)之系統控制軟體。
在一些實施例中,沉積模組包括用於沉積輻射敏感膜(例如,EUV敏感膜)、輻射吸收層、或成像層的腔室。在其他實施例中,圖案化模組包括具有小於300 nm波長輻射源之黃光微影工具 (例如,其中該源可為小於30 nm波長輻射源)。在另其他實施例中,顯影模組包括用於對阻膜顯影的腔室。
在特定實施例中,控制器指令包括用於(例如,在沉積模組中)引起輻射吸收層及成像層沉積於基板之頂表面上以形成膜(例如,輻射敏感膜)的機器可讀指令。在其他實施例中,控制器指令包括用於 (例如在圖案化模組中)引起以小於300 nm之解析度(例如,或具有小於30 nm之解析度)直接透過圖案化輻射曝光而對膜進行圖案化因而形成具有輻射曝光區及輻射未曝光區之曝光膜的機器可讀指令。在另其他實施例中,曝光膜具有EUV曝光區及EUV未曝光區。在特定實施例中,控制器指令包括用於 (例如在顯影模組中)引起對曝光膜顯影以去除輻射曝光區或輻射未曝光區以在阻膜內提供圖案的機器可讀指令。在其他特定實施例中,機器可讀指令包括用於引起去除EUV曝光區或EUV未曝光區域的指令。
在一些實施例中,用於引起沉積吸收層及/或成像層之機器可讀指令進一步包括 : 用於引起具有高圖案化輻射吸收截面之元素沉積的指令。在特定實施例中,該元素具有高EUV吸收截面。
在一些實施例中,該設備可進一步包括 : 清潔模組(例如,包括用於清潔基板或膜的腔室)。在特定實施例中,控制器指令包括用於(例如,在清潔模組中)在所述沉積之後引起清潔半導體基板之背側表面或斜邊及/或在所述沉積之後引起去除膜之邊緣珠的機器可讀指令。
在一些實施例中,該設備可進一步包括 : 烘烤模組。在特定實施例中,控制器指令包括用於(例如,在烘烤模組中)在所述沉積之後引起對膜烘烤及/或在所述圖案化之後引起對曝光膜烘烤的機器可讀指令。
在一些實施例中,該設備可進一步包括 : 蝕刻模組。在特定實施例中,控制器指令包括用於(例如在蝕刻模組中)在所述圖案化之後引起蝕刻或去除曝光膜(例如,去除吸收層及/或成像層之曝光區或未曝光區)及/或基板 (例如,去除吸收層之曝光區或未曝光區底下基板的一部分)。
在本文之任何實施例中,吸收層級/或成像層包括EUV敏感膜、DUV敏感膜、UV敏感膜、光阻膜、可光圖案化膜、或光響應黏附膜。
在本文之任一實施例中,吸收層及/或成像層包括具有高圖案化輻射吸收截面之金屬或原子。在特定實施例中,金屬或原子包括高EUV吸收截面。在其他實施例中,含金屬層包括錫(Sn) 、鉍(Bi) 、碲(Te) 、銫(Cs) 、銻(Sb) 、銦(In) 、鉬(Mo) 、鉿(Hf) 、碘(I) 、鋯(Zr)、鐵(Fe)、鈷(Co) 、鎳(Ni) 、銅(Cu) 、鋅(Zn) 、銀(Ag) 、鉑(Pt)或鉛(Pb) ,以及其組合。在一些實施例中,吸收層及/或成像層為光敏層。
在本文之任一實施例中,前驅物包括具有高圖案化輻射吸收截面之金屬或原子。在特定實施例中,金屬或原子包括高EUV吸收截面(例如,等於或大於1x107 cm2 /mol)。在其他實施例中,前驅物包括Sn、Bi、Te、Cs、Sb、In、Mo、Hf、I、Zr、Fe、Co、Ni、Cu、Zn、Ag、Pt或Pb,以及其組合。在另其他實施例中,前驅物為高光吸收前驅物(例如,具有高比爾吸收係數α,包括大於約6 µm-1 或本文所述之其他值的α)。
在本文之任一實施例中,所述結合吸收層及/或所述提供成像層包括提供一或更多前驅物。非限定前驅物包括具有式(I )、(II )、(IIa )、(III )、(IV )、(V )、(VI )、(VII )、或(VIII )的結構。在特定非限定實施例中,用於吸收層之前驅物包括具有式(I )、(II )、(III )、(IV )、(V )、(VI )、(VII )、或(VIII )的結構。在其他實施例中,用於成像層之前驅物包括具有式 (II )、(IIa )、(VII )、或(VIII )的結構。
在本文之任一實施例中,所述結合吸收層包括提供一或更多前驅物,其包括銦前驅物(例如,如本文所述之InR3 )、錫前驅物(例如,如本文所述之SnR2 或SnR4 )、鉍前驅物(例如,如本文所述之BiR3 )、銻前驅物(例如,如本文所述之SbR3 )、碲前驅物(例如,如本文所述之TeR2 或TeR4 )、或碘前驅物(例如,如本文所述之過碘酸鹽或RI)。
在本文之任一實施例中,所述提供成像層包括在相對反應物存在下提供一或更多前驅物(例如,本文所述之任一者,例如包括具有式(I )或(II )結構之彼者) 。非限定相對反應物包括含氧之相對反應物,包括氧(O2 )、臭氧(O3 )、水、過氧化物、過氧化氫、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟代二羥基醇、氟代多羥基醇、氟代二醇、甲酸及其他羥基部分之來源、以及其組合。另其他非限定相對反應物包括具有式ZR2 之硫屬化物前驅物,其中Z為硫、硒或碲;且其中每一R獨立為H、視情況取代之烷基(例如甲基、乙基、正丙基、異丙基、正丁基、叔丁基等)、視情況取代之烯基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或視情況取代之三烷基甲矽烷基。
在本文之任一實施例中,採用單個前驅物來沉積層(例如,吸收層或成像層)。在其他實施例中,採用兩個或更多不同前驅物來沉積該層。
在本文之任一實施例中,沉積包括以蒸氣形式提供或沉積金屬前驅物。在其他實施例中,沉積包括以蒸氣形式提供一或更多相對反應物。在特定實施例中,沉積包括CVD、ALD或其電漿增強形式。
在本文之任一實施例中,層之沉積進一步包括提供相對反應物。 非限定相對反應物包括含氧之相對反應物或硫屬化物前驅物,包括O2 、O3 、水、過氧化物、過氧化氫、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟化二羥基醇、氟化多羥基醇、氟化二醇、甲酸、其他羥基部分之來源、及ZR2 (例如,其中Z為S、Se或Te;且每一R獨立為H、視情況取代之烷基、視情況取代之烯基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或視情況取代之三烷基甲矽烷基)以及其組合。
本發明之其他特徵及優點將根據以下描述及請求項變得顯而易見。
在此詳細參考本發明之具體實施例。具體實施例之示例於隨附圖式中示出。儘管本發明將結合此些具體實施例加以描述,但將理解的是,其並非用於將本發明限制於此等具體實施例。相反地,其旨在於涵蓋可包含於本發明之精神及範疇內的替代、修改及均等者。於下文描述中,闡述了許多具體細節以對本發明提供透徹的瞭解。本發明可在沒有此些具體細節之一些或全部者下實施。在其他情況下,不再詳細描述眾所周知的製程操作,以免不必要地模糊本發明。
半導體處理中之薄膜的圖案化經常是半導體製造中的重要步驟。圖案化涉及微影。在習知黃光微影中(例如193 nm黃光微影),印刷圖案係透過從光子源發射光子至遮罩上並將圖案轉印至光敏光阻上,因而在光阻中引起化學反應,其在顯影後去除光阻的某些部分,以形成圖案。
先進技術節點(如國際半導體技術發展路線圖所定義)包括節點22 nm、16 nm或以外。例如,在16 nm節點中,鑲嵌(Damascene)結構中典型貫孔或線的寬度通常不大於約30 nm。先進半導體積體電路(IC)及其他裝置上特徵部的微縮正推動微影以改善解析度。
極紫外光(EUV)微影可透過移動至比習知黃光微影方法所能達到之更小成像源波長來擴展微影技術。約10-20 nm或11-14 nm波長(例如13.5 nm波長)之EUV光源可用於導緣(leading-edge)微影工具,亦稱為掃描儀。EUV輻射在廣泛範圍之固體及流體材料(包括石英和水蒸氣)中被強吸收,因此在真空中操作。
傳統有機化學放大阻劑(CAR)在使用於EUV微影中時有一些缺點,尤其是在EUV區域中有低吸收係數及光活化化學物種之擴散。為了克服低吸收係數,需相對厚的CAR膜,但存在圖案塌陷的風險。再者,酸擴散過程期間寬的清除半徑導致圖案化CAR膜中有相對高的線粗糙度。淬熄劑可用於減小酸擴散半徑,但有降低敏感性的代價。如此一來,現今CAR之微影效能不能達到所欲之EUV微影效能。
含有混合在有機成分中之金屬及/或金屬氧化物的直接可光圖案化EUV阻劑因其可增強EUV光子吸收並產生二次電子及/或相對於底層膜堆及裝置層呈現較大蝕刻選擇性而頗具潛力。有機金屬阻劑(例如可獲自科瓦利斯-奧勒岡州之Inpria公司)具有實質上更高之吸收係數,並可顯著地更薄,且仍提供良好的抗蝕刻性。現今,用於高解析度圖案化應用之所有市售EUV光阻皆為基於溶液(濕式)的旋塗製劑。然而, 旋塗製劑一般是產生空間同質(spatially homogenous)膜,其會受到取決於深度(depth-dependent)之EUV劑量影響;一旦材料開始吸收約30%或更多之入射EUV光子,則不可避免地會有更少的光子進入膜的底部,以誘導允予選擇性顯影所需之化學作用。此概念示於 1A-1B 中,如本文進一步描述。旋塗製劑之另一潛在缺點可能是溶液的不穩定性及/或旋塗期間因環境變量而引起之膜效能變化。
基於金屬-有機之阻劑的乾式沉積亦已描述於例如2019年5月9日申請且標題為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」之先前的國際申請案PCT/US19/31618中(公開為國際公開案第WO2019/217749號),其關於直接可光圖案化之基於金屬-有機之金屬氧化物膜的組成、沉積及圖案化以形成EUV阻劑遮罩的揭示內容皆併於此作為參考。在大多數或所有此些基於金屬-有機之阻劑中,金屬中心(主要是Sn)除外之所有其他元素有低的EUV吸收截面。將其他高EUV吸收元素引入阻劑中作為替代金屬中心或作為相對反應物之一部分,或透過用氟或碘取代有機基團上的氫,即可進一步增加PR中之EUV吸收,因而進一步減少圖案化所需之EUV劑量,而吾等先前的成果已探討此些可能性。
透過提高敏感性、減小線邊緣粗糙度及/或改善解析度(在本文中稱為“z因子”),得以預期EUV微影有機金屬阻劑效能可獲得進一步改善。本發明解決有機金屬阻膜之底層問題,且概述了改善此些阻劑之敏感性的方法,其係透過將具有高EUV吸收之元素結合至膜之光活性層下方以增加EUV光阻之EUV吸收率及圖案化效能。所述之組成、結構及方法可應用於乾式沉積之有機金屬光阻方案以及其他競爭方案,例如CAR及旋塗金屬有機膜。圖案化結構
本文之圖案化結構(或膜)可包括基板之表面上的成像層及在成像層下方之輻射吸收層。在特定實施例中,輻射吸收層的存在使成像層之輻射吸收率及/或圖案化效能增強。
一般而言,穿過層之光子吸收取決於深度。當同質層或膜暴露於輻射時,由於較少光子到達該下部,故該層之下部比同一層之上部暴露於更低劑量的輻射。因此,為了確保層的整個深度上有足夠且均勻曝光,該層必須提供足夠的輻射透射率。 如 1A 中所見,同質成像層102設置於基板101之頂表面上。在暴露於輻射時,穿過同質層之光吸收105取決於深度,且朝向基板(在層102的上部)處有較低值105a,而遠離基板(在層102的上部)處則有較高值105b。
透過在成像層下方使用輻射吸收層,則可增加穿過成像層之輻射吸收。例如,透過在膜的底部處提供吸收層(其相對於成像層具有較高密度之具高EUV吸收率的原子),則變得可更有效地利用可用的EUV光子,並朝圖案化結構之底部更均勻地分佈吸收(以及二次電子的影響)。 此外,在一些實例中,吸收層可有效地產生更多的二次電子,其可更佳地對圖案化結構的下部進行曝光。
1B 中所見,圖案化結構可包括成像層112,以及在成像層112下方並於基板111上方之輻射吸收層113。相較於缺少吸收層之結構,層112之下部115a與上部115b之間的光吸收可更加均勻。在暴露於輻射時,穿過該層之光吸收115受到吸收層113的影響,其可導致額外之二次電子114的產生,該二次電子可輔助成像層之底部的輻射曝光。以此方式,相較於缺乏吸收層之成像層中的光吸收,光吸收115可獲改善。
吸收層可包括具有高光吸收截面之元素(例如,金屬原子或非金屬原子)(或高吸收元素),例如I、In、Sn、Sb、Bi及/或Te。可以任何有用的方式提供一層此等元素,例如利用一或更多前驅物(例如本文所述之任一者)之濺鍍沉積、包括PVD共濺鍍之物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿增強CVD(PE-CVD)、低壓CVD(LP-CVD)、原子層沉積(ALD(例如,熱ALD及電漿增強ALD(PE-ALD))、旋塗沉積、基於電漿之沉積、熱誘導分解、電漿誘導分解、包括e束共蒸鍍之電子束(e束)沉積等或其組合。吸收層可為設置在基板表面上之光阻層或表面改質層。
吸收層與成像層之一或兩者可包括高吸收元素。在一實例中,吸收層與成像層兩者均包括高吸收元素。吸收層與成像層之每一者中的元素可為相同或不同。
在特定非限定實例中,相較於成像層,吸收層之特徵在於具有增大的輻射吸收率。例如,吸收層可包括較高比例之未鍵結至大體積末端取代基之EUV吸收物種。在另一實例中,吸收層可包括具有較高吸收係數之元素,其高於成像層中存在之元素。例如,成像層可包括Sn,而吸收層可包括Te(例如,單獨Te或Te與Sn的混合物)。在又一實例中,吸收層中高吸收元素之濃度係高於成像層中。
吸收層除了調節光子或輻射吸收之外,還可提供其他功能。在一實例中,吸收層可為光響應黏附層,其中暴露於輻射可增強對上覆成像層之黏附。在輻射曝光之前,吸收層之頂表面可包括不穩定部分(moiety),其在暴露於圖案化輻射下為可裂解。曝光後,不穩定部分可提供反應中心,其接著參與吸收層與成像層之間共價鍵的形成。以此方式,吸收層之頂表面可被表徵為光響應表面,且吸收層本身可表徵為光響應黏附層。
2 提供使用具有光響應黏附層之圖案化結構的非限定方法200。非限定圖案化結構可包括基板211、成像層212及成像層212下方之吸收層213。可看出,吸收層213上亦存在光響應表面214。包括此等層之圖案化結構可以任何有用的方式形成,如本文所述。在一實施例中,成像層為輻射敏感成像層、EUV敏感膜或光阻層。
在操作201中,將圖案化結構暴露於圖案化輻射曝光下,以提供具有輻射曝光區212a及輻射未曝光區212b之曝光膜,其中圖案化可包括使用具有輻射透光區及輻射不透光區之遮罩224,其中輻射束225透射穿過輻射透光區,進入成像層212,並進一步進入吸收層213。
輻射亦可對吸收層213之光響應表面214圖案化。可看出,光響應表面包括輻射曝光區214a及輻射未曝光區214b。在輻射曝光區214a中,在成像層212與吸收層213之間提供增強的黏附。在特定實施例中,增強的黏附可降低所需的輻射劑量,以在成像層及/或吸收層內提供所欲之圖案化特徵部。
可選地,在操作202中,可烘烤曝光層,其可進一步促進吸收層與成像層之間的接合及/或增加曝光層之蝕刻選擇性的對比。對圖案化結構進行顯影203可導致選擇性地去除成像層212之輻射未曝光區212b並保持輻射曝光區212a。所得之圖案化結構可包括成像層之曝光部分212a、底下圖案化吸收層213及基板211。可選地,此等顯影可導致選擇性地去除吸收層213之一部分,例如選擇性地去除成像層214之輻射未曝光區214b並保持輻射曝光區214a。
3 提供製造具有光響應黏附層之圖案化結構的非限定方法300。此等方法300可包括提供基板311,其具有設置在基板311表面上之吸收層313。
在操作301中,吸收層313之光響應表面314被圖案化,以提供圖案化吸收層。可看出,光響應表面314可包括輻射曝光區314a及輻射未曝光區314b。此等圖案化可包括將光響應表面暴露於圖案化輻射曝光,因而從表面釋出不穩定部分並提供反應性中心。在特定實施例中,相較於輻射未曝光區,反應中心可提供具有增強成核作用之表面以用於成像層的沉積。可選地,可處理反應中心(例如,透過氧化或透過與本文所述之空氣、水或相對反應物反應),以提供進一步的反應中心,其提供增強的成核作用。非限定的反應中心及進一步反應中心可包括M-H鍵或M-OH鍵,其中M為金屬。可選地,可烘烤圖案化吸收層,其可進一步促進吸收層與成像層之間的接合及/或增加曝光層之蝕刻選擇性的對比。
在操作302中,吸收層上之進一步表面成像可提供硬遮罩315。表面成像可包括選擇性沉積於吸收層之輻射曝光區314a上。此等選擇性沉積可包括選擇性ALD、選擇性CVD、選擇性化學沉積(ELD)、聚合物之選擇性沉積、嵌段共聚物(BCP)之定向自組裝(DSA)、或溶膠-凝膠之定向自組裝,如本文所述。
在操作303中,進一步處理可提供包括硬遮罩315、蝕刻基板311a及蝕刻吸收層313a之圖案化結構。在沉積硬遮罩315之後,進一步的步驟可包括例如透過使用氧電漿蝕刻底下吸收層及基板。
本發明涵蓋採用具有吸收層之圖案化結構的任何方法,其中製造及/或使用此等結構之此等方法。在一實施例中,製造圖案化結構的方法包括 : 提供基板以接收圖案;將吸收層結合於基板之頂表面上;以及提供一成像層,其中吸收層位於成像層底下以增加成像層之輻射吸收率及/或圖案化效能。
吸收層可包括高吸收元素,其可透過使用一或更多前驅物(例如,本文所述之任一者)來沉積。吸收層可主要包括高吸收元素。在一實施例中,吸收層包括I、In、Sn、Bi、Sb、Te或其組合。在另一實施例中,採用單一前驅物。在又另一實施例中,採用兩者或更多前驅物。可選地,前驅物與一或更多相對反應物一起使用,如本文所述。沉積可包括例如ALD或CVD。如 4 所見,吸收層可包括使用非限定之基於Te的前驅物(例如,雙(三甲基甲矽烷基)碲,1)及非限定之有機錫前驅物(例如,四(叔丁氧基)錫,2),以提供具有三甲基甲矽烷基表面之SnTe材料(3),其可進一步反應(例如,與相對反應物或醇),以去除矽烷基。
吸收層之表面可包括不穩定部分,例如鹵素、烷基(例如,支鏈烷基)、鹵代烷基或本文所述作為式(I )或(II )之R的任一者。 5 示出採用非限定之圖案化結構的方法500,該結構包括基板511、設置在基板511之頂表面上的吸收層513以及成像層512。非限定吸收層包括具有不穩定部分R'之Te,且非限定成像層包括具有不穩定部分R之氧化錫膜。
不穩定部分R'可提供鈍化表面,其可在暴露於輻射時被活化。在操作501中, 圖案化結構暴露於圖案化輻射曝光,以提供具有輻射曝光區512a及輻射未曝光區512b之曝光膜於成像層512中, 以及輻射曝光區513a及輻射未曝光區513b於吸收層513中。由於輻射曝光,不穩定部分R及R'被去除或裂解,因而提供金屬反應中心,即成像層512中之Sn及吸收層513中之Te,其可反應以形成金屬-金屬(M-M )鍵(此處為Sn-Te鍵)。在成像層中,有機錫氧化物層中Sn-R鍵之輻射誘導裂解亦引起固結,以在輻射曝光區中提供更緻密之金屬氧化物(錫氧化物)網。
在操作502中,蝕刻或溶解成像層之未曝光區,因而釋出具有成像層之曝光部分512a的結構並提供圖案。此等蝕刻可包括乾式蝕刻,例如使用本文所述之鹵化物化學物。在操作503中,去除吸收層之未曝光區,因而提供具有吸收層之曝光部分513a的圖案化結構。此等去除可包括乾式蝕刻,例如使用本文所述之鹵化物化學物、或電漿蝕刻(例如,使用基於CH4 -或F-的電漿,包括NF3 、CF4 等)。
6 提供包含有Te及不穩定部分(例如叔丁基)之吸收層的另一用途。該非限定方法600包括提供基板611(例如,可灰化之硬遮罩碳底層)。在操作601中,沉積薄吸收層613,其中吸收層包括Te或由Te組成。吸收層之非限定厚度為約3至5 nm。在操作602中,吸收層之表面利用具有高吸收元素(例如,Te)及不穩定部分(例如,叔丁基)之有機金屬前驅物進行處理。包含有Te及叔丁基(t -Bu)之非限定前驅物可為(t -Bu)TeH或(t -Bu)2 Te。
在操作603中,利用圖案化輻射(例如,EUV輻射)對吸收層613之表面614進行圖案化,以提供曝光區614a及未曝光區614b。不穩定部分係透過EUV誘導裂解而釋出。例如,當不穩定部分為叔丁基時,從吸收層上裂解該部分後即釋出異丁烯。
輻射曝光區可提供材料之增強沉積,因而提供材料於圖案化表面上之選擇性沉積。在一實例中,曝光區包括酸性基團,例如M-H鍵或M-OH鍵,其可引發金屬氧化物材料之選擇性生長或沉積。可看出,在操作604中,含金屬(M)-氧(O)材料615可選擇性地沉積在曝光區614a上。此等材料可透過使用可交替且重複輸送之金屬氧化物(MOx )前驅物及含氧前驅物(例如本文所述之水蒸氣或相對反應物)來沉積。基板製備
可利用具所欲材料之基板表面來製備進入晶圓,其最上面材料為阻劑圖案被轉印至其中的層。儘管材料選擇可能會根據整合度而變化,但一般需選擇可以相對於EUV阻劑或成像層具高選擇性(即比其快得多地)來進行蝕刻之材料。合適的基板材料可包括諸多基於碳的膜(例如可灰化之硬遮罩(AHM)、基於矽的膜(例如SiOx、SiCx、SiOxCy、SiOxNy、SiOxCyNz)、非晶Si : H、多晶Si或SiN)、或塗佈以促進圖案化製程之任何其他(一般是犧牲性的)膜)。在待圖案化之基板上存有產生顯著形貌之裝置特徵部的例子中,底層之另一重要功能可以是對現有形貌進行塗覆及平坦化,以便可在具有焦點圖案之所有區域的平坦表面上執行後續圖案化步驟。對於此等應用,底層(或多個底層中之至少一者)一般是使用旋塗技術來塗佈。當所採用之光阻材料具有顯著的無機成分時,例如其展現主要的金屬氧化物骨架,則底層可有利地為基於碳的膜,其可透過旋塗或透過基於乾式真空的沉積製程來塗佈。該層可包括具有基於碳及氫之組成的諸多AHM膜,並可摻雜有例如鎢、硼、氮或氟之額外元素。
在一些實施例中,包含有暴露羥基之基板包括包含有羥基封端SnOx 的表面層或膜。例如,基板可包括具有羥基封端SnOx 表面之非晶碳。在不限制本技術之機制、功能或實用性下,據信羥基封端SnOx 層可提供例如改善沉積在基板表面上之材料的黏附以及在圖案化期間提高EUV(或其他輻射)吸收的益處。對EUV或其他輻射之敏感性及解析度可能取決於SnOx 層的性質,例如厚度、密度及短程電荷轉移特性。在諸多實施例中,SnOx 層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm之厚度。
在一些實施例中,羥基封端SnOx 層係透過氣相沉積而沉積於基板的表面上。在此等方法中,該沉積包括使Sn-Xn 與含氧相對反應物反應,其中X為配位基,例如二烷基胺基(例如,二甲基胺基、甲基乙基胺基及二乙基胺基)、醇(例如叔丁氧基及異丙氧基)、鹵素(例如F、Cl、Br及I)、或其他有機取代基(例如,乙醯丙酮、N2,N3-二叔丁基-丁烷-2,3-二胺基)。例如,Sn-Xn 可為SnCl4 、SnI4 或Sn(NR2 )4 (其中R為甲基或乙基)、或Sn(t -BuO)4 。在一些實施例中,存在多種類型的配位基。含氧相對反應物可選自由水、過氧化氫、甲酸、醇、氧、臭氧及其組合所組成之群組。
合適的氣相沉積製程包括化學氣相沉積(CVD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)或電漿增強原子層沉積(PEALD)。在一些實施例中,在沉積Sn-Xn 及沉積含氧相對反應物之循環製程中,該沉積為ALD。在一些實施例中,該沉積為CVD,其透過同時流動Sn-Xn 與含氧相對反應物。可用於沉積SnOx 層之材料及製程描述於Nazarov等人之「Atomic Layer Deposition of Tin Dioxide Nanofilms:  A Review, 40 Rev. Adv. Mater. Sci. 262 (2015)」中。SnOx 基板可透過CVD或ALD製程來沉積,如本文所述。
表面活化操作可用於活化表面以用於進一步操作。例如,對於SiOx表面,可使用水或氧/氫電漿在表面上產生羥基。對於基於碳或烴的表面,可使用水、氫/氧或CO2 電漿或臭氧處理,以產生羧酸/或羥基。此等方法可證明改善阻劑特徵部對基板之黏附乃至關重要,否則可能會在用於顯影之溶劑中分層或剝離。
亦可透過在基板表面中引起粗糙度以增強可用於相互作用之表面積以及直接改善機械黏附來提高黏附。例如,首先可利用使用Ar之濺射製程或其他非反應性離子轟擊來產生粗糙表面。接著,該表面可用如上所述之所欲表面官能性(例如羥基及/或羧酸基)進行封端。在碳上,可採用組合方法,其中可使用具化學反應性之含氧電漿,例如CO2 、O2 、H2 O(或H2 與O2 之混合物)來蝕刻去除局部不均勻之膜的薄層,同時以-OH、-OOH或-COOH基團封端。此可在有偏壓或無偏壓下完成。結合上述表面改質策略,此方法可發揮表面粗糙化及基板表面化學活化之雙重目的,用於直接黏附至基於無機金屬氧化物之阻劑上,或作為中間表面改質以進一步官能化。EUV 增強層之沉積 實施方式1 : 增強EUV光子吸收之材料的沉積
一旦例如透過如上所述之表面改質製得基板,即可將晶圓帶至處理腔室中沉積材料,以提高EUV敏感性。此將使呈現極高EUV吸收率之元素得以結合至表面上。在沉積EUV吸收增強層之後,EUV敏感成像層(例如乾式沉積之有機金屬層、旋塗之有機金屬層或化學放大阻劑)可沉積於晶圓上。在曝光後,一些穿過EUV敏感成像層之EUV光子可能會被高EUV吸收增強層吸收,從而產生二次電子。二次電子可與EUV敏感成像層相互作用,促進EUV膜中之反應。以此方式,例如 1A-1B 中概念性地繪示,膜堆有提高之EUV光子敏感性及使用率。EUV吸收層之表面改質、沉積以及EUV阻劑之沉積可能發生於同一腔室或分開腔室中。
沉積增強層之優點可用EUV截面比基板更高之任何元素來觀察。 最通常採用之基於碳的底層具有相對低的EUV截面。因此,各種各樣之元素可能適用於表面改質。一些特別合適之元素是那些呈現高EUV吸收截面之元素,其可使用乾式蝕刻製程有效地去除,包括In、Sn、Sb、Te、I、Pb及Bi(參見 8 )。亦可利用此些元素之合金與氧化物以及其他化合物。
EUV增強層之厚度將根據整合方案而變化。所得基板表面改質層可非常薄,範圍為0.3 nm至5 nm,此乃由於深度大於5 nm處所產生之二次電子不太可能向上穿透膜並進入阻層。然而,可以相對於光阻膜具高蝕刻選擇性來進行蝕刻之任何EUV吸收底層亦可塗得更厚,在一些例子中,若存在允許使用底層膜作為蝕刻遮罩以選擇性去除底層裝置層之蝕刻化學物質,則此可證明是有利的。
亦已在許多基於有機物的製劑中透過例如用C-F鍵取代C-H鍵來達到顯著增強的EUV吸收。儘管本發明不受任何特定操作理論限制,但除了增加EUV吸收外,當理解的是,含C-F鍵的化合物可能在EUV曝光後產生二次電子,進而可能釋放出F陰離子,而提供EUV引起溶解度或乾式蝕刻速率變化之另一潛在機制。
達到表面改質之一方法是透過使用含有EUV吸收元素之反應性物種。在一些反覆法中,反應性物種的蒸氣可通過晶圓。可加熱晶圓以提供熱能使反應進行。在一些反覆法中,加熱可介於50-250℃之間。在一些例子中,可使用反應物的脈衝,透過泵及/或沖洗步驟將其隔開。在一些例子中,可在反應物脈衝之間脈衝相對反應物,從而導致ALD或類-ALD生長。在一些例子中,反應物與相對反應物兩者可同時流動。可用於表面改質之元素的示例包括I、F、Sn、Bi、Sb、Te、及此些化合物的氧化物或合金。
根據此技術之表面改質的一些示例包括純元素或其任何充分揮發性化合物之蒸發/昇華。亦可透過諸多濺鍍或物理氣相沉積技術來塗佈塗層。
原子層沉積(ALD)技術亦可有利地用於可控地塗佈此等薄膜。以此方式,碲化錫、碲化銻或碲化鉍之薄的高EUV吸收層可使用諸多揮發性基於金屬烷氧化物之前驅物與雙(三甲基甲矽烷基)碲之交替流動來沉積。此者之一示例以 4 中之SnTe2 薄膜沉積來說明。此等製程之一特別優點是能夠微調界面以最大化對基於無機金屬氧化物之阻劑覆蓋層的黏附。
根據本發明之可應用於達成表面改質的另一方法是透過電漿技術。可撞擊電漿,使得電漿內的反應性物種與表面發生反應,以沉積高EUV吸收元素。電漿可為遠端電漿或直接電漿。
此些電漿技術之一些示例包括電漿沉積之可灰化碳硬遮罩(AHM)膜,其透過暴露於惰性載氣中碘蒸氣流中所產生的電漿來改質。I2 電漿可用於產生反應性I2 或原子I物種,其可破壞表面C-C鍵並將其自身插入以在表面形成C-I官能性。此等電漿方案可適用於主要含有C-C單鍵之類鑽石碳膜及碳原子間含有雙鍵之材料。可替代地,可透過在晶圓表面上暴露於二碘甲烷/ He或其他碘代烴流中所產生之電漿放電,將薄的富碘層接枝於表面上。
可替代地,可採用揮發性有機金屬前驅物之熱、化學反應或電漿誘導分解來產生具有主要元素組成的膜。例如,薄的富碲膜可透過雙(叔丁基)碲在加熱至250℃之AHM碳膜上熱分解來沉積。可替代地,可在雙(叔丁基)碲與H2 或He之流料中激燃RF電漿,從而使富碲層沉積在AHM膜之表面上。類似地,此等層可透過雙(三甲基甲矽烷基)碲與水蒸氣之間的化學氣相沉積反應來沉積。在又另一示例中,薄的富Sn膜可透過在含有錫前驅物(例如四(二甲基胺基)錫或四(異丙基)錫)之混合物中產生電漿來形成,其透過失去一或更多二甲基胺基或異丙基配位基而使含Sn物種鍵結至表面上。實施方式 2 : 用於增強 EUV 光子吸收且具響應表面黏附性之材料的沉積
在第二實施方式中,可沉積一材料,其將改質表面以增強EUV光吸收並對EUV敏感成像層提供光響應可定制之表面黏附性。此方法之示例繪於 2 5 中。例如,改質製程可留下被例如烷基(如,叔丁基、正丁基、仲丁基、異丙基等)或氟取代烷基(例如-CF3 、-CF2 CF3 )之EUV不穩定基團封端的表面。在EUV吸收層塗佈之後,EUV敏感成像層(例如乾式沉積之有機金屬層、旋塗之有機金屬層或化學放大阻劑)可沉積於晶圓上。該沉積可在同一腔室中或分開腔室中發生,但在從真空去除後具空氣反應性之表面上,令腔室整合可能是有利的。
根據此實施方式,可改質基板,使得其將吸收額外的EUV光子並產生二次電子。此些二次電子可接著進入EUV敏感成像層,以在膜中引起進一步曝光,因而增強獲得EUV光子之效率。另外,此層可允許調變EUV敏感成像層對改質表面的黏附,使得曝光區相較於未曝光區具有獲得改善的黏附。此效果與增強負型阻劑之效能特別相關,在負型阻劑中,曝光區變得不溶並在顯影後保留。
乾式沉積之有機金屬EUV阻劑之此實施方式的示例如下 : EUV照射區中之表面上所存在的烷基可進行β-氫消去,從而移除烷基,而在界面上留下M-H基團。在暴露於氧及水分後,此些基團可轉化為M-OH基團。在曝光或隨後之曝光後烘烤步驟中,一些該等基團可能與已存在於膜中或在阻膜中透過EUV誘導之反應所產生的羥基發生反應,從而在曝光阻膜與基板之間形成強鍵結。曝光後烘烤可進一步增強黏附並增加交聯點的數量。此黏附差異可助於選擇性地去除負型阻劑中所欲之未曝光區。旋塗之有機或金屬有機阻劑亦可透過類似機制獲益。
底層組成可變化。候選EUV吸收劑包括In、Sn、Sb、Te、Pb、Bi、及其合金或包括未列出之其他元素的化合物。EUV不穩定基團亦可變化,但包括烷基Cn H2n+1 (較佳其中n≥3),且包括氟取代烷基。底層可透過濕式或乾式製程來沉積。用於製作此些層之一些示例性方法包括以下 :
具有烷基封端之ALD或CVD氧化物 : 可透過ALD或CVD沉積薄金屬氧化物或金屬。示例包括SnOx、BiOx及Te。沉積之後,可用式MRx Ly 之經烷基取代的前驅物來覆蓋膜,其中M為金屬,其較佳具有高EUV吸收,R為烷基或氟代烷基,L為與薄金屬氧化物或金屬表面反應之配位基,x為等於或大於1之整數,且y為等於或大於1之整數。可使用相對反應物以更佳地去除配位基,且可重複多個循環以確保基板表面完全飽和。該表面接著即準備好以沉積EUV敏感成像層。一可能方法是產生SnOx薄膜。可能的化學法包括透過循環四(二甲基胺基)錫及相對反應物(例如水或O2 電漿)來生長SnO2 。生長後,可使用覆蓋劑(capping agent)。例如,可使異丙基三(二甲基胺基)錫蒸氣流過表面。
具原位覆蓋之電漿輔助製程,例如烷基封端之Sn界面改質 : 一方法涉及在基板底層之表面上沉積基於金屬Sn或Sn合金之薄膜。烷基有機金屬錫前驅物之蒸氣可流入腔室。取決於Sn的氧化態,烷基錫前驅物可具有式SnR2 或SnR4 。烷基可為Cn H2n+1 ,其中n一般大於或等於2。示例包括四異丙基錫及四(叔丁基)錫。前驅物蒸氣可夾帶於合適的載氣(例如H2 、He、Ar或N2 )中並流入腔室,並在晶圓與噴淋頭之間激燃RF電漿。該結果是沉積具有類似於元素Sn之基本組成的膜。電漿的功率在整個沉積中可被調整,並可能在結束時降低。接著停止電漿功率,但仍使烷基錫前驅物流動。該結果可能是被烷基覆蓋之錫底層。阻劑(例如金屬有機阻劑)接著可沉積於改質層上,並帶入EUV掃描儀進行曝光。EUV曝光後,改質界面之烷基即進行β-氫消去,導致在界面處形成Sn-H鍵。在此階段,或在曝光後烘烤期間,Sn-H鍵可能與阻劑發生反應,以在界面上形成Sn-O-Sn橋,從而有效地提高曝光區中之膜的黏附。
烷基封端之Te界面改質 : 類似於烷基封端之Sn界面改質所述的方法,可採用有機碲前驅物以沉積主要Te組成薄膜,其提供高EUV吸收率。有機-Te前驅物可具有式RTeH或R2 Te,其中R為烷基或配位基分子。烷基可為Cn H2n+1 ,其中n大於或等於3。示例包括氫化叔丁基碲、二叔丁基碲、二(異丙基)碲、或雙(三甲基甲矽烷基)碲。典型的稀釋氣體可包括H2 、He、Ar或N2 。激燃RF電漿導致主要Te膜之沉積。電漿的功率在整個沉積中可被調整,可在結束時降低並熄滅,並且仍使有機碲前驅物流動,因而形成被烷基覆蓋之Te底層。EUV阻劑(例如旋塗或乾式沉積之金屬有機阻劑,如上所述)接著可沉積在改質層上,並帶入EUV掃描儀進行曝光。EUV曝光後,膜底部的Te(例如沉積在AHM碳底層表面上)將強吸收在阻劑材料中未被捕獲的EUV光子,因而最大程度地產生可能引起烷基裂解之二次電子並在界面處形成Te-Sn鍵。存在於改質界面處之烷基可進行β-氫消去,導致在界面處形成反應性Te-H鍵。烘烤後,Te-H可能與頂部阻膜中之Sn反應並形成穩定的Sn-Te鍵。此方法之一示例繪示於 5 中。
CFx 及OH、O、COO表面改質 : 在此示例中,可透過在界面處結合F來達到增強EUV吸收,並且還包括OH、O或COO基團以調整黏附性。透過來自有機(基於碳)底層之氟碳化合物(或NF3 、SF6 或其他F源)電漿處理之F的存在,可增強EUV曝光區之吸收。為了建立薄CFx 封端表面,可在一或更多氟化烴前驅物(示例包括CH2 F2 、C4 F6 、CHF3 、CH3 F、CF4 、或C4 F8 )中產生RF電漿,並可選地合併有例如O2 、H2 及/或CO2 之氣體以引起極性部分的形成,促進經EUV-曝光之基於金屬氧化物之阻劑材料對基板表面的黏附。撞擊電漿並選擇條件,使得CFx 層在同樣顯示出可有效形成預期得以增強與曝光金屬有機膜黏附之表面官能性的條件下沉積在晶圓上。阻劑(例如乾式沉積之有機金屬膜)接著被塗佈於改質之基板表面上,並進行EUV圖案化。在EUV曝光後,會產生自由F離子,並呈現形成不溶性氟化物錯合物之趨勢,此錯合物更能抵抗溶解於光阻顯影劑中。實施方式 3 : 用於表面成像之高 EUV 吸收的沉積
在第三實施方式中,可沉積一材料,其將發揮增強EUV光子吸收作用,又可作為本身具EUV活性且能夠透過表面成像技術進一步處理之表面,其示例描述於吾等之先前申請案第62/767,198號中,其表面成像技術之揭示內容併於此作為參考。此方法之示例繪示於 3 6 中。可將晶圓帶入處理腔室中沉積材料,以增強基板表面處之EUV敏感性。例如,表面改質製程可留下被EUV不穩定基團封端之晶圓基板表面,例如烷基(如叔丁基、正丁基、仲丁基、異丙基等)或氟取代烷基(例如-CF3 、-CF2 CF3 )。接著可將晶圓移至EUV掃描儀並進行曝光。曝光後,可將晶圓從掃描儀中取出,可選地進行烘烤,可選地將其暴露於受控量之氧或水分,接著使用表面成像技術(例如本文所述表面成像技術中之一者)進行處理。以下可能是有利的 : 整合表面改質工具與EUV掃描儀;EUV掃描儀與表面成像工具;或表面改質工具、EUV掃描儀與表面成像工具,以在不同步驟之間有受控的環境,較佳有最少或仔細受控之水分及/或氧暴露。
表面成像可採許多形式。此些包括選擇性ALD或CVD製程、透過ELD製程之選擇性沉積、或旋塗溶膠-凝膠於表面上。一般機制取決於曝光後之表面差異。在曝光區中,EUV不穩定基團可能被去除,且表面從M-R轉換成M-H(通常變成-OH)表面。M-H或M-OH表面相對於初始M-R官能性之反應性及表面能的差異可實現後續處理,而高EUV吸收底層之存在可提高EUV光子之獲取。
高EUV吸收底層之組成可變化。候選組成分EUV吸收元素包括In、Sn、Sb、Te、Pb、Bi、及其合金或包括與其他元素一起之化合物、以及其氧化物、氮化物、碳化物或任何混合物。EUV不穩定基團亦可變化,例如包括烷基Cn H2n+1 (較佳其中n≥3)以及氟取代烷基(例如-CF3 、-CF2 CF3 )。底層可透過濕式或乾式沉積。用於製作此些層之一些示例性方法包括以下 :
用於表面成像之熱ALD製程 : 可用ALD塗層(例如SnO2 、Te、BiOx、SnTe等之塗層)來改質基板,從而使烷基封端膜沉積於表面上。此可透過經烷基取代之ALD前驅物來完成,如吾等於先前申請案第62/767,198號中更詳細所述,其表面成像技術之揭示內容併於此作為參考。
烷基封端之Te成像層 : 類似於針對烷基封端之Sn界面改質所述之製程,可將有機-Te蒸氣流入腔室。該有機-Te前驅物可具有式RTeH或R2 Te,其中R為烷基或配位基分子。該烷基可為式Cn H2n+1 ,其中n大於或等於3。示例包括氫化叔丁基碲、二(叔丁基)碲、二(異丙基)碲、或雙(三甲基甲矽烷基)碲,利用與載氣形成混合物以供應至腔室(載氣通常包括H2 、He、Ar或N2 )之前驅物以及電漿撞擊而導致薄Te膜沉積。電漿的功率在整個沉積中可被調整,且可能在結束時降低。可停止電漿功率,並使有機-Te前驅物仍流動,從而形成被烷基覆蓋之Te薄層。 EUV曝光後,高吸收Te層會產生大量二次電子,其會導致此些烷基進行β-氫消去,因而在界面處形成Te-H鍵。可選地,此些鍵可透過引入水分而轉化為Te-OH鍵。晶圓接著可透過表面成像技術進行處理。此方法之一示例繪示於 6 中。
高EUV底層+ CFx 表面改質 : 在此示例中,CFx 聚合物膜沉積在高EUV吸收膜上。吸收膜可為各種材料,包括上述之Sn及Te層。為了沉積基於氟碳化合物的膜,可將具有氟、碳及可選氫之氣體流入腔室中。示例性氣體包括,但不限於,CH2 F2 、C4 F6 、CHF3 、CH3 F、CF4 及C4 F8 。在一些例子中,亦可添加惰性氣體(例如N2 、Ar或He)及可選氫,並以選定條件撞擊電漿,以使CFx 層沉積在晶圓上。可調變CFx 層之化學及沉積條件,使其對某些氣相化學物質呈極惰性。 EUV曝光後,透過EUV產生之二次電子所引起之F或CFx 基團提取,可在表面上建立反應位點。接著可將晶圓帶至可進行表面成像之ALD腔室中。ALD前驅物與未改質之氟碳化合物改質界面可能不具反應性,但會在曝光區成核。因此,可透過此方法形成蝕刻硬遮罩,並將其轉移至底層中,例如,如吾等先前申請案第62/767,198號中所述,其表面成像技術之揭示內容併於此作為參考。一些非限定應用及優點
本發明中所述之技術能夠透過結合高吸收EUV材料及/或控制表面黏附來調變基板化學性。其中許多潛在用途及優點包括以下 : 降低多種光阻中EUV劑量要求之潛力,其透過在阻劑附近之界面處結合更高吸收EUV材料以注入額外二次電子至阻劑中,從而可更有效地獲取EUV光子; EUV響應黏附,得以更易去除未曝光區(較少浮渣),並促進曝光區中的黏附;以及 基於選擇性硬蝕刻遮罩之選擇性ALD生長,實現新穎”無光阻”表面成像方案之潛力。此提供以最低可能之EUV曝光量進行圖案化之潛力。
另其他潛在優點包括相較於旋塗之乾式真空沉積相關的優點。在一實例中,使用乾式沉積使得表面製備與塗層品質及均勻性完全無關,其可允許沉積更薄的膜而無缺陷或對黏附層或表面預處理之要求。此外,關於溶液穩定性之限制可被降至最小或消除,其可允許尋求更具反應性且同質組成,以提供劑量及LWR降低之潛力。亦可完全消除溶解度限制,其可允許擴展至特地針對乾式顯影之不溶組成。另外,此等乾式沉積方法可更容易地與用於底層界面改質以提高EUV吸收及反應性之具潛力方案整合。如 7A 中所見,圖案化結構可包括在基板711上方且在成像層712下方之錫、碘或碲摻雜AHM底層713。此等結構在兩界面715a、715b處可具有增強的EUV吸收715。
潛在的應用包括與擴大範圍之基板及裝置一起使用,包括直接在裝置形貌上進行沉積及圖案化的可能性(參見 7B 中非限定基板)。一應用包括透過選擇性黏附/附著至底層(平坦化)裝置特徵部之潛在自對準。
另一非限定潛力包括選擇性去除曝光不足之側壁(自對準雙重圖案化(SADP)的相反)。尤其,該方法包括對沉積在裝置特徵部之垂直側壁上的材料(例如,膜、吸收層及/或成像層)進行曝光不足及選擇性去除。因此,剩餘曝光膜材料之所得分佈與標準SADP工作流程中所達成之分佈相反,在標準SADP工作流程中,應用異向性電漿蝕刻以去除頂部及底部水平表面上的材料,而保留垂直側壁上之材料。 7C 提供此等應用之非限定方法,其包括提供包括平面結構711a及特徵部711b之基板。該方法可進一步包括在基板上沉積膜712之操作701、將膜暴露於輻射之全面曝光的操作702、以及對曝光膜進行顯影之操作703(例如,透過濕式或乾式負型顯影)。在顯影之後,所得結構可包括設置在特徵部711b之頂表面上的水平懸伸部分712b及設置在平面結構711a之頂表面上的水平平面部分712a。膜之曝光不足可提供此等懸伸部分712b,並將設置在垂直側壁711c上之膜的曝光降至最小。在非限定實施例中,膜可包括光響應黏附層,以增強膜對特徵部表面之黏附。此等曝光垂直側壁可用於在架構內提供側向連接(例如,側向電性連接)或連接至其他裝置或線路。前驅物
本文中該等層(例如,輻射吸收層及/或成像層)可包括具有高光吸收截面(例如等於或大於1x107 cm2 /mol)之元素(例如,金屬原子或非金屬原子)。可透過沉積一或更多前驅物來提供此等元素,以提供吸收層及/或成像層。
吸收及成像層(單獨或一起)可被視為膜。在一些實施例中,該膜為輻射敏感膜(例如,EUV敏感膜)。此膜又可作為EUV阻劑,如本文進一步所述。在特定實施例中,該層或膜可包括一或更多配位基(例如,EUV不穩定配位基),其可透過輻射(例如,EUV或DUV輻射)去除、裂解或交聯。
前驅物可提供對輻射敏感之可圖案化膜(或圖案化輻射敏感膜或可光圖案化膜)。此等輻射可包括EUV輻射、DUV輻射、或UV輻射,其透過照射穿過圖案化遮罩來提供,因此為圖案化輻射。膜本身可透過暴露於此等輻射而改變,使得該膜為輻射敏感或光敏感。在特定實施例中,前驅物為有機金屬化合物,其包括至少一金屬中心。在其他實施例中,由前驅物獲得之膜的特徵在於,在對膜曝光(例如,來自UV、DUV或EUV曝光)之圖案化輻射波長下有大於約6 µm-1 (例如,大於約7 µm-1 、8 µm-1 、9 µm-1 、10 µm-1 、20 µm-1 、30 µm-1 或更高)的比爾吸收係數α。
前驅物可具有任何有用數量及類型的配位基。在一些實施例中,配位基之特徵在於其在相對反應物存在下或在圖案化輻射存在下進行反應之能力。例如,前驅物可包括與相對反應物反應之配位基,其可在金屬中心之間引入鍵(例如-O-鍵)。在另一實例中,前驅物可包括在圖案化輻射存在下消去之配位基。此等EUV不穩定配位基可包括具有β-氫之支鏈或直鏈烷基,以及本文對式(I )或(II )中R所述之任一者。
前驅物可為任何有用之含金屬前驅物,例如有機金屬劑、金屬鹵化物或覆蓋劑(例如,如本文所述)。在非限定實例中,前驅物包括具有式(I )之結構 : Ma Rb (I ), 其中 : M為具有高EUV吸收截面之金屬或原子; 每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、側氧基(oxo)、陰離子配位基、中性配位基或多牙配位基; a≥1;且b≥1。
在另一非限定實例中,前驅物包括具有式(II )之結構 : Ma Rb Lc (II ), 其中: M為具有高EUV吸收截面之金屬或原子; 每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L; 每一L獨立為配位基、陰離子配位基、中性配位基、多牙配位基、離子或與相對反應物具反應性之其他部分,其中R及L與M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基; a≥1;b≥1;且c≥1。
在一些實施例中,前驅物中之每一配位基可為與相對反應物具反應性之配位基。在一實例中,前驅物包括具有式(II )之結構,其中每一R獨立為L。在另一實例中,前驅物包括具有式(IIa )之結構: Ma Lc (IIa ), 其中: M為具有高EUV吸收截面之金屬或原子; 每一L獨立為配位基、離子或與相對反應物具反應性之其他部分,其中兩個L一起可視情況地形成雜環基; a≥1;且c≥1。 在式(IIa )之特定實施例中,a為1。在進一步實施例中,c為2、3或4。
對於本文中之任一式,M可為具有高圖案化輻射吸收截面(例如,等於或大於1x107 cm2 /mol之EUV吸收截面)之金屬或類金屬或原子。在一些實施例中,M為錫(Sn)、鉍(Bi)、碲(Te)、銫(Cs)、銻(Sb)、銦(In)、鉬(Mo)、鉿(Hf)、碘(I)、鋯(Zr)、鐵(Fe)、鈷(Co)、鎳(Ni)、銅(Cu)、鋅(Zn)、銀(Ag)、鉑(Pt)及鉛(Pb)。在進一步實施例中,於式(I )、(II )或(IIa )中,M為Sn,a為1,且c為4。在其他實施例中,於式(I )、(II )或(IIa )中,M為Sn,a為1,且c為2。在特定實施例中,M為Sn(II)(例如,於式(I )、(II )或(IIa )中),因而提供為基於Sn(II)之化合物的前驅物。在其他實施例中,M為Sn(IV)(例如,於式(I )、(II )或(IIa )中),因而提供為基於Sn(IV)之化合物的前驅物。在特定實施例中,前驅物包括碘(例如過碘酸鹽)。
對於本文之任一式,每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基(例如-OR1 ,其中R1 可為視情況取代之烷基) 、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、側氧基(oxo)、陰離子配位基(例如,氧負離子基(oxido)、氯代基(chlorido)、氫化基(hydrido)、醋酸根、亞胺二醋酸根、丙酸根、丁酸根、苯甲酸根等)、中性配位基、或多牙配位基。
在一些實施例中,視情況取代之胺基為‑NR1 R2 ,其中每一R1 及R2 獨立為H或烷基;或其中R1 及R2 與各自連接之氮原子一起形成如本文所定義之雜環基。在其他實施例中,視情況取代之雙(三烷基甲矽烷基)胺基為‑N(SiR1 R2 R3 )2 ,其中每一R1 、R2 及R3 獨立為視情況取代之烷基。在另其他實施例中,視情況取代之三烷基甲矽烷基為‑SiR1 R2 R3 ,其中每一R1 、R2 及R3 獨立為視情況取代之烷基。
在其他實施例中,該式包括為-NR1 R2 之第一R(或第一L)以及為-NR1 R2 之第二R(或第二L),其中每一Rl 及R2 獨立為H或視情況取代之烷基;或其中來自第一R(或第一L)之R1 及來自第二R(或第二L)之R1 與各自連接之氮原子及金屬原子一起形成如本文所定義之雜環基。在另其他實施例中,該式包括為‑OR1 之第一R及為‑OR1 之第二R,其中每一R1 獨立為H或視情況取代之烷基;或其中來自第一R之R1 及來自第二R之R1 與各自連接之氧原子及金屬原子一起形成如本文所定義之雜環基。
在一些實施例中,R或L中之至少一者(例如,在式(I )、(II )或(IIa )中)為視情況取代之烷基。非限定烷基包括例如Cn H2n+1 ,其中n為1、2、3或更大,例如甲基、乙基、正丙基、異丙基、正丁基、異丁基、仲丁基或叔丁基。在諸多實施例中,R或L具有至少一β-氫或β-氟。在其他實施例中,R或L中之至少一者為經鹵素取代之烷基(例如,經氟取代之烷基)。
在一些實施例中,每一R或L或至少一R或L(例如,在式(I )、(II )或(IIa )中)為鹵素。尤其,前驅物可為金屬鹵化物。非限定金屬鹵化物包括SnBr4 、SnCl4 、SnI4 、及SbCl3
在一些實施例中,每一R或L或至少一R或L(例如,在式(I )、(II )或(IIa )中)可包含氮原子。在特定實施例中,一或更多R或L可為視情況取代之胺基、視情況取代之單烷基胺基(例如,‑NR1 H,其中R1 為視情況取代之烷基)、視情況取代之二烷基胺基(例如‑NR1 R2 ,其中每一R1 及R2 獨立為視情況取代之烷基)或視情況取代之雙(三烷基甲矽烷基)胺基。非限定R及L取代基可包括例如-NMe2 、-NHMe、-NEt2 、-NHEt、-NMeEt、-N(t -Bu)-[CHCH3 ]2 -N(t -Bu)-(tbba) 、‑N(SiMe3 )2 、及‑N(SiEt3 )2
在一些實施例中,每一R或L或至少一R或L(例如,在式(I )、(II )或(IIa )中)可包含矽原子。在特定實施例中,一或更多R或L可為視情況取代之三烷基甲矽烷基或視情況取代之雙(三烷基甲矽烷基)胺基。非限定R或L取代基可包括例如-SiMe3 、-SiEt3 、-N(SiMe3 )2 、及-N(SiEt3 )2
在一些實施例中,每一R或L或至少一R或L(例如,在式(I )、(II )或(IIa )中)可包含氧原子。在特定實施例中,一或更多R或L可為視情況取代之烷氧基或視情況取代之烷醯氧基。非限定R或L取代基包括例如甲氧基、乙氧基、異丙氧基(i -PrO)、叔丁氧基(t -BuO)、醋酸根(-OC(O)-CH3 )及-O=C(CH3 )-CH=C(CH3 )-O-(acac)。
本文中任一式可包含一或更多中性配位基。非限定中性配位基包括視情況取代之胺基(例如,NR3 或R2 N-Ak-NR2 ,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基,且Ak為視情況取代之亞烷基)、視情況取代之膦(例如PR3 或R2 P-Ak-PR2 ,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基,且Ak為視情況取代之亞烷基)、視情況取代之醚(例如,OR2 ,其中每一R可獨立為H、視情況取代之烷基、視情況取代之烴基或視情況取代之芳基)、視情況取代之烷基、視情況取代之烯、視情況取代之炔、視情況取代之苯、側氧基或一氧化碳。
本文中任一式可包含一或更多多牙(例如,雙牙)配位基。非限定多牙配位基包括二酮根(例如,乙醯丙酮根(acac)或‑OC(R1 )-Ak-(R1 )CO-或‑OC(R1 )-C(R2 )-(R1 )CO-)、雙牙螯合二氮(例如-N(R1 )-Ak-N(R1 )-或-N(R3 )-CR4 -CR2 =N(R1 )-)、芳香族 (例如-Ar-)、脒根(amidinate)(例如-N(R1 )-C(R2 )-N(R1 )-)、胺基烷氧根(例如-N(R1 )-Ak-O-或- N(R1 )2 -Ak-O-)、二氮雜二烯基(diazadienyl)(例如,-N(R1 )-C(R2 )-C(R2 )-N(R1 )-)、環戊二烯基(cyclopentadienyl)、吡唑特(pyrazolate)、視情況取代之雜環基、視情況取代之亞烷基或視情況取代之亞雜烷基。在特定實施例中,每一R1 獨立為H、視情況取代之烷基、視情況取代之鹵代烷基、或視情況取代之芳基;每一R2 獨立為H或、視情況取代之烷基; R3 及R4 一起形成視情況取代之雜環基; Ak為視情況取代之亞烷基;Ar為視情況取代之亞芳基。
在特定實施例中,前驅物包括錫。在一些實施例中,錫前驅物包括SnR或SnR2 或SnR4 或R3 SnSnR3 ,其中每一R獨立為H、鹵素、視情況取代之C1-12 烷基、視情況取代之C1-12 烷氧基、視情況取代之胺基(例如‑NR1 R2 ) 、視情況取代之C2-12 烯基、視情況取代之C2-12 炔基、視情況取代之C3-8 環烷基、視情況取代之芳基、環戊二烯基、視情況取代之雙(三烷基甲矽烷基)胺基(例如‑N(SiR1 R2 R3 )2 ) 、視情況取代之烷醯氧基(例如醋酸根)、二酮根(例如‑OC(R1 )-Ak-(R2 )CO-)或雙牙螯合二氮(例如-N(R1 )-Ak-N(R1 )-)。在特定實施例中,每一R1 、R2 及R3 獨立為H或C1-12 烷基(例如,甲基、乙基、異丙基、叔丁基或新戊基);Ak為視情況取代之C1-6 亞烷基。在特定實施例中,每一R獨立為鹵素、視情況取代之C1-12 烷氧基、視情況取代之胺基、視情況取代之芳基、環戊二烯基或二酮根。非限定錫前驅物包括SnF2 、SnH4 、SnBr4 、SnCl4 、SnI4 、四甲基錫(SnMe4 )、四乙基錫(SnEt4 )、三甲基氯化錫(SnMe3 Cl)、二甲基二氯化錫(SnMe2 Cl2 )、甲基三氯化錫(SnMeCl3 )、四烯丙基錫、四乙烯基錫、六苯基二錫(IV)( Ph3 Sn-SnPh3 ,其中Ph為苯基)、二丁基二苯基錫(SnBu2 Ph2 )、三甲基(苯基)錫(SnMe3 Ph)、三甲基(苯基乙炔基)錫、三環己基氫化錫、三丁基氫化錫(SnBu3 H )、二醋酸二丁基錫(SnBu2 (CH3 COO)2 )、乙醯丙酮錫(II)(Sn(acac)2 )、SnBu3 (OEt)、SnBu2 (OMe)2 、SnBu3 (OMe)、Sn(t -BuO)4 、Sn(n -Bu)(t -BuO)3 、四(二甲基胺基)錫(Sn(NMe2 )4 )、四(乙基甲基胺基)錫(Sn(NMeEt)4 )、四(二乙基胺基)錫(IV)(Sn(NEt2 )4 )、(二甲基胺基)三甲基錫(IV)( Sn(Me)3 (NMe2 )、Sn(i -Pr)(NMe2 )3 、Sn(n -Bu)(NMe2 )3 、Sn(s -Bu)(NMe2 )3 、 Sn(i -Bu)(NMe2 )3 、Sn(t -Bu)(NMe2 )3 、Sn(t -Bu)2 (NMe2 )2 、Sn(t -Bu)(NEt2 )3 、Sn(tbba)、Sn(II)(1,3-雙(1,1-二甲基乙基)-4,5-二甲基-(4R ,5R )-1,3,2-二氮雜史坦諾啶-2-亞基)( Sn(II) (1,3-bis(1,1-dimethylethyl)-4,5-dimethyl-(4R ,5R )-1,3,2- diazastannolidin-2-ylidene))、或雙[雙(三甲基甲矽烷基)胺基]錫(Sn[N(SiMe3 )2 ]2 ] 2)。
在其他實施例中,前驅物包括鉍,例如於BiR3 中,其中每一R獨立為鹵素、視情況取代之C1-12 烷基、單-C1-12 烷基胺基(例如,‑NR1 H)、二-C1-12 烷基胺基(例如‑NR1 R2 ) 、視情況取代之芳基、視情況取代之雙(三烷基甲矽烷基)胺基(例如‑N(SiR1 R2 R3 )2 )、或二酮根(例如‑OC(R4 )-Ak-(R5 )CO-)。在特定實施例中,每一R1 、R2 及R3 獨立為C1-12 烷基(例如甲基、乙基、異丙基、叔丁基或新戊基);每一R4 及R5 獨立為H或視情況取代之C1-12 烷基(例如甲基、乙基、異丙基、叔丁基或新戊基)。非限定鉍前驅物包括BiCl3 、BiMe3 、BiPh3 、Bi(NMe2 )3 、Bi[N(SiMe3 )2 ]3 、及Bi(thd)3 ,其中thd為2,2,6,6-四甲基-3,5-庚二酮根(2,2,6,6-tetramethyl- 3,5-heptanedionate)。
在其他實施例中,前驅物包括碲,例如TeR2 或TeR4 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷基(例如,甲基、乙基、異丙基、叔丁基及新戊基) 、視情況取代之C1-12 烷氧基、視情況取代之芳基、羥基、側氧基或視情況取代之三烷基甲矽烷基。非限定碲前驅物包括二甲基碲(TeMe2 )、二乙基碲(TeEt2 )、二(正丁基)碲(Te(n -Bu)2 )、二(異丙基)碲(Te(i -Pr)2 )、二(叔丁基)碲(Te(t -Bu)2 )、叔丁基氫化碲(Te(t -Bu)(H))、Te(OEt)4 、雙(三甲基甲矽烷基)碲(Te(SiMe3 )2 )及雙(三乙基甲矽烷基)碲(Te(SiEt3 )2 )。
前驅物可包括銻,例如在SbR3 中,其中每一R獨立為鹵素、視情況取代之C1-12 烷基(例如甲基、乙基、異丙基、叔丁基及新戊基) 、視情況取代之C1-12 烷氧基或視情況取代之胺基(例如,‑NR1 R2 ,其中每一R1 及R2 獨立為H或視情況取代之C1-12 烷基)。非限定銻前驅物包括SbCl3 、Sb(OEt)3 、Sb(On -Bu)3 、及 Sb(NMe2 )3
其他前驅物包括銦前驅物,例如InR3 中,其中每一R獨立為鹵素、視情況取代之C1-12 烷基(例如,甲基、乙基、異丙基、叔丁基及新戊基)或二酮根( 例如,‑OC(R4 )-Ak-(R5 )CO-,其中每一R4 及R5 獨立為H或C1-12 烷基)。非限定銦前驅物包括InCp(其中Cp為環戊二烯基)、InCl3 、InMe3 、In(acac)3 、In(CF3 COCHCOCH3 )3 及In(thd)3
前驅物可包括碘,例如RI,其中R為碘(I)或視情況取代之C1-12 烷基或過碘酸根。非限定碘前驅物包括碘氣(I2 )、二碘甲烷(CH2 I2 )及過碘酸鹽。
本文描述另其他前驅物及非限定取代基。例如,前驅物可為具有如上所述之式(I )、(II )及(IIa );或如下所述之式(III )、(IV ) 、(V )、(VI ) 、(VII )或(VIII ) 之結構的任一者。本文所述之任一取代基M、R、X或L可用於式(I )、(II )、(IIa )、(III )、(IV ) 、(V )、(VI ) 、(VII )或(VIII )中。
另其他示例性EUV敏感材料以及處理方法及設備描述於美國專利案第9,996,004號;國際專利公開案第WO 2020/102085號;以及國際專利公開案第WO 2019/217749號中,其每一者整體內容均併於此作為參考。
如本文所述,本文之膜、層及方法可與任何有用之前驅物一起使用。在一些實例中,前驅物包括具有下式(III )之金屬鹵化物 : MXn (III ), 其中,M為金屬,X為鹵素,n為2至4(取決於M之選擇)。用於M之示例性金屬包括Sn、Te、Bi或Sb。示例性金屬鹵化物包括SnBr4 、SnCl4 、SnI4 及SbCl3
另一非限定前驅物包括具有式(IV )之結構 : MRn (IV ), 其中M為金屬;每一R獨立為H、視情況取代之烷基、胺基(例如,‑NR2 ,其中每一R獨立為烷基)、視情況取代之雙(三烷基甲矽烷基)胺基(例如-N(SiR3 )2 ,其中每一R獨立為烷基 )、或視情況取代之三烷基甲矽烷基(例如,-SiR3 ,其中每一R獨立為烷基);n為2至4(取決於M之選擇)。M之示例性金屬包括Sn、Te、Bi或Sb。烷基可為Cn H2n+1 ,其中n為1、2、3或更大。示例性有機金屬劑包括SnMe4 、 SnEt4 、TeRn 、RTeR、叔丁基氫化碲(Te(t -Bu)(H))、二甲基碲(TeMe2 )、二叔丁基碲(Te(t -Bu)2 )、二(異丙基)碲(Te(i -Pr)2 )、雙(三甲基甲矽烷基)碲(Te(SiMe3 )2 )、雙(三乙基甲矽烷基)碲(Te(SiEt3 )2 )、三(雙(三甲基甲矽烷基)醯胺基)鉍(Bi[N(SiMe3 )2 ]3 )、Sb(NMe2 )3 及類似者。
另一非限定前驅物可包括具有下式(V )之覆蓋劑: MLn (V ), 其中M為金屬;每一L獨立為視情況取代之烷基、胺基(例如,‑NR1 R2 ,其中每一R1 及R2 可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、鹵素或其他有機取代基;n為2至4,取決於M之選擇。M之示例性金屬包括Sn、Te、Bi或Sb。示例性配位基包括二烷基胺基(例如二甲基胺基、甲基乙基胺基及二乙基胺基)、烷氧基(例如叔丁氧基及異丙氧基)、鹵素(例如F、Cl、Br及I)、或其他有機取代基(例如乙醯丙酮或N2 ,N 3 -二叔丁基-丁烷-2,3-二胺基)。非限定覆蓋劑包括SnCl4 ;SnI4 ;Sn(NR2 )4 ,其中每一R獨立為甲基或乙基;或Sn(t -BuO)4 。在一些實施例中,存在多種類型之配位基。
前驅物可包括具有下式(VI )之被烴基取代的覆蓋劑 : Rn MXm (VI ), 其中M為金屬,R為具有β-氫之C2-10 烷基或經取代烷基,且X為與暴露羥基中之羥基反應後合適的離去基。在諸多實施例中,只要m>0(或m≥1),則n=1至3,且m=4 – n、3 – n或2 – n。例如,R可為叔丁基、叔戊基、叔己基、環己基、異丙基、異丁基、仲丁基、正丁基、正戊基、正己基或其在β位置中具有雜原子取代基之衍生物。合適的雜原子包括鹵素(F、Cl、Br或I)、或氧(-OH或-OR)。X可為二烷基胺基(例如二甲基胺基、甲基乙基胺基或二乙基胺基)、烷氧基(例如叔丁氧基、異丙氧基)、鹵素(例如F、Cl、Br或I)、或另一有機配位基。被烴基取代之覆蓋劑的示例包括叔丁基三(二甲基胺基)錫(Sn(t -Bu)(NMe2 )3 )、正丁基三(二甲基胺基)錫(Sn(n -Bu)(NMe2 )3 )、叔丁基三(二乙基胺基)錫(Sn(t -Bu)(NEt2 )3 )、二(叔丁基)二(二甲基胺基)錫(Sn(t -Bu)2 (NMe2 )2 )、仲丁基三(二甲基胺基)錫(Sn(s -Bu)(NMe2 )3 )、正戊基三(二甲基胺基)錫(Sn(n-pentyl)(NMe2 )3 )、異丁基三(二甲基胺基)錫(Sn(i -Bu)(NMe2 )3 )、異丙基三(二甲基胺基)錫(Sn(i-Pr)(NMe2 )3 )、叔丁基三(叔丁氧基)錫(Sn(t -Bu)(t -BuO)3 )、正丁基(三(叔丁氧基)錫(Sn(n -Bu)(t -BuO)3 )或異丙基三(叔丁氧基)錫(Sn(i -Pr)(t -BuO)3 )。
在諸多實施例中,前驅物在每一金屬原子上包括至少一可在氣相反應中留存之烷基,而與該金屬原子配位之其他配位基或離子可被相對反應物取代。據此,另一非限定前驅物包括具有式(VII )之有機金屬劑 : Ma Rb Lc (VII ), 其中M為金屬;R為視情況取代之烷基;L為與相對反應物具反應性之配位基、離子或其他部分;a≥1;b≥1;且c≥1。在特定實施例中,a = 1,且b + c = 4。在一些實施例中,M為Sn、Te、Bi或Sb。在特定實施例中,每一L獨立為胺基(例如,-NR1 R2 ,其中每一R1 及R2 可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、或鹵素(例如F、Cl、Br或I)。示例性試劑包括SnMe3 Cl、SnMe2 Cl2 、SnMeCl3 、SnMe(NMe2 )3 、SnMe2 (NMe2 )2 、SnMe3 (NMe2 )及類似者。
在其他實施例中,非限定前驅物包括具有式(VIII )之有機金屬劑 : Ma Lc (VIII ), 其中M為金屬;L為與相對反應物具反應性之配位基、離子或其他部分; a≥1;且c≥1。在特定實施例中,c = n-1,且n為2、3或4。在一些實施例中,M為Sn、Te、Bi或Sb。相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中之L)以透過化學鍵結連接至少兩金屬原子之能力。
在本文任一實施例中,R可為視情況取代之烷基(例如,C1-10 烷基)。在一實施例中,烷基被一或更多鹵素取代(例如,經鹵素取代之C1-10 烷基,其包括一個、兩個、三個、四個或更多鹵素,例如F、Cl、Br或I)。示例性R取代基包括Cn H2n+1 ,較佳為其中n≥3;Cn Fx H(2n+1-x) ,其中2n+1 ≤ x ≤ 1。在諸多實施例中,R具有至少一β-氫或β-氟。例如,R可選自由異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基及其混合物所組成之群組。
在本文任一實施例中,L可為易被相對反應物取代以產生M-OH部分之任何部分,例如選自由胺基(例如,-NR1 R2 ,其中每一R1 及R2 可為H或烷基,例如本文所述之任一者)、烷氧基(例如-OR,其中R為烷基,例如本文所述之任一者)、羧酸根、鹵素(例如F、Cl、Br或I)及其混合物所組成之群組的部分。
相對反應物較佳具有取代反應性部分、配位基或離子(例如,本文式中的L)以透過化學鍵結連接至少兩金屬原子之能力。示例性相對反應物包括含氧之相對反應物,例如氧(O2 )、臭氧(O3 )、水、過氧化物(例如過氧化氫)、氧電漿、水電漿、醇、二羥基醇、多羥基醇、氟化二羥基醇、氟化多羥基醇、氟化二醇(fluorinated glycols)、甲酸及其他羥基部分之來源、以及其組合。在諸多實施例中,相對反應物透過在相鄰金屬原子之間形成氧橋而與前驅物反應。其他潛在的相對反應物包括硫化氫及二硫化氫,其可透過硫橋使金屬原子交聯;雙(三甲基甲矽烷基)碲,其可透過碲橋使金屬原子交聯。另外,可利用碘化氫將碘結合至膜中。
另其他非限定之相對反應物包括具有式ZR2 之硫屬化物前驅物,其中 : Z為硫、硒或碲;且每一R獨立為H、視情況取代之烷基(例如甲基、乙基、正丙基、異丙基、正丁基、叔丁基等) 、視情況取代之烯基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或視情況取代之三烷基甲矽烷基。
示例性有機金屬劑包括SnMeCl3 、(N 2 ,N 3 -二叔丁基-丁烷-2,3-二胺基)錫(II)(Sn(tbba))、雙(雙(三甲基甲矽烷基)醯胺基)錫(II)、四(二甲基胺基)錫(IV)(Sn(NMe2 )4 )、叔丁基三(二甲基胺基)錫(Sn(t -butyl)(NMe2 )3 )、異丁基三(二甲基胺基)錫(Sn(i -Bu)(NMe2 )3 )、正丁基三(二甲基胺基)錫(Sn(n -Bu)(NMe2 )3 )、仲丁基三(二甲基胺基)錫(Sn(s -Bu)(NMe2 )3 )、異丙基(三)二甲基胺基錫(Sn(i -Pr)(NMe2 )3 )、正丙基三(二乙基胺基)錫(Sn(n -Pr)(NEt2 )3 )及類似物烷基(三)(叔丁氧基)錫化合物,例如叔丁基三(叔丁氧基)錫(Sn(t -Bu)(t -BuO)3 )。在一些實施例中,有機金屬劑為部分氟化。微影製程
EUV微影利用EUV阻劑,其可為透過基於液體之旋塗技術所產生之基於聚合物的化學放大阻劑或透過乾式氣相沉積技術所產生之基於金屬氧化物的阻劑。此等EUV阻劑可包括本文所述之任何EUV敏感膜或材料。微影方法可包括例如透過用EUV輻射進行EUV阻劑曝光來對阻劑進行圖案化以形成光圖案,接著透過根據光圖案去除阻劑之一部分來對圖案進行顯影以形成遮罩。
亦應理解的是,儘管本發明係關於以EUV微影為例之微影圖案化技術及材料,但其亦可應用至其他下一代微影技術。除了包括目前正在使用及開發之標準13.5 nm EUV波長的EUV外,與此等微影最相關之輻射源為DUV(深紫外光),其一般指使用248 nm或193 nm之準分子雷射源、X射線(其形式上包括於X射線範圍之較低能量範圍處的EUV)、以及電子束(其可涵蓋較寬能量範圍)。此等方法包括使基板(例如,可選地具有暴露羥基)與前驅物(例如,本文所述之任一者)接觸以形成金屬氧化物(例如,包含有金屬氧化物鍵結網之層,其可包括其他非金屬及非氧基團)膜以作為基板表面上之成像/PR層的彼等方法。具體方法可能取決於半導體基板及最終半導體裝置中使用之特定材料及應用。因此,本申請中所述之方法僅為可用於本技術之方法及材料的示例。在一些實施例中,微影包括使用波長介於10 nm至400 nm之間的輻射源。
直接可光圖案化之EUV阻劑可由金屬及/或金屬氧化物構成或含有金屬及/或金屬氧化物。金屬/金屬氧化物因其可增強EUV光子吸收並產生二次電子及/或相對於底層膜堆及裝置層呈現較大蝕刻選擇性而頗具潛力。迄今為止,此些阻劑已使用濕式(溶劑)方法來進行顯影,該方法需將晶圓移至顯影機(track),以在其中將其暴露於顯影溶劑中,並進行乾燥及烘烤。濕式顯影不僅限制生產率,還會因細小特徵部之間溶劑蒸發期間之表面張力效應而導致線塌陷。
已提出乾式顯影技術以透過消除基板分層及界面裂損來克服此些問題。乾式顯影有其本身的挑戰性,包括未曝光與EUV曝光之阻劑材料間的蝕刻選擇性,相較於濕式顯影,其可能導致對有效阻劑曝光有更高之劑量比上尺寸(dose to size)的要求。次佳的選擇性亦可能因長時間暴露於蝕刻氣體下而導致PR角變圓,其可能加大後續轉移蝕刻步驟中之線CD變異。蝕刻期間所採用之額外製程於下詳細敘述。沉積製程,包括乾式沉積
如上所討論,本發明提供在半導體基板上製造吸收層及成像層的方法,其可使用EUV或其他下一代微影技術來圖案化。方法包括以蒸氣產生聚合之有機金屬材料並將其沉積在基板上之彼等方法。在一些實施例中,乾式沉積可採用任何有用的前驅物(例如,本文所述之金屬鹵化物、覆蓋劑或有機金屬劑)。在其他實施例中,可使用旋塗製劑。沉積製程可包括塗佈EUV敏感材料作為阻膜或EUV敏感膜。
此等EUV敏感膜包括暴露於EUV後即發生變化之材料,例如失去低密度富含M-OH材料中鍵結至金屬原子之大體積側基配位基,從而使其交聯成更緻密之M-O-M鍵結的金屬氧化物材料。在其他實施例中,EUV曝光導致鍵結至金屬原子之配位基之間進一步交聯,因而提供更緻密之M-L-M鍵結的有機金屬材料(其中L為配位基)。在另其他實施例中,EUV曝光導致失去配位基以提供可被正型顯影劑去除之M-OH材料。
透過EUV圖案化,產生相對於未曝光區而有物理或化學性質變化之膜的區域。此些性質可在後續處理中加以利用,例如溶解未曝光或曝光區,或在曝光或未曝光區上選擇性地沉積材料。在一些實施例中,在進行此等後續處理之條件下,未曝光膜具有疏水性表面,而曝光膜具有親水性表面(已知悉曝光與未曝光區之親水性是彼此相對的)。例如,可透過利用膜之化學組成、密度及交聯上的差異來進行材料的去除。可透過濕式處理或乾式處理去除,如本文進一步所述。
形成在基板表面上之EUV可圖案化膜的厚度可根據表面特性、所使用之材料及處理條件而變化。在諸多實施例中,膜厚度可為約0.5 nm至約100 nm之範圍。較佳為,膜具有得以在EUV圖案化之條件下吸收大部分EUV光的足夠厚度。例如,阻膜之總吸收可為30%或更少(例如10%或更少、或5%或更少),使得阻膜底部之阻劑材料充分曝光。在一些實施例中,膜厚度為10 nm至20 nm。在不限制本發明之機制、功能或實用性下,據信不同於本領域之濕式旋塗製程,本發明之製程對基板之表面黏附性質的限制較少,因此可應用至多種基板。再者,如上所討論,所沉積之膜可以緊密地符合表面特徵部,從而提供在基板(例如具有底層特徵部之基板)上形成遮罩的優點,而無需“填充”或以其他方式使此等特徵部平坦化。
膜(例如,吸收層及/或成像層)可由以任何有用方式沉積之金屬氧化物層所構成。此等金屬氧化物層可透過使用本文所述之任何EUV敏感材料來沉積或塗佈,例如前驅物(如,含金屬前驅物、金屬鹵化物、覆蓋劑或有機金屬劑)與相對反應物組合。在示例性製程中,聚合之有機金屬材料係在基板表面上以氣相或原位形成,以提供金屬氧化物層。金屬氧化物層可用作膜、黏附層或覆蓋層。
可選地,金屬氧化物層可包括羥基封端金屬氧化物層,其可透過使用覆蓋劑(如本文所述之任一者)與含氧相對反應物來沉積。此等羥基封端金屬氧化物層可用作例如兩其他層之間的黏附層,例如基板與膜之間及/或光阻層與底層之間。
示例性沉積技術(例如,用於膜)包括本文所述之任一者,例如ALD(例如,熱ALD及電漿增強ALD)、旋塗沉積、包括PVD共濺鍍之PVD、CVD(例如,PE-CVD或LP-CVD)、濺鍍沉積、包括電子束共蒸鍍之電子束沉積等,或其組合,例如具有CVD組分之ALD,例如前驅物與相對反應物在時間或空間上分開之不連續類ALD製程。
沉積作為可應用至本發明EUV光阻膜之前驅物及方法的進一步描述可見於國際申請案第PCT/US19/31618號,其公開為國際公開案第WO2019/217749號,於2019年5月9日申請,標題為“METHODS FOR MAKING EUV PATTERNABLE HARD MASKS”。除了前驅物及相對反應物之外,薄膜還可包括可選的材料,以對膜的化學或物理性質進行改質,例如以改質膜對EUV的敏感性或增強抗蝕刻性。可引入此等可選材料,例如透過在沉積於基板上之前的氣相形成期間、膜沉積之後或兩者期間進行摻雜。在一些實施例中,可引入溫和的遠端H2 電漿,以例如用Sn-H取代一些Sn-L鍵,其可增強阻劑在EUV下的反應性。
一般而言,方法可包括將前驅物(例如,含金屬前驅物,例如有機金屬劑)蒸氣流與可選之相對反應物蒸氣流混合,以形成聚合之有機金屬材料,並將有機金屬材料沉積至半導體基板之表面上。在一些實施例中,將前驅物與可選之相對反應物混合可形成聚合之有機金屬材料。如本領域具有通常技藝者將理解,製程之混合與沉積方面可在實質上連續的製程中同時進行。
在示例性連續CVD製程中,將前驅物與可選相對反應物源之兩個或更多氣流(於分開入口路徑中)引至CVD設備之沉積腔室中,在此其於氣相中混合並反應,以在基板上形成團聚之聚合物材料 (例如,透過金屬-氧-金屬鍵形成)或膜。可例如使用分開噴射入口或雙氣室噴淋頭來引入氣流。該裝置配置成使前驅物與可選相對反應物流於腔室中混合,從而允許前驅物與可選相對反應物反應,以形成聚合之有機金屬材料或膜(例如,金屬氧化物塗層或團聚之聚合物材料,例如透過金屬-氧-金屬鍵形成)。
為了沉積金屬氧化物,CVD製程一般是在減壓下進行,例如從0.1 托耳(Torr)至10 托耳(Torr)。在一些實施例中,該製程係於1 Torr至2 Torr之壓力下進行。基板之溫度較佳是低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。
為了沉積團聚之聚合物材料,CVD製程通常在減壓下進行,例如從10m Torr至10 Torr。在一些實施例中,該製程係於0.5至2 Torr下進行。基板之溫度較佳是等於或低於反應物流之溫度。例如,基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。在諸多製程中,聚合之有機金屬材料的沉積係以與表面溫度成反比的速率發生。在不限制本技術之機制、功能或實用性下,據信來自此等氣相反應之產物因金屬原子透過相對反應物交聯而變成更大分子量,並接著凝結或沉積在基板上。在諸多實施例中,大體積烷基之立體障礙進一步阻止形成緻密堆積網,並產生具較大孔隙率之低密度膜。
使用乾式沉積方法之潛在優勢是膜的組成容易在其生長時進行調變。在CVD製程中,此可透過在沉積期間改變第一前驅物與第二前驅物之相對流量來完成。沉積可於介於30℃與200℃之間且壓力介於0.01 Torr至100 Torr之間發生,但更一般係介於約0.1 Torr與10 Torr之間。
膜(如,例如透過金屬-氧-金屬鍵形成之金屬氧化物塗層或團聚之聚合材料)亦可透過ALD製程來沉積。例如,在分開時間引入前驅物及可選相對反應物,其代表ALD循環。前驅物在表面上反應,從而在每一循環一次形成多達一層材料。此可允許很好地控制整個表面上膜厚度的均勻性。ALD製程一般是在減壓下進行,例如從0.1 Torr至10 Torr。在一些實施例中,該製程於1 Torr至2 Torr進行。基板溫度可為0℃至250℃,或環境溫度(例如23℃)至150℃。該製程可為熱製程,或較佳為電漿輔助沉積。
本文中任一沉積方法均可被修改成得以允許使用兩者或更多不同前驅物。在一實施例中,前驅物可包括相同金屬但不同配位基。在另一實施例中,前驅物可包括不同金屬基團。在一非限定實例中,諸多揮發性前驅物之交替流動可提供混合的含金屬層,例如使用具有第一金屬(例如Sn)之金屬烷氧化合物前驅物與具有不同第二金屬(例如Te)之基於矽烷基的前驅物。
本文之製程可用於實現表面改質。在一些反覆法中,前驅物之蒸氣可通過晶圓。可加熱晶圓以提供熱能使反應進行。在一些反覆法中,加熱可介於約50℃至約250℃之間。在一些例子中,可使用前驅物的脈衝,透過泵及/或沖洗步驟將其隔開。例如,可在第二前驅物脈衝之脈衝之間脈衝第一前驅物,從而導致ALD或類-ALD生長。在其他例子中,兩者前驅物可同時流動。可用於表面改質之元素的示例包括I、F、Sn、Bi、Sb、Te、及此些化合物之氧化物或合金。
本文之製程可用於透過ALD或CVD沉積薄金屬氧化物或金屬。示例包括氧化錫(SnOx)、氧化鉍(BiOx)及Te。沉積之後,可用式Ma Rb Lc 之經烷基取代的前驅物覆蓋膜,如本文其他地方所述。可使用相對反應物以更佳地去除配位基,且可重複多個循環以確保基板表面完全飽和。該表面接著可準備用於沉積EUV敏感膜。一可能的方法是產生SnOx薄膜。可能的化學方法包括透過使四(二甲基胺基)錫與相對反應物(例如水或O2 電漿)循環來生長SnO2 。生長後,可使用覆蓋劑。例如,可使異丙基三(二甲基胺基)錫蒸氣流過該表面。
可在任何有用的表面上採用沉積製程。如本文所提,“表面”為其上將沉積本技術之膜或在處理期間將暴露於EUV之表面。此等表面可存在於基板上(例如,膜將沉積於其上)、膜上(例如,覆蓋層可沉積於其上)或底層上。
可採用任何有用的基板,包括適合微影處理(特別是用於生產積體電路及其他半導體裝置)之任何材料構造。在一些實施例中,基板為矽晶圓。基板可為其上已形成具有不規則表面形貌之特徵部(“底層形貌特徵部”)的矽晶圓。
此等底層形貌特徵部可包括在進行本技術方法之前已在處理期間去除材料(例如,透過蝕刻)的區域或者已添加材料(例如,透過沉積)的區域。此等先前處理可包括本技術之方法或反覆製程(iterative process)中之其他處理方法,藉此得以在基板上形成兩層或更多層特徵部。在不限制本技術之機制、功能或實用性下,據信在一些實施例中,本技術之方法提供相對於本領域已知方法(其使用旋模法將黃光微影膜沉積於基板表面上)之優點。此等優點可源自本技術之膜對底層特徵部的一致性,而無需”填充”或以其他方式使此等特徵部平坦化,以及在廣泛材料表面上沉積膜的能力。
在一些實施例中,基板為硬遮罩,其用於底層半導體材料之微影蝕刻。硬遮罩可包括多種材料中之任一者,包括非晶碳(a-C)、氧化錫(例如SnOx )、氧化矽(例如SiO2 )、氮氧化矽(例如SiOx Ny )、碳氧化矽(例如SiOx C)、氮化矽(例如,Si3 N4 )、氧化鈦(例如,TiO2 )、氮化鈦(例如,TiN)、鎢(例如,W)、摻雜的碳(例如,W摻雜的C)、氧化鎢(例如,WOx )、氧化鉿(例如HfO2 )、氧化鋯(例如ZrO2 )及氧化鋁(例如Al2 O3 )。例如,基板可較佳地包括SnOx ,例如SnO2 。在諸多實施例中,該層可為1 nm至100 nm厚,或2 nm至10 nm。
在一些非限定實施例中,基板包括底層。底層可沉積於硬遮罩或其他層上且一般在成像層(或膜)下方,如本文所述。底層可用於改善PR之敏感性、增加EUV吸收率、及/或提高PR之圖案化效能。在待圖案化之基板上存有產生顯著形貌之裝置特徵部的例子中,底層之另一重要功能可為對現有形貌進行塗覆及平坦化,以便可在具有焦點圖案之所有區域的平坦表面上執行後續圖案化步驟。對於此等應用,底層(或多個底層中之至少一者)可使用旋塗技術來塗佈。當所採用之光阻材料具有顯著的無機成分時,例如其展現主要的金屬氧化物骨架,則底層可有利地為基於碳的膜,其可透過旋塗或透過基於乾式真空的沉積製程來塗佈。該層可包括具有基於碳及氫之組成的諸多可灰化遮罩(AHM)膜,並可摻雜有例如鎢、硼、氮或氟之額外元素。
在諸多實施例中,該表面(例如,基板及/或膜的表面)在其表面上包含暴露的羥基。一般而言,該表面可為包含或已被處理以產生暴露羥基表面之任何表面。可透過使用氧電漿、水電漿或臭氧之基板的表面處理而在表面上形成此等羥基。在其他實施例中,可對膜之表面進行處理,以提供暴露的羥基,其上可塗佈覆蓋層。在諸多實施例中,羥基封端金屬氧化物層具有0.1 nm至20 nm、或0.2 nm至10 nm、或0.5 nm至5 nm的厚度。EUV 曝光製程
膜之EUV曝光可提供具有包括金屬原子(M)之活化反應中心(其透過EUV介導之裂解事件而產生)的EUV曝光區。此等反應中心可包括懸空金屬鍵、M-H基團、裂解的M-配位基基團、二聚化之M-M鍵或M-O-M橋。
EUV曝光在真空環境中可具有約10 nm至約20 nm的波長,例如10 nm至15 nm的波長,如13.5 nm。尤其,圖案化可提供EUV曝光區及EUV未曝光區,以形成圖案。
本技術可包括使用EUV以及DUV或電子束進行圖案化。在此等圖案化中,輻射被聚焦於成像層之一或更多區域上。曝光通常係執行為使得成像層膜包括一或更多未暴露於輻射之區域。所得之成像層可包括複數曝光及未曝光區域,從而建立與電晶體或半導體裝置之其他特徵部圖案之建立一致的圖案,其在後續基板之處理中透過從基板添加或移除材料而形成。本文中有用之EUV、DUV及電子束輻射方法及裝備包括本領域已知之方法及裝備。
在一些EUV微影技術中,有機硬遮罩(例如PECVD非晶氫化碳之可灰化硬遮罩)係使用習知光阻製程進行圖案化。在光阻曝光期間,EUV輻射在阻劑及下方基板中被吸收,從而產生高能量的光電子(例如約100 eV)而後是側向擴散數奈米之一連串低能量的二次電子(例如約10 eV)。此些電子增加阻劑中化學反應的程度,其增強其EUV劑量敏感性。然而,本質上為隨機之二次電子圖案被疊加於光學圖像上。此不希望有的二次電子曝光在圖案化阻劑中導致解析度下降、顯著的線邊緣粗糙度(LER)以及線寬變異。此些缺陷在隨後圖案轉移蝕刻期間複製到待圖案化的材料中。
將膜形成(沉積/凝結)與光學微影結合因而大幅改善EUV微影(EUVL)效能(例如,降低線邊緣粗糙度)之真空整合金屬硬遮罩製程及相關真空整合硬體揭示於本文中。
在本文所述之諸多實施例中,可使用沉積(例如,凝結)製程(例如,在如Lam Vector®之PECVD工具中執行的ALD或MOCVD),以形成含金屬膜之薄膜,例如光敏金屬鹽或含金屬之有機化合物(有機金屬化合物),其在EUV中(例如,在10 nm至20 nm等級之波長處)有強吸收,例如在EUVL光源之波長處(例如,13.5 nm = 91.8 eV)。此膜在EUV曝光後即發生光分解,並形成金屬遮罩,該金屬遮罩在後續蝕刻期間(例如,在導體蝕刻工具中,例如Lam 2300® Kiyo®)中作為圖案轉移層。
在沉積之後,EUV可圖案化薄膜透過暴露於EUV光束(通常在相對高真空下)而被圖案化。為了EUV曝光,含金屬膜可接著在與微影平台整合之腔室中(例如,晶圓步進機,如荷蘭-費爾德霍溫(Veldhoven)的ASML供應之TWINSCAN NXE: 3300B®平台)進行沉積,並在真空下轉移以不在曝光前反應。考慮到環境氣體(例如H2 O、O2 等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使與微影工具整合。在其他實施例中,光敏金屬膜沉積與EUV曝光可在同一腔室中進行。顯影製程,包括乾式顯影
EUV曝光或未曝光區可透過任何有用之顯影製程去除。在一實施例中,EUV曝光區可具有活化的反應中心,例如懸空金屬鍵、M-H基團或二聚化M-M鍵。在特定實施例中,可透過採用一或更多乾式顯影製程(例如,鹵化物化學)來選擇性地去除M-H基團。在其他實施例中,可透過採用濕式顯影製程(例如,使用熱乙醇及水,以提供可溶M(OH)n 基團)來選擇性地去除M-M鍵。在另其他實施例中,透過使用濕式顯影(例如,透過使用正型顯影劑)去除EUV曝光區。在一些實施例中,透過使用乾式顯影去除EUV未曝光區。
乾式顯影製程可包括使用鹵化物,例如基於HCl或HBr之製程。儘管本發明不限於任何特定理論或操作機制,但該方法當理解為使用蒸氣或電漿,利用乾式沉積之EUV光阻膜與清潔化學物(例如HCl、HBr及BCl3 )之化學反應性,以形成揮發性產物。乾式沉積之EUV光阻膜可以高達1 nm/s之蝕刻速率來去除。透過此些化學方法所進行之乾式沉積EUV光阻膜的快速去除可用於腔室清潔、背側清潔、斜邊清潔及PR顯影。雖然可使用諸多溫度下的蒸氣(例如,溫度高於-10°C之HCl或HBr、或溫度高於80°C之BCl3 )去除膜,但亦可使用電漿以進一步加快或增強反應性。
電漿製程包括採用本領域已知之裝備及技術的變壓耦合式電漿(TCP)、感應耦合式電漿(ICP)或電容耦合式電漿(CCP)。例如,可在>0.5 mTorr(例如,1 mTorr至100 mTorr)之壓力下,在<1000W(例如,<500W)之功率位準下進行製程。溫度可為30℃至300℃(例如30℃至120℃),流速為100至1000每分鐘標準立方公分(sccm),例如約500 sccm,持續1至3000秒(例如10秒至600秒)。
在鹵化物反應物流為氫氣及鹵化物氣體下,使用遠端電漿/ UV輻射,以從H2 與Cl2 及/或Br2 產生自由基,且氫及鹵化物自由基流至反應腔室,以接觸晶圓之基板層上的圖案化EUV光阻。合適的電漿功率可於100 W至500 W範圍內,無偏壓。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
在熱顯影製程中,基板係在真空腔室(例如爐)中暴露於乾式顯影化學物(例如路易斯酸)。合適之腔室可包括真空管線、乾式顯影鹵化氫化學氣體(例如,HBr、HCl)管線及用於溫度控制之加熱器。在一些實施例中,腔室內部可塗有抗腐蝕膜,例如有機聚合物或無機塗層。此等塗層為聚四氟乙烯((PTFE),例如,TeflonTM )。此等材料可用於本發明之熱製程中而無被電漿暴露去除的風險。
乾式顯影之製程條件可為100 sccm至500 sccm反應物流量(例如500 sccm HBr或HCl)、-10°C至120°C(例如-10°C) 溫度、1 mTorr至500 mTorr (例如300 mTorr)壓力、無電漿下且持續約10秒至1 分鐘時間,其取決於光阻膜及其組成與性質。
在諸多實施例中,本發明之方法結合膜沉積、透過氣相沉積之形成、(EUV)微影光圖案化及乾式顯影之所有乾式步驟。在此等製程中,在EUV掃描儀中進行光圖案化之後,基板可直接進入乾式顯影/蝕刻腔室。此等製程可避免濕式顯影相關之材料及生產成本。乾式製程亦可提供更多的可調性,並予以進一步CD控制及/或浮渣清除。
在諸多實施例中,EUV光阻(含有一些金屬、金屬氧化物及有機成分的含量)可透過熱、電漿(例如,可能包括光活化電漿,例如燈加熱或UV燈加熱)、或熱與電漿方法之混合並使包含有式Rx Zy 化合物之乾式顯影氣體流動(其中R = B、Al、Si、C、S、SO,x > 0,且Z = Cl、H、Br、F 、CH4 及y> 0)來進行乾式顯影。乾式顯影可產生正型,其中Rx Zy 物種選擇性去除曝光材料,留下未曝光對應部分作為遮罩。在一些實施例中,基於有機錫氧化物之光阻膜的曝光部分係根據本發明透過乾式顯影去除。正型乾式顯影可透過EUV曝光區域之選擇性乾式顯影(去除)來達成,EUV曝光區域係暴露於包含有鹵化氫或氫與鹵化物(包括HCl及/或HBr)之流料而未撞擊電漿、或H2 與Cl2 及/或Br2 之流料且具遠端電漿或電漿產生之UV輻射以產生自由基。
亦可採用濕式顯影方法。在特定實施例中,此等濕式顯影方法係用於去除EUV曝光區,以提供正型光阻或負型光阻。示例性非限定濕式顯影可包括使用鹼性顯影劑(例如水性鹼性顯影劑),例如包括銨,例如氫氧化銨(NH4 OH);基於銨的離子液體,例如四甲基氫氧化銨(TMAH)、四乙基氫氧化銨(TEAH)、四丙基氫氧化銨(TPAH)、四丁基氫氧化銨(TBAH)或其他季烷基氫氧化銨;有機胺,例如一級、二級及三級有機胺(例如二甲基胺、二乙基胺、乙二胺、三乙烯四胺);或烷醇胺,例如單乙醇胺、二乙醇胺、三乙醇胺或二甘醇胺之彼些顯影劑。在其他實施例中,鹼性顯影劑可包括含氮鹼,例如具有式RN1 NH2 、RN1 RN2 NH、RN1 RN2 RN3 N、或RN1 RN2 RN3 RN4 N+ XN1 之化合物,其中每一RN1 、RN2 、RN3 及RN4 獨立為有機取代基(例如,視情況取代之烷基或本文所述之任一者)、或可連接在一起之兩個或更多有機取代基,且XN1- 可包含OH 、F 、Cl 、Br 、I 或其他本領域已知之季銨陽離子物種。此些鹼亦可包含本領域已知之雜環氮化合物,其中一些描述於本文中。
其他顯影方法可包括使用酸性顯影劑(例如,水性酸性顯影劑或有機溶劑中之酸性顯影劑),其包含鹵化物(例如HCl或HBr)、有機酸(例如,甲酸、乙酸或檸檬酸)、或有機氟化合物(例如三氟乙酸);或使用有機顯影劑,例如酮(如2-庚酮、環己酮或丙酮)、酯(例如γ-丁內酯或3-乙氧基丙酸乙酯(EEP))、醇(例如異丙醇(IPA))、或醚,例如乙二醇醚 (例如丙二醇甲醚(PGME)或丙二醇甲醚醋酸酯(PGMEA))、以及其組合。
在特定實施例中,正型顯影劑為水性鹼性顯影劑(例如,包括NH4 OH、TMAH、TEAH、TPAH或TBAH)。在其他實施例中,負型顯影劑為水性酸性顯影劑、有機溶劑中之酸性顯影劑、或有機顯影劑(例如,HCl、HBr、甲酸、三氟乙酸、2-庚酮、IPA、PGME、PGMEA或其組合)。塗佈後製程
本文之方法可包括任何有用之塗佈後製程,如下所述。
對於背側及斜邊清潔製程,可將蒸氣及/或電漿限制於晶圓之特定區域,以確保僅去除背側及斜邊,而晶圓之前側上未有任何膜降解。去除之乾式沉積EUV光阻膜一般係由Sn、O及C所構成,但相同的清潔方法可擴展至其他金屬氧化物阻劑及材料之膜上。此外,此方法亦可用於膜剝離及PR重修。
用於乾式斜邊及背側清潔之合適製程條件可為100 sccm至500 sccm反應物流量(例如500 sccm HCl、HBr、或H2 與Cl2 或Br2 、BCl3 或H2 ) 、-10°C至120°C(例如20°C) 溫度、20 mTorr至500 mTorr(例如300 mTorr) 壓力、高頻下(例如13.56 MHz)之0至500 W電漿功率、持續約10秒至20秒時間,其取決於光阻膜及組成與性質。應當理解,儘管此些條件適用於一些處理反應器,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Kiyo蝕刻工具,但根據處理反應器之能力可使用廣泛範圍之製程條件。
黃光微影製程通常包括一或更多烘烤步驟,以促進在光阻之曝光與未曝光區之間產生化學對比所需之化學反應。對於大量製造(HVM),此等烘烤步驟通常在顯影機上執行,其中晶圓在環境空氣或一些例子中在N2 流下於預設溫度之熱板上烘烤。在此些烘烤步驟期間,更仔細地控制烘烤環境以及在環境中引入額外反應性氣體成分可助於進一步降低劑量要求及/或改善圖案複製度(fidelity)。
根據本發明之諸多態樣,對金屬及/或基於金屬氧化物之光阻進行一或更多後處理(在沉積之後,例如塗佈後烘烤(PAB);及/或在曝光之後,例如曝光後烘烤(PEB);及/或在顯影之後,例如顯影後烘烤(PDB))能夠提高曝光與未曝光光阻之間的材料性質差異,因而減小劑量比上尺寸(DtS)、改善PR輪廓、並改善後續乾式顯影後之線邊緣及寬度粗糙度(LER/LWR)。此等處理可涉及控制溫度、氣體環境及水分之熱處理,因而改善後續處理中之乾式顯影效能。在一些實例中,可使用遠端電漿。
在塗佈後處理(例如,PAB)之例子中,可在沉積後且曝光前使用控制溫度、氣體環境(例如,空氣、H2 O、CO2 、CO、O2 、O3 、CH4 、CH3 OH、N2 、H2 、NH3 、N2 O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光金屬及/或金屬氧化物光阻的組成。該改變可提高材料之EUV敏感性,並因此可在曝光且乾式顯影後達到較低劑量比上尺寸及邊緣粗糙度。
在曝光後處理(例如,PEB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H2 O、CO2 、CO、O2 、O3 、CH4 、CH3 OH、N2 、H2 、NH3 、N2 O、NO、Ar、He或其混合物)或真空下、及水分之熱製程,以改變未曝光及曝光光阻兩者之組成。該改變可提高未曝光與曝光光阻之間的組成/材料性質差異以及未曝光與曝光光阻之間乾式顯影蝕刻氣體的蝕刻速率差異。因而可達到更高的蝕刻選擇性。由於改善選擇性,故可獲得具有改善之表面粗糙度及/或較少光阻殘留/浮渣的方形PR輪廓。在特定實施例中,PEB可在空氣中以及可選地存在水分及CO2 下進行。
在顯影後處理(例如,顯影後烘烤或PDB)之例子中,可利用控制溫度、氣體環境(例如,空氣、H2 O、CO2 、CO、O2 、O3 、CH4 、CH3 OH、N2 、H2 、NH3 、N2 O、NO、Ar、He或其混合物)或真空下(例如有UV)、及水分之熱製程,以改變未曝光光阻之組成。在特定實施例中,該條件亦包括使用電漿(例如,包括O2 、O3 、Ar、He或其混合物)。該改變可增強材料的硬度,若在蝕刻底層基板時將使用該膜作為阻劑遮罩,則其可能是有利的。
在此些例子中,於可替代實施方式中,熱製程可被遠端電漿製程代替來增加反應性物種,以降低反應之能障並提高生產率。遠端電漿可產生更多反應性自由基,因而降低用於處理之反應溫度/時間,從而提高生產率。
據此,可應用一或更多製程來改質光阻本身以增加乾式顯影選擇性。此熱或自由基改質可增加未曝光與曝光材料之間的對比,因而增加後續乾式顯影步驟的選擇性。未曝光與曝光材料之材料性質之間所得的差異可透過調整製程條件(包括溫度、氣體流量、水分、壓力及/或RF功率)來調變。乾式顯影所能實現之較大製程自由度(其不受濕式顯影劑中材料溶解度的限制)允許應用更苛刻的條件以進一步提高可達到之材料對比。所得之高材料對比可對乾式顯影反饋更寬的製程窗,因而實現較高生產率、較低成本及較佳缺陷效能。
濕式顯影之阻膜的主要限制是受限的溫度烘烤。由於濕式顯影取決於材料溶解度,因此例如加熱至220°C或超過220°C會大幅增加含金屬PR膜之曝光與未曝光區兩者中的交聯度,使得兩者均不溶於濕式顯影溶劑,如此該膜不能再可靠地進行濕式顯影。對於乾式顯影之阻膜(其中靠著PR曝光區與未曝光區之間的蝕刻速率差異(即選擇性)而僅去除阻劑之曝光區或未曝光區),PAB、PEB或PDB中之處理溫度可在更大得多的窗內變化,以對處理製程進行調變及最佳化,例如對於PAB約90°C至250°C(如90°C至190°C),對於PEB及/或PDB約170°C至250°C或更高(如190°C至240°C)。 已發現較小蝕刻速率且較大蝕刻選擇性發生於所述範圍內之較高處理溫度下。
在特定實施例中,PAB、PEB及/或PDB處理可在氣體環境流量為100 sccm至10000 sccm範圍內、水分含量為百分之幾至100%(例如20%-50%)、壓力介於大氣壓與真空之間、且持續時間約1至15分鐘(例如約2分鐘)下執行。
此些發現可用於調變處理條件,以定製用於特定材料及情況之處理或對其最佳化。例如,對於給定之EUV劑量,在空氣中約20%濕度下進行220°C至250°C PEB熱處理約2分鐘所能達到之選擇性,可類似於高約30%之EUV劑量而無此等熱處理之選擇性。因此,取決於半導體處理操作之選擇性要求/限制,可使用例如本文所述之熱處理以降低所需之EUV劑量。或者,若需更高之選擇性且可容許更高的劑量,則可獲得比濕式顯影背景下可能達到還要高得多的選擇性,其曝光比上未曝光高達100倍。
另其他步驟可包括原位度量,其中物理及結構特性(例如,臨界尺寸、膜厚度等)可在黃光微影製程期間評估。實施原位度量之模組包括,例如散射測量、橢圓偏光、下游質譜、及/或電漿增強下游光放射光譜模組。設備
本發明亦包括配置成執行本文所述之任何方法之任何設備。在一實施例中,用於沉積膜之設備包括沉積模組,該沉積模組包括用於沉積一或更多前驅物以提供成像層及/或輻射吸收層之腔室;圖案化模組,其包括具有小於30 nm波長輻射源之EUV黃光微影工具;以及顯影模組,其包括用於對膜(包括此等層)進行顯影之腔室。
該設備可進一步包括具有用於此等模組之指令的控制器。在一實施例中,控制器包括一或更多記憶體裝置、一或更多處理器、以及編有用於執行膜沉積指令之系統控制軟體。此等指令可包括在沉積模組中用於沉積一或更多前驅物以提供成像層及/或輻射吸收層;在圖案化模組中,直接透過EUV曝光以小於30nm解析度對層進行圖案化,因而在膜內形成圖案;以及在顯影模組中,對該膜顯影。在特定實施例中,顯影模組提供用於去除EUV曝光或EUV未曝光區,因而在膜內提供圖案。
9 繪出具有維持低壓環境之製程腔室主體902的製程站900實施例示意圖,其適於實施本文所述之所述乾式沉積及顯影實施例。複數製程站900可包含於共同低壓製程工具環境中。例如, 10 繪出多站處理工具1000之實施例,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之SabreTM 之VECTOR®處理工具。在一些實施例中,製程站900之一或更多硬體參數(包括以下詳細討論之彼等參數)可由一或更多電腦控制器950以程式化方式進行調整。
製程站可配置成叢集工具中的模組。 12 繪出具有適於實施本文所述實施例之真空整合沉積與圖案化模組的半導體製程叢集工具架構。此等叢集製程工具架構可包括阻劑沉積、阻劑曝光(EUV掃描儀)、阻劑乾式顯影及蝕刻模組,如上及進一步如下參考 9-1113 所述。
在一些實施例中,某些處理功能可在同一模組中連續執行,例如乾式顯影及蝕刻。且本發明之實施例涉及方法與設備用於 : 在EUV掃描儀中進行光圖案化之後將晶圓(包括設置於待蝕刻之層或層堆上的光圖案化EUV阻劑薄膜層)接收到乾式顯影/蝕刻腔室;對光圖案化EUV阻劑薄膜層進行乾式顯影;以及接著使用圖案化EUV阻劑作為遮罩來蝕刻底下層,如本文所述。
返回 9 ,製程站900與反應物輸送系統901a流體連通,以將製程氣體輸送至分佈噴淋頭906。反應物輸送系統901a可選地包括混合容器904,用於混合及/或調節製程氣體,以輸送至噴淋頭906。一或更多混合容器入口閥920可控制製程氣體引入混合容器904。在利用電漿暴露下,電漿亦可被傳送至噴淋頭906,或者可在製程站900中產生。如上所述,在至少一些實施例中,非電漿熱暴露是有利的。
9 包括可選汽化點903,用於汽化待供應至混合容器904之液體反應物。在一些實施例中,可提供在汽化點903上游之液體流量控制器(LFC),用於控制液體的質量流量以汽化並輸送至製程站900。例如,LFC可包括位於LFC下游之熱質量流量計(MFM)。LFC之柱塞閥可接著被調整以響應於與MFM電性連通之比例積分微分(PID)控制器所提供的反饋控制信號。
噴淋頭906向基板912分佈製程氣體。在 9 所示之實施例中,基板912位於噴淋頭906下方,且示為留置於基座908上。噴淋頭906可具有任何適當的形狀,且可具有用於將製程氣體分佈至基板912之任何適當數量及佈設的埠。
在一些實施例中,基座908可被升高或下降,以使基板912暴露於基板912與噴淋頭906之間的容積。將知悉的是,在一些實施例中,基座高度可透過合適之電腦控制器950以程式化方式進行調整。
在一些實施例中,基座908可透過加熱器910進行溫度控制。在一些實施例中,在光圖案化阻劑無電漿地熱暴露於鹵化氫乾式顯影化學物(例如,HBr、HCl或BCl3 )期間,基座908可被加熱至大於0℃且高達300℃或更高,舉例為50至120°C,例如約 65至80°C,如所揭示之實施例中所述。
此外,在一些實施例中,對製程站900之壓力控制可透過蝶閥918來提供。如 9 之實施例中所示,蝶閥918對下游真空泵(未示出)所提供之真空進行節流。然而,在一些實施例中,製程站900之壓力控制亦可透過改變引入製程站900之一或更多氣體的流速來調整。
在一些實施例中,可相對於基座908調整噴淋頭906的位置,以改變基板912與噴淋頭906之間的容積。此外,將知悉的是,基座908及/或噴淋頭906的垂直位置可在本發明之範圍內透過任何合適的機構來變化。在一些實施例中,基座908可包括用於旋轉基板912方位之旋轉軸。將知悉的是,在一些實施例中,此些示例性調整中之一或更多者可透過一或更多合適的電腦控制器950以程式化方式來執行。
在可使用電漿下(例如在基於溫和電漿之乾式顯影實施例中及/或在同一腔室中進行蝕刻操作),噴淋頭906及基座908與射頻(RF)功率供應源914及匹配網路916電性通訊,用以對電漿供電。在一些實施例中,電漿能量可透過控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時序中之一或更多者來控制。例如,RF功率供應源914及匹配網路916可按任何合適功率來進行操作,以形成具有所欲自由基物種組成之電漿。合適功率之示例高達約500 W。
在一些實施例中,用於控制器950之指令可透過輸入/輸出控制(IOC)定序指令來提供。在一示例中,用於針對製程階段設定條件的指令可包含於製程配方之對應配方階段中。在一些例子中,製程配方階段可依序排列,使得針對製程階段之所有指令與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數之指令可包含於配方階段中。例如,配方階段可包括用於設定乾式顯影化學反應氣體(例如,HBr或HCl)之流速的指令以及用於配方階段之時間延遲指令。在一些實施例中,控制器950可包括以下關於 10 之系統控制器1050所描述之任何特徵。
如上所述,一或更多製程站可包含於多站處理工具中。 10 示出具有入站裝載室1002及出站裝載室1004(其一者或兩者可包括遠端電漿源)之多站處理工具1000的實施例示意圖。處於大氣壓下之機器人1006配置成將晶圓從透過傳送盒(pod)1008裝載之晶舟通過大氣埠1010移動至入站裝載室1002。晶圓透過機器人1006被放置在入站裝載室1002中之基座1012上,大氣埠1010被關閉,接著裝載室被泵抽。在入站裝載室1002包括遠端電漿源之情況下,晶圓可在引入處理腔室1014之前暴露於遠端電漿處理,以在裝載室中處理氮化矽表面。此外,晶圓亦可在入站裝載室1002中被加熱,例如以去除水分及吸附的氣體。接著,打開往處理腔室1014之腔室轉移埠1016,且另一機器人(未示出)將晶圓放入反應器中第一站之基座上(示於反應器中)以進行處理。儘管繪於 10 中之實施例包括裝載室,但將知悉的是,在一些實施例中,可提供晶圓直接進入製程站。
所繪之處理腔室1014包括四個製程站,在 10 所示之實施例中從1到4編號。每一站具有一加熱基座(示於站1之1018)及氣體管線入口。將知悉的是,在一些實施例中,每一製程站可具有不同或多個目的。例如,在一些實施例中,製程站可在乾式顯影與蝕刻製程模組之間切換。額外地或可替代地,在一些實施例中,處理腔室1014可包括一或更多對匹配的乾式顯影及蝕刻製程站。又,在一些實施例中,為了沉積具有垂直漸變組成之EUV阻膜,多站處理工具可配置成沉積一系列(例如,四個)連續同質之階狀吸收層,因而使膜底部處具有高EUV吸收率之原子的密度相對於膜頂部增加。儘管所繪之處理腔室1014包括四個站,但將理解的是,根據本發明之處理腔室可具有任何合適數量的站。例如,在一些實施例中,處理腔室可具有五或更多站,而在其他實施例中,處理腔室可具有三或更少站。
10 繪出用於在處理腔室1014內轉移晶圓之晶圓裝卸系統1090的實施例。在一些實施例中,晶圓裝卸系統1090可在諸多製程站之間及/或在製程站與裝載室之間轉移晶圓。將知悉的是,可採用任何合適之晶圓裝卸系統。非限定示例包括晶圓傳送帶及晶圓裝卸機器人。 10 亦繪出用於控制製程條件及製程工具1000之硬體狀態的系統控制器1050實施例。系統控制器1050可包括一或更多記憶體裝置1056、一或更多大容量儲存裝置1054以及一或更多處理器1052。處理器1052可包括中央處理器(CPU)或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器1050控制製程工具1000之所有活動。系統控制器1050執行儲存在大容量儲存裝置1054中、加載至記憶體裝置1056中並在處理器1052上執行之系統控制軟體1058。可替代地,可將控制邏輯硬編碼於控制器1050中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGAs)及其類似者可用於該些目的。在以下討論中,無論“軟體”或“編碼”用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體1058可包含用以控制時序、氣體之混合、氣體流速、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、吸盤及/或晶座位置、及由製程工具1000所執行之特定製程的其他參數。系統控制軟體1058可以任何合適的方式來配置。例如,可寫入諸多製程工具組成件子程式或控制物件,以控制用以實現諸多製程工具製程之製程工具組成件的操作。系統控制軟體1058可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施例中,系統控制軟體1058可包含用以控制上述諸多參數之輸入/輸出控制(IOC)定序指令。在一些實施例中,可採用儲存於與系統控制器1050相關聯之大容量儲存裝置1054及/或記憶體裝置1056上之其他電腦軟體及/或程式。用於此目的之程式或程式片段的示例包括基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於製程工具組成件之程式編碼,製程工具組成件係用於將基板裝載至基座1018上並控制基板與製程工具1000之其他部件之間的間隔。
製程氣體控制程式可包含編碼,其用以控制鹵化氫氣體組成(例如本文所述之HBr或HCl氣體)及流速;及可選地用以在沉積前使氣體流入一或更多製程腔室中以穩定製程腔室中之壓力。壓力控制程式可包含編碼,其係藉由調節例如該製程站之排出系統中的節流閥、進入該製程站之氣體流量等,以控制該製程站中之壓力。
加熱器控制程式可包含編碼,用於控制流至用於加熱基板之加熱單元的電流。可替代地,加熱器控制程式可控制熱傳導氣體(例如,氦)往基板的輸送。
電漿控制程式可包含編碼,用於根據本文實施例來設定施加至一或更多製程站中之製程電極的RF功率位準。
壓力控制程式可包含編碼,用於根據本文實施例來維持反應腔室內壓力。
在一些實施例中,可有與系統控制器1050相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在一些實施例中,由系統控制器1050調整之參數可與製程條件有關。非限定示例包含製程氣體組成及流速、溫度、壓力、電漿條件(例如RF偏壓功率位準)等。可以配方(其可利用使用者介面來輸入)形式將此等參數提供至使用者。
透過系統控制器1050之類比及/或數位輸入連接件,可從諸多製程工具感測器提供用以監測製程的信號。用以控制製程之信號可在製程工具1000之類比及/或數位輸出連接件上輸出。可被監測之製程工具感測器的非限定示例包括質量流量控制器、壓力感測計(例如壓力計)、熱電偶等。經適當程式化之反饋及控制演算法可與來自此些感測器的數據一起使用,以維持製程條件。
系統控制器1050可提供用以實施上述沉積製程之程式指令。該等程式指令可控制各種製程參數,如DC功率位準、RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文所述之諸多實施例操作乾式顯影及/或蝕刻製程。
系統控制器1050將通常包括一或更多記憶體裝置及一或更多處理器,其配置成執行該等指令,使得該設備將根據所揭示之實施例來執行方法。機器可讀媒體(含有用以根據所揭示之實施例來控制製程操作之指令)可耦接至系統控制器1050。
在一些實施方式中,系統控制器1050為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可指“控制器”,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器1050可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器1050可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至系統控制器1050的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器1050在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,系統控制器1050可在能容許遠端存取晶圓處理之“雲端”或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器1050接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及系統控制器1050與之接合或加以控制之工具類型。因此,如上所述,系統控制器1050可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影機(track)腔室或模組、EUV微影腔室(掃描儀)或模組、乾式顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,系統控制器1050可與半導體製造工廠中的一或更多以下者進行通訊 : 其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
現在描述感應耦合式電漿(ICP)反應器,其在某些實施例中可能適用於適於實施某些實施例之蝕刻操作。儘管本文描述ICP反應器,但在一些實施例中,應當理解,亦可使用電容耦合式電漿反應器。
圖11示意性地示出感應耦合式電漿設備1100之剖面圖,其適合於實施某些實施例或實施例態樣,例如乾式顯影及/或蝕刻,其示例為加州費里蒙科林研發公司(Lam Research Corporation)所生產之Kiyo®反應器。在其他實施例中,具有執行本文所述乾式顯影及/或蝕刻製程之功能的其他工具或工具類型可用於實施。
感應耦合式電漿設備1100包括結構上由腔室壁1101及窗口1111所定義之整個製程腔室1124。腔室壁1101可由不銹鋼或鋁製成。窗口1111可由石英或其他介電材料製成。可選的內部電漿格柵1150將整個製程腔室分成上部次腔室1102及下部次腔室1103。在大多數實施例中,可移除電漿格柵1150,因而利用次腔室1102及1103所構成之腔室空間。吸盤1117位於下部次腔室1103內靠近底部內表面處。吸盤1117配置成接收並固持在其上執行蝕刻及沉積製程之半導體晶圓1119。吸盤1117可為用於支撐晶圓1119(當存在時)的靜電吸盤。在一些實施例中,邊緣環(未示出)環繞吸盤1117,並具有與晶圓1119(當存在於吸盤1117上方時)之頂表面大致平坦的上表面。吸盤1117亦包括用於對晶圓1119進行吸附及脫附的靜電電極。為此目的,可提供濾波器及直流(DV)箝位功率供應源(未示出)。亦可提供用於將晶圓1119提高離開吸盤1117之其他控制系統。吸盤1117可使用RF功率供應源1123進行充電。RF功率供應源1123透過連接1127連接至匹配電路1121。匹配電路1121透過連接1125連接至吸盤1117。以此方式,RF功率供應源1123連接至吸盤1117。在諸多實施例中,取決於根據所揭示之實施例所執行的製程,靜電吸盤的偏壓功率可設為約50 V或可設為不同的偏壓功率。例如,偏壓功率可介於約20 Vb與約100 V之間,或介於約30 V與約150 V之間。
用於產生電漿之元件包括位於窗口1111上方之線圈1133。在一些實施例中,所揭示之實施例中不使用線圈。線圈1133係由導電材料製成並包括至少一整匝。 11 所示之線圈1133示例包括三匝。線圈1133之剖面用符號示出,且具有“ X ”之線圈旋轉延伸進入頁面,而具有“●”之線圈旋轉延伸出頁面外。用於產生電漿之元件亦包括配置成供應RF功率至線圈1133之RF功率供應源1141。一般而言,RF功率供應源1141透過連接1145連接至匹配電路1139。匹配電路1139透過連接1143連接至線圈1133。以此方式,RF功率供應源1141連接至線圈1133。可選的法拉第屏蔽1149位於線圈1133與窗口1111之間。法拉第屏蔽1149可相對於線圈1133保持間隔開的關係。在一些實施例中,法拉第屏蔽1149設置在窗口1111正上方。在一些實施例中,法拉第屏蔽1149位於窗口1111與吸盤1117之間。在一些實施例中,法拉第屏蔽1149未相對於線圈1133保持間隔開的關係。例如,法拉第屏蔽1149可在窗口1111正下方而無間隙。線圈1133、法拉第屏蔽1149及窗口1111各自配置成相互呈實質上平行。法拉第屏蔽1149可防止金屬或其他物種沉積在製程腔室1124之窗口1111上。
製程氣體可透過設於上部次腔室1102中之一或更多主氣流入口1160及/或透過一或更多側氣流入口1170流入製程腔室。同樣地,儘管未明確示出,但類似氣流入口可用於供應製程氣體至電容耦合式電漿處理腔室。真空泵(例如一階或二階機械乾式及/或渦輪分子泵) 1140可用於將製程氣體從製程腔室1124中抽出並維持製程腔室1124內的壓力。例如,在ALD之沖洗操作期間,真空泵可用於抽空下部次腔室1103。閥控制導管可用於將真空泵流體連接至製程腔室1124,以選擇性地控制真空泵所提供之真空環境的施加。此可在操作電漿處理期間採用閉環控制之限流裝置來完成,例如節流閥(未示出)或鐘擺錘(未示出)。同樣地,對電容耦合式電漿處理腔室亦可採用真空泵浦及閥控式流體連接。
在設備1100之操作期間,一或更多製程氣體可透過氣流入口1160及/或1170來供應。在某些實施例中,製程氣體可僅透過主氣流入口1160或僅透過側氣流入口1170來供應。在一些例子中,圖中所示之氣流入口可用更複雜的氣流入口(例如一或更多噴淋頭)代替。法拉第屏蔽1149及/或可選格柵1150可包括內部通道及孔,其允許製程氣體輸送至製程腔室1124。法拉第屏蔽1149與可選格柵1150中之一者或兩者可作為用於製程氣體之輸送的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於製程腔室1124的上游,如此一旦液體反應物或前驅物被汽化,汽化反應物或前驅物即透過氣流入口1160及/或1170引入製程腔室1124中。
射頻功率係從RF功率供應源1141供應至線圈1133,以使RF電流流過線圈1133。流過線圈1133之RF電流在線圈1133周圍產生電磁場。電磁場在上部次腔室1102內產生感應電流。諸多產生之離子及自由基與晶圓1119之物理及化學相互作用蝕刻晶圓1119之特徵部並選擇性地在晶圓1119上沉積層。
若使用電漿格柵1150而有上部次腔室1102及下部次腔室1103兩者,則感應電流作用在上部次腔室1102中存在的氣體上,以在上部次腔室1102中產生電子-離子電漿。該可選之內部電漿格柵1150限制下部次腔室1103中之熱電子數。在一些實施例中,設備1100係設計並操作成使得存在於下部次腔室1103中之電漿為離子-離子電漿。
上部電子-離子電漿與下部離子-離子電漿兩者均可含有正及負離子,但離子-離子電漿將具有更大的負離子比上正離子的比率。揮發性蝕刻及/或沉積副產物可透過埠1122從下部次腔室1103中去除。本文所揭示之吸盤1117可在介於約10℃與約250℃之間的升高溫度下操作。溫度將取決於製程操作及特定配方。
當設備1100安裝在無塵室或製造設施中時,其可耦接至設施(未示出)。設施包括提供處理氣體、真空、溫度控制及環境顆粒控制之配管。當安裝在目標製造設施中時,此些設施耦接至設備1100。另外,設備1100可耦接至轉移腔室,其允許機器人使用典型自動化來轉移半導體晶圓進出設備1100。
在一些實施例中,系統控制器1130(其可包括一或更多物理或邏輯控制器)控制製程腔室1124之一些或全部操作。系統控制器1130可包括一或更多記憶體裝置及一或更多處理器。在一些實施例中,設備1100包括切換系統,用於在執行所揭示之實施例時控制流速及持續時間。在一些實施例中,設備1100可具有高達約500 ms或高達約750 ms的切換時間。切換時間可能取決於流動化學、所選配方、反應器結構及其他因素。
在一些實施方式中,系統控制器1130為系統之一部份,其可為上述示例之一部分。此等系統可包括半導體處理裝備,其包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理組成件(晶圓基座、氣流系統等)。此等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之其操作。此等電子設備可整合於系統控制器1130中,其可控制該系統或複數系統之諸多組成件或次部件。取決於處理條件及/或系統類型,系統控制器可程式化以控制本文所揭示之任何製程,包括處理氣體之輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,系統控制器1130可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分 : 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
系統控制器1130在一些實施方式中可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之”雲端”或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,系統控制器1130接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,系統控制器1130可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、顯影(track)腔室或模組、EUV微影腔室(掃描儀)或模組、乾式顯影腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具組成件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
EUVL圖案化可使用通常稱為掃描儀之任何合適的工具來執行,例如由ASML(荷蘭Veldhoven)所供應之TWINSCAN NXE:3300B®平台。EUVL圖案化工具可為供基板移動進出以進行本文所述沉積及蝕刻之獨立裝置。或者,如下所述,EUVL圖案化工具可為較大多組件工具上的模組。 12 繪出具有真空整合沉積、EUV圖案化及乾式顯影/蝕刻模組(與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。儘管可在沒有此等真空整合設備下執行製程,但此等設備在一些實施方式中可能是有利的。
12 繪出具有真空整合沉積及圖案化模組(其與真空轉移模組相接)之半導體製程叢集工具架構,其適用於實施本文所述之製程。用於在多個儲存設施與處理模組之間“轉移”晶圓之轉移模組的佈設可稱為“叢集工具架構”系統。沉積及圖案化模組根據特定製程之要求而為真空整合。其他模組(例如用於蝕刻)亦可包含於該叢集中。
真空轉移模組(VTM)1238與四個處理模組1220a-1220d(其可分別被最佳化以執行諸多製造製程)相接。舉例來說,處理模組1220a-1220d可實施為執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝離及/或其他半導體製程。例如,模組1220a可為ALD反應器,其可被操作以在非電漿中執行如本文所述之熱原子層沉積,例如可獲自加州費里蒙科林研發公司(Lam Research Corporation)之Vector工具。又,模組1220b可為PECVD工具,例如Lam Vector®。應當理解,該圖不一定按比例繪製。
氣室1242及1246(亦稱為裝載室或轉移模組)與VTM 1238及圖案化模組1240相接。例如,如上所述,合適之圖案化模組可為ASML(荷蘭-費爾德霍溫)所供應之TWINSCAN NXE:3300B®平台。此工具架構允許工件(例如半導體基板或晶圓)在真空下轉移,以不在曝光前反應。考慮到環境氣體(如H2 O、O2 等)對入射光子之強烈光吸收,且EUVL亦需大幅下降的壓力,因而促使沉積模組與與微影工具整合。
如上所述,此整合架構僅是用於實施所述製程之工具的可能實施例。該等製程亦可使用更習知的獨立EUVL掃描儀及沉積反應器(例如Lam Vector工具)來實施,其為獨立或與其他工具(例如蝕刻、剝離等)整合在叢集架構中(例如, Lam Kiyo或Gamma工具)作為模組,例如參考 12 所述,但無整合的圖案化模組。
氣室1242可為“輸出”裝載室,其係指基板從用於沉積模組1220a 之VTM 1238轉移至圖案化模組1240,而氣室1246可為“進入” 裝載室,其係指基板自圖案化模組1240轉移返回VTM 1238。進入裝載室1246亦可對工具外部提供界面,用於基板之進入與移出。每一製程模組具有將模組接合至VTM 1238的端面(facet)。例如,沉積製程模組1220a具有端面1236。在每一端面內,感測器(例如所示的感測器1-18)用以偵測晶圓1226在相對應站之間移動時的通過。圖案化模組1240及氣室1242與1246可類似地裝配額外的端面與感測器(未示出)。
主要VTM機器人1222在模組(包括氣室1242與1246)之間轉移晶圓1226。在一實施例中,機器人1222具有一手臂,而在另一實施例中,機器人1222具有兩手臂,其中每一手臂具有末端執行器1224以挑選晶圓(如晶圓1226)進行轉移。前端機器人1244用以將晶圓1226自輸出氣室1242轉移至圖案化模組1240中、自圖案化模組1240轉移至進入氣室1246。前端機器人1244亦可在進入裝載室與工具外部之間轉移晶圓1226,用於基板之進入與移出。因進入氣室模組1246具有匹配大氣與真空之間環境的能力,故晶圓1226能在兩壓力環境之間移動而不受損。
應注意的是,EUVL工具通常在比沉積工具在更高真空下操作。若為此情況,則期望自沉積轉移至EUV工具期間增加基板之真空環境,以允許基板在進入圖案化工具之前除氣。輸出氣室1242可藉由將轉移的晶圓維持在較低壓力(不高於圖案化模組1240中的壓力)達一段時間並排出任何排放氣來提供此功能,使得圖案化工具1240的光學件不受來自基板的排放氣所污染。合適的輸出排放氣氣室壓力不超過1E-8 Torr。
在一些實施例中,系統控制器1250(其可包括一或更多物理或邏輯控制器)控制叢集工具及/或其分開模組之一些或所有操作。應注意的是,控制器可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。系統控制器1250可包括一或更多記憶裝置與一或更多處理器。處理器可包括中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板、與其他類似組成件。用以執行適當控制操作之指令在處理器上執行。此些指令可儲存在與控制器相關聯之記憶裝置上,或其可透過網路被提供。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包括指令,用以控制工具或模組操作之任何態樣的施加及/或大小的時序。系統控制軟體可以任何適當的方式配置。例如,可寫入諸多製程工具組成件子程序或控制物件,以控制實現諸多製程工具製程所需之製程工具組成件的操作。系統控制軟體可以任何合適的電腦可讀取程式語言來進行編碼。 在一些實施例中,系統控制軟體包括用以控制上述諸多參數之輸入/輸出控制(IOC) 定序指令。例如,半導體製造製程之每一階段可包括由系統控制器執行之一或更多指令。例如,用以設定用於凝結(condensation)、沉積、蒸發、圖案化及/或蝕刻階段之製程條件的指令可包含於相對應的配方階段中。
在諸多實施例中,提供用於形成負型圖案遮罩之設備。該設備可包括用於圖案化、沉積及蝕刻之處理腔室,以及包括用於形成負型圖案遮罩之指令的控制器。指令可包括編碼,其用於在處理腔室中透過EUV曝光以曝光基板之表面而在半導體基板上之化學放大(CAR)阻劑中圖案化特徵部、對光圖案化阻劑進行乾式顯影、並使用圖案化阻劑作為遮罩以蝕刻底層或層堆。
應注意的是,控制晶圓移動之電腦可在叢集架構本地、或可位在製造層中之叢集架構的外部、或在遠端位置並經由網路連接至叢集架構。如上所述關於 9101113 中之任一者的控制器可用 12 中之工具來實施。
13 示出沉積腔室的示例(如用於基於蒸氣的沉積,例如用於成像層及/或輻射吸收層)。可看出,設備1300繪出具有處理腔室1302,該處理腔室1302包括蓋1308。處理腔室1302可包括穿過處理腔室1302之其中一壁的晶圓轉移通道1304,該晶圓轉移通道1304之尺寸被設計為允許基板1322穿過其中並進入處理腔室1302的內部, 其中基板1322可置於晶圓支撐件1324上。晶圓轉移通道1304可具有閘閥1306或類似門機構,其可被操作成密封或打開晶圓轉移通道, 因而允許將處理腔室1302內的環境與閘閥1306之另一側環境隔絕。例如,處理腔室1302可透過位於相鄰轉移腔室中之晶圓裝卸機器人而被供予基板1322。此等轉移腔室可例如具有圍繞其周緣佈設之多個處理腔室1302,其每一此等處理腔室1302均透過對應閘閥1306而與轉移腔室連接。
晶圓支撐件1324可例如包括靜電吸盤(ESC)1326,其可用於提供用於支撐基板1322之晶圓支撐表面。ESC 1326可包括例如底板1334,該底板1334接合至放置於底板1334上之頂板1328。頂板1328可例如由陶瓷材料製成,且可在其內部嵌有幾個其他構件。在所繪出之示例中,頂板1328具有嵌入其內部之兩個分開電性系統。一此等系統為靜電夾持電極系統,其可具有一或更多夾持電極1332,夾持電極1332可用於在基板1322內產生電荷,從而將基板1322拉抵於頂板1328之晶圓支撐表面。在 13 的實施方式中,有兩夾持電極1332,其提供雙極靜電夾持系統,但一些實施方式可能僅使用單個夾持電極1332以提供單極靜電夾持系統。
另一系統為熱控制系統,其可用於在處理條件期間控制基板1322的溫度。在 13 中,熱控制系統為多區域熱控制系統,其特徵在於四個環形電阻加熱器跡線1330a、1330b、1330c及1330d彼此同心並位於夾持電極1332下方。中心電阻加熱器跡線1330a在一些實施方式中可填充大致圓形的區域,而每一電阻加熱器跡線1330a/b/c/d可在對應環形區域內順著呈大致蛇形或曲折的路徑。每一電阻加熱器跡線1330a/b/c/d可被各別控制,以在頂板1328中提供各種徑向加熱曲線;於一些例子中,此等四區域加熱系統可例如被控制成維持基板1322具有±0.5℃的溫度均勻性。儘管 13 之設備1300特徵在於ESC 1326中的四區域加熱系統,但其他實施方式可使用具有多於或少於四區域之單區域或多區域加熱系統。
在例如上述溫度控制機構之一些實施方式中,可使用熱泵代替電阻加熱跡線。例如,在一些實施方式中,電阻加熱器跡線可被帕爾帖接面(Peltier junctions)或其他可被控制成將熱從其一側”泵送”至另一側的類似裝置取代或增強。 此等機構可用於例如從頂板1328(並因而基板1322)吸取熱並將其引至底板1334及熱交換通道1336中,因而允許基板1322得以更快速且更有效地冷卻(若需要的話)。
ESC 1326亦可包括例如底板1334,其可用於對頂板1328之下側提供結構支撐並亦可用作散熱系統。例如,底板1334可包括一或更多熱交換通道1336,其以總體分佈方式佈設在整個底板1334中,例如,熱交換通道1336可於底板1334中心周圍順著蛇形、圓形折回或螺旋形圖案。在使用期間,熱交換介質(例如水或惰性氟化液體)可循環通過熱交換通道1336。 可從外部控制熱交換介質的流速及溫度,以在底板1334中引起特定的加熱或冷卻行為。
ESC 1326可例如由與晶圓支撐柱1344連接並由其支撐之晶圓支撐殼體1342支撐。晶圓支撐柱1344可例如具有用於將纜線、流體流導管及其他裝備佈線至底板1334及/或頂板1328下側之佈線通道1348及其他貫通孔。例如,雖然未於 13 中示出,但可將用於提供電力至電阻加熱器跡線1330a/b/c/d之纜線佈線穿過佈線通道1348,可如用於提供電力至夾持電極1332之纜線一般。亦可將其他纜線(例如用於溫度感測器之纜線)佈線穿過佈線通道1348而到晶圓支撐件1324內部的位置。在具有溫度可控底板1334之實施方式中,亦可將用於將熱交換介質輸送進出底板1334之導管佈線穿過佈線通道1348。為避免不必要的雜亂,此等纜線及導管未繪於 13 中,但當理解其仍將存在。
13 之設備1300亦包括晶圓支撐z致動器1346,其可對晶圓支撐柱1344提供可移動支撐。晶圓支撐件z致動器1346可被致動,以引起晶圓支撐柱1344及由此支撐之晶圓支撐件1324在處理腔室1302之反應空間1320內垂直地上下移動, 例如多達幾英寸。如此做時,基板1322與噴淋頭1310下側之間的間隙距離X可根據諸多製程條件來進行調變。
晶圓支撐件1324在一些實施方式中亦可包括一或更多邊緣環,其可用於控制及/或微調諸多製程條件。在 13 中,提供上邊緣環1338,其位於例如下邊緣環1340a及1340b上,且下邊緣環1340a及1340b又由晶圓支撐殼體1342及第三下邊緣環1340c支撐。上邊緣環1338例如一般可遭受與基板1322相同之處理環境,而下邊緣環1340a/b/c一般可與處理環境隔絕。由於上邊緣環1338之暴露增加,故上邊緣環1338壽命有限,且相較於下邊緣環1340a/b/c可能需要更頻繁地更換或清潔。
設備1300亦可包括用於在處理結束期間及之後從處理腔室1302去除製程氣體的系統。例如,處理腔室1302可包括環繞晶圓支撐柱1344之環形氣室1356。環形氣室1356可接著與真空前級管線1352流體連接,該真空前級管線可與真空泵連接,舉例如可位於設備1300下方之底層板下方。調節器閥1354可提供於真空前級管線1352與處理腔室1302之間,並被致動以控制進入真空前級管線1352之流動。在一些實施方式中,可提供擋板1350(例如環形板或其他結構,其可用於使進入環形氣室1356之流動更均勻地分佈在晶圓支撐柱1344圓周上),以降低在流過基板1322之反應物中出現流動不均勻的機會。
如所示之噴淋頭1310為雙氣室噴淋頭1310,並包括第一氣室1312(其透過第一入口1316被供予製程氣體)及第二氣室1314(其透過第二入口1318被供予製程氣體)。一般而言,在釋出前驅物及相對反應物之前,可採用兩氣室以保持前驅物與相對反應物之間隔開。噴淋頭1310在一些實施方式中可具有多於兩個氣室。在一些實例中,使用單個氣室,以將前驅物輸送至處理腔室1302之反應空間1320中。每一氣室可具有一對應組的氣體分佈埠,氣體分佈埠透過噴淋頭1310之面板將相應氣室與反應空間1320流體連接(面板為噴淋頭1310插置於最下部氣室與反應空間1320之間的部分)。
噴淋頭1310之第一入口1316及第二入口1318可透過氣體供應系統被供予處理氣體,該氣體供應系統可配置成提供一或更多前驅物及/或相對反應物,如本文所討論。所繪示之的設備1300配置成提供多個前驅物及多個相對反應物。例如,第一閥歧管1368a可配置成提供前驅物至第一入口1316,而第二閥歧管1368b可配置成提供其他前驅物或其他相對反應物至第二入口1318。
第一閥歧管1368a可配置成提供一或更多前驅物至第一入口1316,而第二閥歧管1368b可配置成提供其他前驅體或其他反應物至第二入口1318。在此示例中,第一閥歧管1368a例如包括多個閥A1-A5。閥A2可例如為三通閥,其具有與第一汽化器1372a流體連接之一埠、與旁通管線1370a流體連接之另一埠、以及與另一三通閥A3上之埠流體連接之第三埠。類似地,閥A4可為另一三通閥,其具有與第二汽化器1372b流體連接之一埠、與旁通管線1370a流體連接之另一埠、以及與另一三通閥A5上之埠流體連接之第三埠。閥A5上之其他埠中的其中一者可與第一入口1316流體連接,而閥A5上之其餘埠可與閥A3上之其餘埠的其中一者流體連接。閥A3上之剩餘埠又可與閥A1流體連接,閥A1可流體插置於閥A3與沖洗氣體源1374(例如氮、氬或其他合適惰性氣體(相對於前驅物及/或相對反應物))之間。在一些實施例中,僅採用第一閥歧管。
為了本發明目的,術語“流體連接”是用於關於可相互連接以形成流體連接之容積、氣室、孔等,類似於術語“電性連接”用於關於連接在一起以形成電性連接之構件。術語“流體插置”(若使用的話)可用來指與至少兩其他構件、容積、氣室或孔流體連接之構件、容積、氣室或孔,使得從彼些其他構件、容積、氣室或孔中之一者流至彼些其他構件、容積、氣室或孔中之另一者的流體在到達彼些構件、容積、氣室或孔中之另一者之前,會先流過“流體插置”的構件。例如,若泵流體插置於容器與出口之間,則從容器流至出口之流體會在到達出口之前先流過泵。
第一閥歧管1368a例如可為可控制的,以使來自汽化器1372a及1372b中之一者或兩者的蒸氣流至處理腔室1302或通過第一旁通管線1370a並流入真空前級管線1352。第一閥歧管1368a亦可為可控制的,以使沖洗氣體從沖洗氣體源1374流入第一入口1316。
例如,為了使蒸氣從第一汽化器1372a流入反應空間1320,可致動閥A2以使蒸氣從第一汽化器1372a先流入第一旁通管線1370a。此流量可維持足夠長的時間,以允許蒸氣的流量達到穩態流量條件。經過足夠時間後 (或者在流量計(若使用的話)指示流速為穩定之後),可致動閥A2、A3及A5,以將蒸氣流從第一汽化器1372a引導至第一入口。閥A4及A5可執行類似操作,以將蒸氣從第二汽化器1372b輸送至第一入口1316。在一些實例中,可能希望透過致動閥A1、A3及A5以使沖洗氣體從沖洗氣體源1374流入第一入口1316,從而將其中一蒸氣從第一氣室1312沖除。在一些額外實施方式中,可能希望同時使來自汽化器1372a或1372b中之一者的蒸氣與來自沖洗氣體之氣體一同流入第一入口1316。此等實施方式可用來稀釋包含於此等蒸氣中之反應物的濃度。
將知悉的是,可用類似方式控制第二閥歧管1368b, 例如,透過控制閥B1-B5,以從汽化器1372c及1372d提供蒸氣至第二入口1318或至第二旁路管線1370b。將進一步知悉的是,亦可利用不同歧管佈設,包括單一整體歧管,單一整體歧管包括用於控制前驅物、相對反應物或其他反應物往第一入口1316及第二入口1318流動的閥。
如前所述,一些設備1300可以較少數量之蒸氣源為特徵,例如僅兩個汽化器1372,其中閥歧管1368可被修改為具有較少數量的閥,例如僅有閥A1-A3。
如上所討論,可用於提供膜之乾式沉積的設備(例如設備1300)可配置成保持處理腔室1302內之特定溫度曲線。尤其,此等設備1300可配置成保持基板1322於較低溫度, 例如,比直接與前驅物及/或相對反應物直接接觸之設備1302的大多數裝備低例如至少25℃至50℃。另外,與前驅物及/或相對反應物直接接觸之設備1300的裝備溫度可保持在足夠高以防止汽化反應物於此等裝備表面上凝結之升高水平。同時,可將基板1322的溫度控制於促進反應物在基板1322上凝結或至少沉積之水平。
為了提供此等溫度控制,諸多加熱系統可包含於設備1300中。例如,處理腔室1302可具有用於接收盒式加熱器1358之容器, 例如,具有大致圓柱形之內部容積但呈正方形或矩形之外部形狀的處理腔室1302,用於容納盒式加熱器1358之垂直孔可鑽於腔室1302殼體之四角中。在一些實施方式中,噴淋頭1310可被加熱器毯1360覆蓋,加熱器毯1360可用於在噴淋頭1310之暴露上表面上施加熱以保持噴淋頭溫度升高。對用於將汽化反應物從汽化器1372傳導至噴淋頭1310之諸多氣體管線加熱亦可能是有利的。例如,電阻加熱帶可纏繞於此等氣體管線周圍,並用於將其加熱至升高溫度。如 13 所示,可能有前驅物及/或相對反應物流過之所有氣體管線均顯示為被加熱,包括旁通管線1370。唯一例外是從閥歧管1368至第一入口1316及第二入口1318的氣體管線,其可能很短,且可能被噴淋頭1310間接加熱。當然,甚至此些氣體管線可被主動加熱(若希望的話)。在一些實施方式中,可在靠近閘閥1306處提供加熱器,亦以提供熱至閘閥。
設備1300之諸多操作系統可由控制器1384控制, 其可包括一或更多處理器1386及一或更多記憶體裝置1388,其相互可操作地連接並與設備1300之諸多系統及子系統通訊連接,以對彼些系統提供控制功能。例如,控制器1384可配置成控制閥A1-A5及B1-B5、諸多加熱器1358、1360、汽化器1372、調節器閥1354、閘閥1306、晶圓支撐z-致動器等等。
設備1300可包括之另一特徵示於 14 中,其繪出 13 之基板1322、頂板1328及上邊緣環1338之一部分的特寫側視剖面圖及平面圖。可看出,在一些實施方式中,基板1322可透過複數小檯面1376而從大部分頂板1328上抬高,小檯面可為淺凸台,其從頂板1328之標稱上表面突出一小段距離以在基板1322下側與大部分頂板1328之間提供背側間隙1378。周向壁特徵部1377可提供於頂板1328外圍。周向壁特徵部1377可延伸於頂板1328整個周邊周圍,且表面上具有與檯面1376相同的高度。在處理操作期間,一般惰性氣體(例如氦)可透過一或更多氣體埠1382流入背側間隙1378。此氣體可接著在遇到周向壁特徵部1377之前徑朝外流動,周向壁特徵部1377可接著限制此等徑向朝外流動,並使氣體之較高壓力區域限於基板1322與頂板1328之間。漏出周向壁1377之惰性氣體可能最終會透過基板1322外邊緣與上邊緣環1338之一部分之間的徑向間隙1380流出。此等氣體可透過發揮防止噴淋頭1310釋出之氣體到達基板1322下側之作用,從而保護基板下側免於受到執行之處理操作不良地影響。同時,釋放至背側間隙1378區域中之氣體亦可作用以增加基板1322與頂板1328之間的熱耦合,因而允許頂板1328更有效地加熱或冷卻基板1322。由於周向壁提供更高壓力, 故背側間隙1378區域內之氣體亦可比腔室其餘處之氣體處於更高的密度,因而可在基板1322與頂板1328之間提供更有效的熱耦合。
控制器1384可例如透過電腦可執行指令之執行而配置成使得設備1200執行與以上提供之揭示內容一致的諸多操作。
一旦成像層及/或輻射吸收層已沉積在基板1322上,基板1322即可如上所述轉移至一或更多後續處理腔室或工具中以進行額外操作(例如,本文所述之任一者)。進一步沉積設備描述於2020年6月22日申請之國際專利申請案第PCT/US2020/038968號,其標題為“ APPARATUS FOR PHOTORESIST DRY DEPOSITION”,其整體內容併於此作為參考。定義
如本文可互換使用之“醯氧基”或“烷醯氧基”係指透過氧基連接至母分子基團之如本文所定義的醯基或烷醯基。在特定實施例中,烷醯氧基為-O-C(O)-Ak,其中Ak為如本文所定義的烷基。在一些實施例中,未經取代之烷醯氧基為C2-7 烷醯氧基。示例性烷醯氧基包括乙醯氧基。
“烯基”係指具有一或更多雙鍵之視情況取代之C2-24 烷基。烯基可為環狀(例如,C3-24 環烯基)或非環狀。烯基亦可為經取代或未經取代。例如,烯基可經一或更多取代基取代,如本文對烷基所述。
“亞烯基”係指烯基(其為具有一或更多雙鍵之視情況取代的C2-24 烷基)之多價(例如,二價)形式。亞烯基可為環狀(例如,C3-24 環亞烯基)或非環狀。亞烯基可為經取代或未經取代。例如,亞烯基可經一或更多取代基取代,如本文對烷基所述。示例性之非限定亞烯基包括-CH=CH-或-CH=CHCH2 -。
“烷氧基”係指-OR,其中R為視情況取代之烷基,如本文所述。示例性烷氧基包括甲氧基、乙氧基、丁氧基、三鹵代烷氧基,例如三氟甲氧基等。烷氧基可為經取代或未經取代。例如,烷氧基可經一或更多取代基取代,如本文對烷基所述。示例性未經取代之烷氧基包括C1-3 、C1-6 、C1-12 、C1-16 、C1-18 、C1 20 或C1-24 烷氧基。
“烷基”及字首“烷”係指具有1至24個碳原子之支鏈或非支鏈飽和烴基,例如甲基(Me)、乙基(Et)、正丙基(n -Pr)、異丙基(i -Pr)、環丙基、正丁基(n -Bu)、異丁基(i -Bu)、仲丁基(s -Bu)、叔丁基(t -Bu)、環丁基、正戊基、異戊基、仲戊基、新戊基、己基、庚基、辛基、壬基、癸基、十二烷基、十四烷基、十六烷基、二十烷基、二十四烷基及類似者。烷基可為環狀(例如,C3-24 環烷基)或非環狀。烷基可為支鏈或非支鏈。烷基亦可為經取代或未經取代。例如,烷基可包括鹵代烷基,其中烷基經一或更多鹵素基取代,如本文所述。在另一示例中,烷基可經一、兩、三、或四個(在具有兩個或更多碳之烷基的例子中)取代基取代,取代基獨立選自由以下所組成之群組 : (1) C1-6 烷氧基(例如, -O-Ak,其中Ak為視情況取代之C1-6 烷基); (2)胺基(例如,‑NRN1 RN2 ,其中每一RN1 及RN2 獨立為H或視情況取代之烷基,或RN1 與RN2 與各自連接之氮原子一起形成雜環基);(3)芳基;(4)芳烷氧基(例如,-O-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,且Ar為經情況取代之芳基);(5)芳醯基(例如,-C(O)-Ar,其中Ar為視情況取代之芳基);(6)氰基(例如-CN);(7)羧醛基(例如-C(O)H);(8)羧基(例如-CO2 H); (9)C3-8 環烷基(例如,一價飽和或未飽和之非芳香族環狀C3-8 烴基);(10)鹵素(例如F、Cl、Br或I); (11)雜環基(例如,含有一、兩、三或四個非碳雜原子(例如氮、氧、磷、硫或鹵素)之5、6或7元環,除非另說明;(12)雜環氧基(例如,-O-Het,其中Het為雜環基,如本文所述);(13)雜環醯基(例如,-C(O)-Het,其中Het為雜環基,如本文所述);(14)羥基(例如,-OH);(15)N-經保護胺基;(16)硝基(例如‑NO2 );(17)側氧基(例如= O);(18) -CO2 RA ,其中RA 選自由(a)C1-6 烷基、(b)C4-18 芳基、及(c)(C4-18 芳基)C1-6 烷基(例如,-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,且Ar為視情況取代之芳基)所組成之群組;(19) ‑C(O)NRB RC ,其中每一RB 及RC 獨立地選自由(a)氫、(b)C1-6 烷基、(c)C4-18 芳基、及(d) (C4-18 芳基)C1-6 烷基(例如,-Lk-Ar,其中Lk為視情況取代之烷基的二價形式,並且Ar為視情況取代之芳基)所組成之群組;以及(20) ‑NRG RH ,其中每一RG 及RH 獨立地選自由(a)氫、(b)N-保護基、(c)C1-6 烷基、(d)C2-6 烯基(例如,具有一或更多雙鍵之視情況取代的烷基)、(e)C2-6 炔基(例如,具有一或更多三鍵之視情況取代的烷基)、(f)C4-18 芳基、(g)(C4-18 芳基)C1-6 烷基(例如Lk-Ar,其中Lk為視情況取代之烷基的二價形式,Ar為視情況取代之芳基)、(h)C3-8 環烷基、及(i)(C3-8 環烷基)C1-6 烷基(例如,‑Lk-Cy,其中Lk為視情況取代之烷基的二價形式,且Cy為視情況取代之環烷基,如本文所述)所組成之群組,其中在一實施例中,未有兩個基團透過羰基鍵結至氮原子組。烷基可為經一或更多取代基(例如,一或更多鹵素或烷氧基)取代之一級、二級或三級烷基。在一些實施例中,未經取代之烷基為C1-3 、C1-6 、C1-12 、C1-16 、C1-18 、C1-20 或C1-24 烷基。
“亞烷基”係指烷基之多價(例如,二價)形式,如本文所述。示例性亞烷基包括亞甲基、亞乙基、亞丙基、亞丁基等。在一些實施例中,亞烷基為C1-3 、C1-6 、C1-12 、C1-16 、C1-18 、C1-20 、C1-24 、C2-3 、C2-6 、C2-12 、C2‑16 、C2-18 、C2-20 、或C2-24 亞烷基。亞烷基可為支鏈或非支鏈。亞烷基亦可經取代或未經取代。例如,亞烷基可經一或更多取代基取代,如本文對烷基所述。
“炔基”係指具有一或更多三鍵之視情況取代的C2-24 烷基。炔基可為環狀或非環狀,例如乙炔基、1-丙炔基及類似者。炔基亦可經取代或未經取代。例如,炔基可經一或更多取代基取代,如本文對烷基所述。
“亞炔基”係指炔基(其為具有一或更多三鍵之視情況取代的C2-24 烷基)之多價(例如,二價)形式。亞炔基可為環狀或非環狀。亞炔基可經取代或未經取代。例如,亞炔基可經一或更多取代基取代,如本文對烷基所述。示例性非限定亞炔基包括-C≡C-或‑C≡CCH2 -。
“胺基”係指-NRN1 RN2 ,其中每一RN1 及RN2 獨立為H、視情況取代之烷基或視情況取代之芳基,或RN1 與RN2 與各自連接之氮原子一起形成如本文所定義之雜環基。
“芳基”係指含有任何基於碳之芳香族基的基團,包括,但不限於,苯基(phenyl)、芐基(benzyl)、蒽基(anthracenyl)、蒽基(anthryl)、苯並環丁烯基(benzocyclobutenyl)、苯並環辛烯基(benzocyclooctenyl)、聯苯基(biphenylyl)、蔗基(chrysenyl)、二氫茚基(dihydroindenyl)、丙[二]烯合茀基(fluoranthenyl)、二環戊二烯並苯基(indacenyl)、茚基(indenyl)、萘基(naphthyl)、菲基(phenanthryl)、苯氧基芐基(phenoxybenzyl)、苉基(picenyl)、芘基(pyrenyl)、三聯苯基(terphenyl)及類似者,包括稠合苯並-C4-8 環烷基基團(例如,如本文所定義),舉例如二氫茚基(indanyl)、四氫化萘基(tetrahydronaphthyl)、茀基(fluorenyl)及類似者。術語芳基亦包括雜芳基,其定義為含有芳香族基的基團,且芳香族基具有至少一雜原子併入芳香族基之環內。雜原子之示例包括,但不限於,氮、氧、硫及磷。類似地,術語“非雜芳基”(包含於術語“芳基”內)定義含有不含雜原子之芳香族基的基團。芳基可為經取代或未經取代。芳基可經一、兩、三、四或五個取代基取代,例如本文中對烷基所述之任一者。
“亞芳基”係指如本文所述之芳基的多價(例如,二價)形式。示例性亞芳基包括亞苯基(phenylene)、亞萘基(naphthylene)、亞聯苯基(biphenylene)、亞三聯苯基(triphenylene)、二苯醚(diphenyl ether)、亞二氫苊基(acenaphthenylene)、亞蒽基(anthrylene)或亞菲基(phenanthrylene)。在一些實施例中,亞芳基為C4-18 、C4-14 、C4-12 、C4-10 、C6-18 、C6-14 、C6-12 、或C6-10 亞芳基。亞芳基可為支鏈或非支鏈。亞芳基亦可經取代或未經取代。例如,亞芳基可經一或更多取代基取代,如本文對烷基或芳基所述。
“亞(芳基)(烷基)”係指包括連接至如本文所述亞烷基或亞雜烷基之如本文所述亞芳基的二價形式。在一些實施例中,亞(芳基)(烷基)為-L-Ar-或-L-Ar-L-或-Ar-L-,其中Ar為亞芳基,且每一L獨立為視情況取代之亞烷基或視情況取代之亞雜烷基。
“羰基”係指-C(O)-基團,其亦可表示為>C=O或-CO基團。
“羧基”係指-CO2 H基團。
“羧烷基”係指經一或更多如本文定義羧基取代之如本文定義烷基。
“羧芳基”係指經一或更多本文所定義羧基取代之本文所定義芳基。
“環酐”係指環內具有-C(O)-O-C(O)-基團之3-、4-、5-、6-或7-元環(例如5-,6-或7-元環),除非另有說明。術語“環酐”亦包括雙環、三環及四環基,其中上述環之任一者稠合至獨立選自由芳環、環己烷環、環己烯環、環戊烷環、環戊烯環及另一單環雜環狀環所組成之群組中的一、兩或三個環。示例性環酐基包括由琥珀酸酐(succinic anhydride)、戊二酸酐(glutaric anhydride)、馬來酸酐(maleic anhydride)、鄰苯二甲酸酐(phthalic anhydride)、異色滿-1,3-二酮(isochroman-1,3-dione)、己二酸酐(oxepanedione)、四氫鄰苯二甲酸酐(tetrahydrophthalic anhydride)、六氫鄰苯二甲酸酐(hexahydrophthalic anhydride)、均苯四甲酸二酐(pyromellitic dianhydride)、萘酐(naphthalic anhydride)、1,2-環己烷二羧酐(1,2-cyclohexanedicarboxylic anhydride)等透過去除一或更多氫所形成之基團。其他示例性環酐基包括二氧四氫呋喃基(dioxotetrahydrofuranyl)、二氧二氫異苯並呋喃基(dioxodihydroisobenzofuranyl)等。環酐基亦可經取代或未經取代。例如,環酐基可經一或更多基團(包括本文對雜環基所述之彼者)取代。
“環烯基”係指具有一或更多雙鍵之三至八個碳原子(除非另有說明)的單價未飽和非芳香族或芳香族環狀烴基。環烯基亦可經取代或未經取代。例如,環烯基可經一或更多個基團(包括本文對烷基所述之彼者)取代。
“環烷基”係指三至八個碳(除非另有說明)之單價飽和或不飽和非芳香族或芳香族環狀烴基,且示例為環丙基、環丁基、環戊基、環戊二烯基、環己基、環庚基、雙環[2.2.1.]庚基及類似者。環烷基亦可為經取代或未經取代。例如,環烷基可經一或更多基團(包括本文對烷基所述之彼者)取代。
“鹵素”係指F、Cl、Br或I。
“鹵代烷基”係指經一或更多鹵素取代之本文所定義的烷基。
“雜烷基”係指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之如本文所定義的烷基。
“亞雜烷基”係指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之本文所定義之烷基的二價形式。亞雜烷基可為經取代或未經取代。例如,亞雜烷基可經一或更多取代基取代,如本文中對烷基所述。
“雜環基”係指含有一、兩、三或四個非碳雜原子(例如,獨立選自由氮、氧、磷、硫、硒或鹵素所組成之群組)之3-、4-、5-、6-或7-元環(例如5-、6-或7-元環),除非另有說明。3-元環具有零至一個雙鍵,4-及5-元環具有零至兩個雙鍵,而6-及7-元環具有零至三個雙鍵。術語“雜環基”亦包括雙環、三環及四環基,其中上述雜環狀環之任一者稠合至獨立選自由芳環、環己烷環、環己烯環、環戊烷環、環戊烯環及另一單環雜環狀環所組成之群組中的一、兩或三個環,例如吲哚基(indolyl)、喹啉基(quinolyl)、異喹啉基(isoquinolyl)、四氫喹啉基(tetrahydroquinolyl)、苯並呋喃基(benzofuryl)、苯並噻吩基(benzothienyl)及類似者。雜環包括吖啶基(acridinyl)、腺嘌呤基(adenyl)、咯嗪基(alloxazinyl)、氮雜金剛烷基(azaadamantanyl)、氮雜苯並咪唑基(azabenzimidazolyl)、氮雜雙環壬基(azabicyclononyl)、氮雜環庚基(azacycloheptyl)、氮雜環辛基(azacyclooctyl)、氮雜環壬基(azacyclononyl)、氮雜次黃嘌呤基(azahypoxanthinyl)、氮雜吲唑基(azaindazolyl)、氮雜吲哚基(azaindolyl)、氮雜癸因基(azecinyl)、氮雜環庚烷基(azepanyl)、氮雜庚因基(azepinyl)、吖丁啶基(azetidinyl)、氮雜環丁二烯基(azetyl) 、吖丙啶基(aziridinyl)、次乙亞胺基(azirinyl)、氮雜環辛烷基(azocanyl)、吖辛因基(azocinyl)、氮雜環壬烷基(azonanyl)、苯並咪唑基(benzimidazolyl)、苯並異噻唑基(benzisothiazolyl)、苯並異噁唑基(benzisoxazolyl)、苯並二氮雜庚因基(benzodiazepinyl)、苯並二吖辛因基(benzodiazocinyl)、苯並二氫呋喃基(benzodihydrofuryl)、苯並二氧庚因基(benzodioxepinyl)、苯並二氧雜環己烯基(benzodioxinyl)、苯並二氧雜環己基(benzodioxanyl)、苯並二氧辛因基(benzodioxocinyl)、苯並二氧雜環戊烯基(benzodioxolyl)、苯並二噻庚因基(benzodithiepinyl)、苯並二硫雜環己二烯基 (benzodithiinyl)、苯並二氧辛因基(benzodioxocinyl)、苯並呋喃基(benzofuranyl)、苯並吩嗪基(benzophenazinyl)、苯並吡喃酮基(benzopyranonyl)、苯並哌喃基(benzopyranyl)、苯並芘基(benzopyrenyl)、苯並吡喃酮基(benzopyronyl)、苯並喹啉基(benzoquinolinyl)、苯並喹嗪基(benzoquinolizinyl)、苯並硫二氮庚因基(benzothiadiazepinyl)、苯並噻二唑基(benzothiadiazolyl)、苯並硫氮庚因基(benzothiazepinyl)、苯並硫吖辛因基(benzothiazocinyl)、苯並噻唑基(benzothiazolyl)、苯並噻吩基(benzothienyl)、苯並苯硫基(benzothiophenyl)、苯並噻嗪酮基(benzothiazinonyl)、苯並噻嗪基(benzothiazinyl)、苯並噻喃基(benzothiopyranyl)、苯並噻喃酮基(benzothiopyronyl)、苯並三氮庚因基(benzotriazepinyl)、苯並三嗪酮基(benzotriazinonyl)、苯並三嗪基(benzotriazinyl)、苯並三唑基(benzotriazolyl)、苯並氧硫雜環己二烯基(benzoxathiinyl)、苯並三氧庚因基(benzotrioxepinyl)、苯並氧二氮庚因基(benzoxadiazepinyl)、苯並氧硫氮庚因基(benzoxathiazepinyl)、苯並氧硫庚因基(benzoxathiepinyl)、苯並氧硫辛因基(benzoxathiocinyl)、苯並氧氮庚因基(benzoxazepinyl)、苯並噁嗪基(benzoxazinyl)、苯並氧吖辛因基(benzoxazocinyl)、苯並噁唑啉酮基(benzoxazolinonyl)、苯並噁唑啉基(benzoxazolinyl)、苯並噁唑基(benzoxazolyl)、苄基磺內醯胺基(benzylsultamyl)、苄基亞磺內醯胺基(benzylsultimyl)、苄基亞磺內醯胺基(benzylsultimyl)、雙吡嗪基(bipyrazinyl)、雙吡啶基(bipyridinyl)、咔唑基(carbazolyl) (例如4H-咔唑基)、咔啉基(carbolinyl)(例如β-咔啉基)、色滿酮基(chromanonyl)、𠳭基(chromanyl)、𠳭烯基(chromenyl)、㖕啉 ( cinnolinyl)、香豆素基(coumarinyl)、胞苷基(cytdinyl)、胞嘧啶基(cytosinyl)、十氫異喹啉基(decahydroisoquinolinyl)、十氫喹啉基(decahydroquinolinyl)、二氮雜二環辛烷基(diazabicyclooctyl)、二氮雜環丁二稀基(diazetyl)、二氮丙啶亞硫醯基(diaziridinethionyl)、二氮丙啶酮基(diaziridinonyl)、二吖丙啶基(diaziridinyl)、二次乙亞胺基(diazirinyl)、二苯異喹啉基(dibenzisoquinolinyl)、二苯並吖啶基(dibenzoacridinyl)、二苯並咔唑基(dibenzocarbazolyl)、二苯並呋喃基(dibenzofuranyl)、二苯並吩嗪基(dibenzophenazinyl)、二苯並吡喃酮基(dibenzopyranonyl)、二苯並吡喃酮基(dibenzopyronyl)(咕吨酮基/xanthonyl)、二苯並喹噁啉(dibenzoquinoxalinyl)、二苯並硫氮庚因基(dibenzothiazepinyl)、二苯並硫庚因基(dibenzothiepinyl)、二苯並苯硫基(dibenzothiophenyl)、二苯並氧庚因基(dibenzoxepinyl)、二氫氮庚因基(dihydroazepinyl)、二氫氮雜環丁二烯基(dihydroazetyl)、二氫呋喃基(dihydrofuranyl)、二氫呋喃基(dihydrofuryl)、二氫異喹啉基(dihydroisoquinolinyl)、二氫哌喃基(dihydropyranyl)、二氫吡啶基(dihydropyridinyl)、二氫吡啶基(dihydroypyridyl)、二氫喹啉基(dihydroquinolinyl)、二氫噻吩基(dihydrothienyl)、二氫吲哚基(dihydroindolyl)、二氧雜環己基(dioxanyl)、二噁嗪基(dioxazinyl)、二氧吲哚基(dioxindolyl)、二環氧乙烷基(dioxiranyl)、二環氧乙烯基(dioxenyl)、二氧雜環己烯基(dioxinyl)、二氧苯並呋喃基(dioxobenzofuranyl)、二氧雜環戊烯基(dioxolyl)、二氧四氫呋喃基(dioxotetrahydrofuranyl)、二氧硫代嗎啉基(dioxothiomorpholinyl)、二噻環己基(dithianyl)、二噻唑基(dithiazolyl)、二噻吩基(dithienyl)、硫雜環己二烯基(dithiinyl)、呋喃基(furanyl)、呋吖基(furazanyl)、呋喃甲醯基(furoyl)、呋喃基(furyl)、鳥嘌呤基(guaninyl)、均哌嗪基(homopiperazinyl)、均哌啶基(homopiperidinyl)、次黃嘌呤基(hypoxanthinyl)、乙內醯脲基(hydantoinyl)、咪唑啉啶基(imidazolidinyl)、咪唑啉基(imidazolinyl)、咪唑基(imidazolyl)、吲唑基(indazolyl)(例如1H-吲唑基)、吲哚烯基(indolenyl)、吲哚啉基(indolinyl)、吲哚嗪基(indolizinyl)、吲哚基(indolyl)(例如,1H-吲哚基或3H-吲哚基)、靛紅基(isatinyl)、靛紅基(isatyl)、異苯並呋喃基(isobenzofuranyl)、異𠳭基(isochromanyl)、異𠳭烯基(isochromenyl)、異吲唑基(isoindazoyl)、異吲哚啉基(isoindolinyl)、異吲哚基(isoindolyl)、異吡唑醯基(isopyrazolonyl)、異吡唑基(isopyrazolyl)、異噁唑啶基 (isoxazolidiniyl)、異噁唑基(isoxazolyl)、異喹啉基(isoquinolinyl)、異喹啉基(isoquinolinyl)、異噻唑啶基(isothiazolidinyl)、異噻唑基(isothiazolyl)、嗎啉基(morpholinyl)、萘並吲唑基(naphthindazolyl)、萘並吲哚基(naphthindolyl)、二氮雜萘基(naphthiridinyl)、萘並吡喃基(naphthopyranyl)、萘並噻唑基(naphthothiazolyl)、萘並硫酮基(naphthothioxolyl)、萘並三唑基(naphthotriazolyl)、萘並氧代吲哚基(naphthoxindolyl)、㖠啶基(naphthyridinyl)、八氫異喹啉基(octahydroisoquinolinyl)、氧雜雙環庚烷基(oxabicycloheptyl)、氧雜脲嘧啶(oxauracil)、噁二唑基(oxadiazolyl)、噁嗪基(oxazinyl)、噁吖丙啶基(oxaziridinyl)、噁唑啶基(oxazolidinyl)、噁唑啶酮基(oxazolidonyl)、噁唑啉基(oxazolinyl)、噁唑酮基(oxazolonyl)、噁唑基(oxazolyl)、氧雜環庚烷基(oxepanyl)、氧雜環丁烷酮基 (oxetanonyl)、氧雜環丁烷基(oxetanyl)、氧雜環丁烯基(oxetyl)、氧雜環丁烯基(oxtenayl)、氧吲哚基(oxindolyl)、環氧乙烷基(oxiranyl)、氧代苯並異噻唑基(oxobenzoisothiazolyl)、 氧代𠳭烯基(oxochromenyl)、氧代異喹啉基(oxoisoquinolinyl)、氧代喹啉基(oxoquinolinyl)、氧代硫雜環戊基(oxothiolanyl)、啡啶基(phenanthridinyl)、啡啉基(phenanthrolinyl)、啡嗪基(phenazinyl)、啡噻嗪基(phenothiazinyl)、啡噻吩基(phenothienyl)(苯並硫代呋喃基/benzothiofuranyl)、啡噁噻基(phenoxathiinyl)、啡噁嗪基(phenoxazinyl)、酞嗪基(phthalazinyl)、酞嗪酮基(phthalazonyl)、酞基(phthalidyl)、苯並吡咯烷酮基(phthalimidinyl)、哌嗪基(piperazinyl)、哌啶基(piperidinyl)、哌啶酮基(piperidonyl)(例如,4-哌啶酮基)、喋啶基(pteridinyl)、嘌呤基(purinyl)、哌喃基(pyranyl)、吡嗪基(pyrazinyl)、吡唑啶基(pyrazolidinyl)、吡唑啉基(pyrazolinyl)、吡唑並嘧啶基(pyrazolopyrimidinyl)、吡唑基(pyrazolyl)、噠嗪基(pyridazinyl)、吡啶基(pyridinyl)、吡啶並吡嗪基(pyridopyrazinyl)、吡啶並嘧啶基(pyridopyrimidinyl)、吡啶基(pyridyl)、嘧啶基(pyrimidinyl)、嘧啶基(pyrimidyl)、吡喃酮基(pyronyl)、吡咯啶基(pyrrolidinyl)、吡咯啶酮基(pyrrolidonyl)(例如,2-吡咯啶酮基)、吡咯啉基(pyrrolinyl)、吡咯嗪烷基(pyrrolizidinyl)、吡咯基(pyrrolyl)(例如,2H-吡咯基)、吡喃鎓(pyrylium)、喹唑啉基(quinazolinyl)、喹啉基(quinolinyl)、喹嗪基(quinolizinyl)(例如,4H-喹嗪基)、喹噁啉(quinoxalinyl)、喹嚀環基(quinuclidinyl)、硒雜吖嗪基(selenazinyl)、硒雜唑基(selenazolyl)、硒吩基(selenophenyl)、琥珀醯亞胺基(succinimidyl)、環丁碸基(sulfolanyl)、四氫呋喃基(tetrahydrofuranyl)、四氫呋喃基(tetrahydrofuryl)、四氫異喹啉基(tetrahydroisoquinolinyl)、四氫異喹啉基(tetrahydroisoquinolyl)、四氫吡啶基(tetrahydropyridinyl)、四氫吡啶基(tetrahydropyridyl)、哌啶基(piperidyl)、四氫哌喃基(tetrahydropyranyl)、四氫吡喃酮基(tetrahydropyronyl)、四氫喹啉基(tetrahydroquinolinyl)、四氫喹啉基(tetrahydroquinolyl)、四氫噻吩基(tetrahydrothienyl)、 四氫苯硫基(tetrahydrothiophenyl)、四嗪基(tetrazinyl)、四唑基(tetrazolyl)、噻二嗪基(thiadiazinyl)(例如,6H-1,2,5-噻二嗪基或2H,6H-1,5,2-二噻二嗪基)、噻二唑基(thiadiazolyl)、噻嗯基(thianthrenyl)、噻環己基(thianyl)、硫茚基(thianaphthenyl)、硫氮庚因基(thiazepinyl)、噻嗪基(thiazinyl)、噻唑烷二酮基(thiazolidinedionyl)、噻唑啶基(thiazolidinyl)、噻唑基(thiazolyl)、噻吩基(thienyl)、硫雜環庚烷基(thiepanyl)、硫雜庚因基(thiepinyl)、氧雜環丁烷基(thietanyl)、硫雜雜環丁烯基(thietyl)、硫雜環丙基(thiiranyl)、硫雜環辛烷基(thiocanyl)、硫代色滿酮基(thiochromanonyl)、硫代𠳭基(thiochromanyl)、硫代𠳭烯基(thiochromenyl)、硫代二嗪基(thiodiazinyl)、噻二唑基(thiodiazolyl)、噻茚酚基(thioindoxyl)、硫代嗎啉基(thiomorpholinyl)、苯硫基(thiophenyl)、噻喃基(thiopyranyl)、硫代吡喃酮基(thiopyronyl)、硫代三唑基(thiotriazolyl)、硫代 脲唑基(thiourazolyl)、硫氧雜環己基(thioxanyl)、硫氧雜環戊烯基(thioxolyl)、胸嘧啶基(thymidinyl)、胸苷基(thyminyl)、三嗪基(triazinyl)、三唑基(triazolyl)、三噻環己基(trithianyl)、脲嗪基(urazinyl)、脲唑基(urazolyl)、脲丁啶基(uretidinyl)、脲啶基(uretinyl)、脲嘧啶基(uricyl)、脲苷基(uridinyl)、呫吨基(xanthenyl)、黄嘌呤基(xanthinyl)、𠮿硫酮基(xanthionyl) 及類似者,以及其修飾形式(例如,包括一或更多側氧基及/或胺基)及其鹽類。雜環基可為經取代或未經取代。例如,雜環基可經一或更多取代基取代,如本文對烷基所述。
“烴基(hydrocarbyl)”係指透過從烴中除去氫原子而形成之一價基團。非限定之未經取代烴基包括如本文所定義之烷基、烯基、炔基及芳基,其中此些基團僅包括碳及氫原子。烴基可為經取代或未經取代。例如,烴基可經一或更多取代基取代,如本文對烷基所述。在其他實施例中,本文中之任何烷基或芳基可被本文所定義之烴基取代。
“羥基(hydroxyl)”係指-OH。
“羥烷基(hydroxyalkyl)”係指經一至三個羥基取代之本文所定義的烷基,條件是不超過一個羥基可連接至該烷基之單個碳原子,且示例為羥甲基、二羥丙基及類似者。
“羥芳基(hydroxyaryl)”係指經一至三個羥基取代之本文所定義的芳基,條件是不超過一個羥基可連接至該芳基之單個碳原子,且示例為羥苯基、二羥苯基及類似者。
“異氰酸基(isocyanato)”係指-NCO。
“氧負離子基(oxido)”係指-O 基團。
“側氧基(oxo)”係指= O基團。
“膦(phosphine)”係指具有烴基部分之三價或四價磷。在一些實施例中,膦為-PRP 3 基團,其中每一RP 獨立為H、視情況取代之烷基或視情況取代之芳基。膦基可為經取代或未經取代。例如,膦基可經一或更多取代基取代,如本文對烷基所述。
“硒醇(selenol)”係指-SeH基團。
“碲醇(tellurol)”係指-TeH基團。
“硫異氰酸基(thioisocyanato)”係指-NCS。
“硫醇(thiol)”係指-SH基團。
如本文所使用,術語“頂部”、“底部”、“上部”、“下部”、“上方”及“下方”用於提供結構之間的相對關係。此些術語之使用並不表示或要求特定結構必須放置在設備中之特定位置。結論
揭示調變基板化學性質之方法及設備,其透過結合高吸收EUV材料及/或控制金屬氧化物光阻之表面黏附以例如在EUV圖案化背景下形成圖案化遮罩。
應當理解,本文所述之示例及實施例僅用於說明目的,且將對本領域技術人員建議諸多鑑於此的修改或改變。儘管為清楚起見已省略諸多細節,但可實施諸多設計替代方案。因此,本示例應視為說明性而非限制性,且本發明不限於本文所給出的細節,而是可在本發明之範圍內進行修改。
提供以下示例請求項以進一步說明本發明之某些實施例。本發明不必限於此些實施例。
101:基板 102:成像層 105:光吸收 105a:較低值 105b:較高值 111:基板 112:成像層 113:輻射吸收層 114:二次電子 115:光吸收 115a:下部 115b:上部 200:方法 201:操作 202:操作 203:顯影 211:基板 212:成像層 212a:輻射曝光區 212b:輻射未曝光區 213:吸收層 214:光響應表面、成像層 214a:輻射曝光區 214b:輻射未曝光區 224:遮罩 225:輻射束 300:方法 301:操作 302:操作 303:操作 311:基板 313:輻射吸收層 313a:蝕刻吸收層 314:光響應表面 314a:輻射曝光區 314b:輻射未曝光區 315:硬遮罩 500:方法 501:操作 502:操作 503:操作 511:基板 512:成像層 512a:輻射曝光區 512b:輻射未曝光區 513:吸收層 513a:輻射曝光區 513b:輻射未曝光區 600:方法 601:操作 602:操作 603:操作 604:操作 611:基板 613:吸收層 614:表面 614a:曝光區 614b:未曝光區 615:含金屬(M)-氧(O)材料 701:操作 702:操作 703:操作 711:基板 711a:平面結構 711b:特徵部 711c:垂直側壁 712:成像層 712a:水平平面部分 712b:水平懸伸部分 713:輻射吸收層 715:EUV吸收 715a:界面 715b:界面 900:製程站 901a:反應物輸送系統 902:製程腔室主體 903:汽化點 904:混合容器 906:噴淋頭 908:基座 910:加熱器 912:基板 914:射頻功率供應源 916:匹配網路 918:蝶閥 920:混合容器入口閥 950:電腦控制器 1000:多站處理工具 1002:入站裝載室 1004:出站裝載室 1006:機器人 1008:傳送盒 1010:大氣埠 1012:基座 1014:處理腔室 1016:腔室轉移埠 1018:基座 1050:系統控制器 1052:處理器 1054:大容量儲存裝置 1056:記憶體裝置 1058:系統控制軟體 1100:感應耦合式電漿設備 1101:腔室壁 1102:上部次腔室 1103:下部次腔室 1111:窗口 1117:吸盤 1119:半導體晶圓 1121:匹配電路 1122:埠 1123:射頻功率供應源 1125:連接 1127:連接 1130:系統控制器 1133:線圈 1139:匹配電路 1140:真空泵 1141:射頻功率供應源 1143:連接 1145:連接 1149:法拉第屏蔽 1150:電漿格柵 1160:氣流入口 1170:氣流入口 1200:設備 1220a:處理模組 1220b:處理模組 1220c:處理模組 1220d:處理模組 1222:真空轉移模組機器人 1224:末端執行器 1226:晶圓 1236:端面 1238:真空轉移模組 1240:圖案化模組 1242:氣室 1244:前端機器人 1246:氣室 1250:系統控制器 1300:設備 1302:處理腔室 1304:晶圓轉移通道 1306:閘閥 1308:蓋 1310:噴淋頭 1312:第一氣室 1314:第二氣室 1316:第一入口 1318:第二入口 1320:反應空間 1322:基板 1324:晶圓支撐件 1326:靜電吸盤 1328:頂板 1330a:電阻加熱器跡線 1330b:電阻加熱器跡線 1330c:電阻加熱器跡線 1330d:電阻加熱器跡線 1332:夾持電極 1334:底板(第275段落 ”基板1334”) 1336:熱交換通道 1338:上邊緣環 1340a:下邊緣環 1340b:下邊緣環 1340c:第三下邊緣環 1342:晶圓支撐殼體 1344:晶圓支撐柱 1346:晶圓支撐z致動器 1348:佈線通道 1350:擋板 1352:真空前級管線 1354:調節器閥 1356:環形氣室 1358:盒式加熱器 1360:加熱器毯 1368a:第一閥歧管 1368b:第二閥歧管 1370a:第一旁通管線 1370b:第二旁通管線 1372a:第一汽化器 1372b:第二汽化器 1372c:汽化器 1372d:汽化器 1374:沖洗氣體源 1376:檯面 1377:周向壁特徵部 1378:背側間隙 1380:徑向間隙 1382:氣體埠 1384:控制器 1386:處理器 1388:記憶體裝置
1A-1B 呈現非限定圖案化結構之示意圖。提供(A)具有基板101及成像層102的結構;以及(B)具有基板111、成像層112及在成像層112下方之輻射吸收層113的結構。
2 呈現採用輻射吸收層213及成像層212之非限定方法的示意圖。
3 呈現採用輻射吸收層313及硬遮罩315之非限定方法的示意圖。
4 呈現非限定第一前驅物(1)與非限定第二前驅物(2)之間的反應式。
5 呈現用於製造並使用圖案化結構之非限定方法的示意圖。
6 呈現用於製造並使用圖案化結構之另一非限定方法的示意圖。
7A-7C 呈現非限定圖案化結構。提供(A)具有基板711、成像層712及在成像層712下方之輻射吸收層713的結構;(B)非限定圖案化結構的圖像;以及(C)非限定方法的示意圖。
8 呈現非限定曲線圖,其示出諸多元素之極紫外光(EUV)光吸收截面。星號(*)表示具有高EUV光吸收截面之非限定元素;且數字符號(#)表示輻射性之非限定元素。與內殼電子激發相關之EUV光(92 eV,13.5 nm)的吸收對化學鍵可具有很小的依賴性。
9 呈現用於乾式顯影之製程站900 實施例的示意圖。
10 呈現多站製程工具1000 實施例的示意圖。
11 呈現感應耦合式電漿設備1100 實施例的示意圖。
12 呈現半導體製程叢集工具架構1200 實施例的示意圖。
13 繪出乾式沉積設備1300 示例的剖面示意圖。
14 繪出頂板、基板及邊緣環之一部分的詳細側視圖及平面圖。
111:基板
112:成像層
113:輻射吸收層
114:二次電子
115:光吸收
115a:下部
115b:上部

Claims (22)

  1. 一種製造圖案化結構之方法,該方法包括: 提供一基板以接收一圖案; 將一輻射吸收層結合至該基板之一表面上;以及 提供一成像層,其中該輻射吸收層位於該成像層底下,以增強該成像層之輻射吸收率及/或圖案化效能。
  2. 如請求項1所述之製造圖案化結構之方法,其中該成像層包括一輻射敏感成像層、一極紫外光(EUV)敏感膜、一光阻膜、一硬遮罩、或一原子層沉積(ALD)硬遮罩。
  3. 如請求項1或2所述之製造圖案化結構之方法,其中該輻射吸收層包括碘(I)、銦(In)、錫(Sn)、鉍(Bi)、銻(Sb)、碲(Te)、其氧化物、其合金、或其組合。
  4. 如請求項1或2所述之製造圖案化結構之方法,其中該輻射吸收層包括一第一元素,該第一元素具有高圖案化輻射吸收截面。
  5. 如請求項4所述之製造圖案化結構之方法,其中該成像層包括一第二元素,該第二元素具有高圖案化輻射吸收截面及暴露於圖案化輻射下為可裂解之部分。
  6. 如請求項1或2所述之製造圖案化結構之方法,於所述提供該成像層之前更包括: 將鹵素、烷基或鹵代烷基部分結合至該輻射吸收層之一表面。
  7. 如請求項1或2所述之製造圖案化結構之方法,其中所述結合包括: 透過一或更多前驅物之濺鍍、物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、基於電漿的沉積、熱誘導分解、或電漿誘導分解來沉積該輻射吸收層。
  8. 如請求項7所述之製造圖案化結構之方法,其中所述結合包括: 提供包含碲(Te)之一第一前驅物及包含金屬氧化物之一第二前驅物至該基板之該表面,其中該第一及第二前驅物各自以氣相提供至該基板,因而沉積該輻射吸收層於該基板上。
  9. 如請求項8所述之製造圖案化結構之方法,其中該第一前驅物包含TeR2 或TeR4 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷基、視情況取代之C1-12 烷氧基、視情況取代之芳基、羥基、側氧基或視情況取代之三烷基甲矽烷基; 且其中該第二前驅物包括氧化錫、氧化銻或氧化鉍。
  10. 如請求項7所述之製造圖案化結構之方法,其中所述結合包括: 在電漿或熱存在下,將該基板之該表面暴露於包含具有高EUV吸收截面之元素的蒸氣,因而沉積該輻射吸收層於該基板上。
  11. 如請求項10所述之製造圖案化結構之方法,其中該蒸氣包括碘(I)、碘氣(I2 )、二碘甲烷(CH2 I2 )、錫(Sn)、碲(Te)、或雙(烷基)碲(TeR2 )。
  12. 如請求項1或2所述之製造圖案化結構之方法,其中該輻射吸收層之一表面更包括具有不穩定部分之一光響應表面,該不穩定部分暴露於該圖案化輻射下為可裂解。
  13. 如請求項12所述之製造圖案化結構之方法,其中所述結合包括: 沉積該輻射吸收層於該基板之該表面上;以及 利用包含有該不穩定部分之一覆蓋劑來覆蓋該輻射吸收層。
  14. 如請求項13所述之製造圖案化結構之方法,其中該輻射吸收層包括氧化錫、錫、錫合金、氧化鉍或碲;且其中該覆蓋劑包括經烷基取代之含金屬前驅物。
  15. 如請求項12所述之製造圖案化結構之方法,在所述提供該成像層之後更包括: 將該輻射吸收層及該成像層暴露於圖案化輻射曝光,因而提供具有一輻射曝光區及一輻射未曝光區之一曝光膜,其中相較於該輻射未曝光區,該輻射曝光區之特徵在於該輻射吸收層與該成像層之間增強的黏附;以及 對該曝光膜顯影,因而去除該輻射未曝光區以提供該圖案。
  16. 如請求項12所述之製造圖案化結構之方法,在所述提供該成像層之前更包括: 將該輻射吸收層之該光響應性表面暴露於圖案化輻射曝光,因而提供具有一輻射曝光區及一輻射未曝光區之一圖案化輻射吸收層,其中相較於該輻射未曝光區,該輻射曝光區之特徵在於增強的成核作用以沉積該成像層。
  17. 如請求項1或2所述之製造圖案化結構之方法,其中該方法在所述提供該成像層之後更包括: 將該輻射吸收層及該成像層暴露於圖案化輻射曝光,因而提供具有一輻射曝光區及一輻射未曝光區之一曝光膜;以及 對該曝光膜顯影,因而去除該輻射未曝光區或該輻射曝光區以提供該圖案。
  18. 如請求項1或2所述之製造圖案化結構之方法,其中所述結合包括: 提供一或更多前驅物,該一或更多前驅物包括具式(I )或(II )之結構: Ma Rb (I ), 其中: M為具有高EUV吸收截面之金屬或原子; 每一R獨立為H、鹵素、視情況取代之烷基、視情況取代之環烷基、視情況取代之環烯基、視情況取代之烯基、視情況取代之炔基、視情況取代之烷氧基、視情況取代之烷醯氧基、視情況取代之芳基、視情況取代之胺基、視情況取代之雙(三烷基甲矽烷基)胺基、視情況取代之三烷基甲矽烷基、側氧基、陰離子配位基、中性配位基、或多牙配位基; a ≥ 1;且b ≥ 1;或 Ma Rb Lc (II ), 其中: M為具有高EUV吸收截面之金屬或原子; 每一R獨立為鹵素、視情況取代之烷基、視情況取代之芳基、視情況取代之胺基、視情況取代之烷氧基、或L; 每一L獨立為配位基、陰離子配位基、中性配位基、多牙配位基、離子、或與相對反應物具反應性之其他部分,其中R及L與M一起可視情況地形成雜環基或其中R與L一起可視情況地形成雜環基; a≥1;b≥1;且c≥1。
  19. 如請求項1或2所述之製造圖案化結構之方法,其中所述結合包括: 提供一或更多前驅物,該一或更多前驅物選自由以下所組成之群組: InR3 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷基、或二酮根; SnR2 或SnR4 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷氧基、視情況取代之胺基、視情況取代之芳基、環戊二烯基或二酮根; BiR3 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷基、單-C1-12 烷基胺基、二-C1-12 烷基胺基、視情況取代之芳基、視情況取代之雙(三烷基甲矽烷基)胺基、或二酮根; SbR3 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷基、視情況取代之C1-12 烷氧基、或視情況取代之胺基; TeR2 或TeR4 ,其中每一R獨立為鹵素、視情況取代之C1-12 烷基、視情況取代之C1-12 烷氧基、視情況取代之芳基、羥基、側氧基或視情況取代之三烷基甲矽烷基;以及 RI,其中R為碘(I)或視情況取代之C1-12 烷基。
  20. 如請求項1或2所述之製造圖案化結構之方法,其中所述提供該成像層包括: 在該相對反應物之存在下提供一或更多前驅物,該一或更多前驅物包括具式(I )或(II )之結構,其中該相對反應物包括氧或硫屬化物前驅物。
  21. 一種圖案化結構,包括: 一基板以接收一圖案; 一成像層於該基板之一表面上; 一輻射吸收層於該成像層下方,其中該輻射吸收層配置成增強該成像層之輻射吸收率及圖案化效能。
  22. 一種處理基板之設備,該設備包括: (a)  一或更多製程腔室,每一製程腔室包括一吸盤或一基座; 往該製程腔室之一或更多氣體入口及相關流量控制硬體;以及 一或更多氣體出口,用於將材料從該製程腔室及相關流量控制硬體去除; (b) 一控制器,具有至少一處理器及一記憶體,其中 該至少一處理器與該記憶體彼此通訊連接, 該至少一處理器至少可操作地與該流量控制硬體連接,以及 該記憶體儲存電腦可執行指令,用於控制該至少一處理器以至少控制該流量控制硬體,且用於引起請求項1-20中之方法的任一者。
TW109134377A 2019-10-02 2020-10-05 利用高效能極紫外光光阻用高極紫外光吸收劑的基板表面改質 TW202129421A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962909430P 2019-10-02 2019-10-02
US62/909,430 2019-10-02

Publications (1)

Publication Number Publication Date
TW202129421A true TW202129421A (zh) 2021-08-01

Family

ID=75337558

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109134377A TW202129421A (zh) 2019-10-02 2020-10-05 利用高效能極紫外光光阻用高極紫外光吸收劑的基板表面改質

Country Status (7)

Country Link
US (1) US20220365434A1 (zh)
EP (1) EP4038454A4 (zh)
JP (1) JP2022550568A (zh)
KR (1) KR20220076488A (zh)
CN (1) CN114730133A (zh)
TW (1) TW202129421A (zh)
WO (1) WO2021067632A2 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR20220099116A (ko) * 2019-11-12 2022-07-12 어플라이드 머티어리얼스, 인코포레이티드 감소된 수소 증착 프로세스들
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
KR20220137082A (ko) * 2020-02-04 2022-10-11 램 리써치 코포레이션 금속-함유 euv 레지스트의 건식 현상 성능을 개선하기 위한 도포 후 처리/노출 후 처리
US11886120B2 (en) * 2020-07-21 2024-01-30 Applied Materials, Inc. Deposition of semiconductor integration films
TW202340879A (zh) * 2021-12-16 2023-10-16 美商蘭姆研究公司 高吸收性含金屬光阻的顯影策略
US20230280644A1 (en) * 2022-03-03 2023-09-07 International Business Machines Corporation Method of making euv mask with an absorber layer
WO2024070833A1 (ja) * 2022-09-27 2024-04-04 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US20240210821A1 (en) * 2022-12-22 2024-06-27 Intel Corporation Precursors and methods for producing bismuth-oxy-carbide-based photoresist

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057587A (en) * 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
CN102610516B (zh) * 2011-07-22 2015-01-21 上海华力微电子有限公司 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
WO2013078211A1 (en) * 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US8691476B2 (en) * 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US9304396B2 (en) * 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
TW201811876A (zh) * 2016-04-28 2018-04-01 三菱瓦斯化學股份有限公司 阻劑下層膜形成用組成物、微影用下層膜、及、圖型形成方法
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
WO2020102085A1 (en) * 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography

Also Published As

Publication number Publication date
US20220365434A1 (en) 2022-11-17
EP4038454A2 (en) 2022-08-10
CN114730133A (zh) 2022-07-08
JP2022550568A (ja) 2022-12-02
WO2021067632A2 (en) 2021-04-08
WO2021067632A3 (en) 2021-05-14
KR20220076488A (ko) 2022-06-08
EP4038454A4 (en) 2023-10-25

Similar Documents

Publication Publication Date Title
US20220299877A1 (en) Positive tone development of cvd euv resist films
TW202129421A (zh) 利用高效能極紫外光光阻用高極紫外光吸收劑的基板表面改質
US20230152701A1 (en) Structure and method to achieve positive tone dry develop by a hermetic overlayer
US20230266664A1 (en) Photoresists from sn(ii) precursors
WO2022016123A1 (en) Dry deposited photoresists with organic co-reactants
US20230266670A1 (en) Metal chelators for development of metal-containing photoresist
US20230288798A1 (en) Photoresists containing tantalum
US20230314946A1 (en) Method of forming photo-sensitive hybrid films
US20240134274A1 (en) Halogen-and aliphatic-containing organotin photoresists and methods thereof
US20240192590A1 (en) Apparatus and process for euv dry resist sensitization by gas phase infusion of a sensitizer
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
TW202344921A (zh) 有機金屬光阻的水性酸顯影或處理
JP2024506160A (ja) 量子効率の良いフォトレジストおよびその方法
KR20240114785A (ko) 높은-흡수 금속-함유 포토레지스트에 대한 현상 전략
TW202340858A (zh) 混合有機錫氧化物光阻的顯影
KR20240114784A (ko) 유기금속 포토레지스트의 산 수용액 현상 또는 처리