KR20210010587A - Euv 리소그래피를 위한 접착층 - Google Patents

Euv 리소그래피를 위한 접착층 Download PDF

Info

Publication number
KR20210010587A
KR20210010587A KR1020207037683A KR20207037683A KR20210010587A KR 20210010587 A KR20210010587 A KR 20210010587A KR 1020207037683 A KR1020207037683 A KR 1020207037683A KR 20207037683 A KR20207037683 A KR 20207037683A KR 20210010587 A KR20210010587 A KR 20210010587A
Authority
KR
South Korea
Prior art keywords
layer
adhesive layer
carboxylic acid
substrate
composition
Prior art date
Application number
KR1020207037683A
Other languages
English (en)
Inventor
안드레아 엠. 차코
반다나 크리쉬나무티
이첸 리앙
하오 리
스티븐 그랜네만
더글라스 제이. 게레로
Original Assignee
브레우어 사이언스, 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스, 인코포레이션 filed Critical 브레우어 사이언스, 인코포레이션
Publication of KR20210010587A publication Critical patent/KR20210010587A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

EUV 접착층으로서 사용하기 위한 새로운 리소그래피 조성물이 제공된다. 본 발명은 이들 조성물을 사용하여 마이크로 전자 구조물을 제조하는 방법 뿐만 아니라 그들 방법에 의해서 형성된 구조물을 제공한다. 그러한 방법은 포토레지스트 층 바로 아래에 있는 접착층을 사용함을 포함한다. 접착층은 기판에 직접적으로 적용될 수 있거나, 그것은 기판에 적용될 수 있는 어떠한 중간층(들), 예컨대, 알파-탄소, 스핀-온 탄소, 스핀-온 실리콘 하드마스크, 금속 하드마스크, 또는 증착된 실리콘 층에 적용될 수 있다. 바람직한 접착층은 스핀-코팅 가능한 폴리머 조성물로부터 형성된다. 본 발명의 방법은 접착을 개선시키고, 패턴 붕괴 문제를 감소시키거나 제거한다.

Description

EUV 리소그래피를 위한 접착층
본 발명은 발명의 명칭이 EUV 리소그래피를 위한 접착층이고 본원에서 그 전체 내용이 참조로 통합되는 2018년 6월 13일자 출원된 미국 가특허출원 일련번호 제62/684,359호의 우선권을 주장한다.
본 발명은 일반적으로 EUV(극자외선: extreme ultraviolet) 리소그래피(lithography)를 사용하여 마이크로전자 구조물(microelectronic structure)을 제조하는 방법에 관한 것이다.
반도체 산업이 무어의 법칙(Moore's law)을 계속 이어감에 따라서, 지속적으로 감소하는 피처 크기(feature size)에 대한 요구가 패턴 붕괴(pattern collapse)를 방지하기 위한 더 얇은 필름의 사용을 필요로 하고 있다. 더 얇은 필름은 패턴을 기판에 전달하기 위한 하드마스크(hardmask)를 사용하는 것을 필요로 할 것이다. 극자외선(EUV) 노출(exposure)은 7-nm 노드(node) 및 그 넘어의 요망되는 임계 치수(critical dimension: CD) 목적을 달성하기 위한 단일 노출 리소그래피(lithography)에 대한 선택 방법인 것으로 예상된다. 불행하게도, EUV 리소그래피는 강력한 방사선 공급원의 결여, 확률적 영향, 및 접착 문제를 포함한 많은 문제에 의해서 방해를 받았다.
탄소-함유 층, 실리콘-함유 층(silicon-containing layer) 및 포토레지스트(photoresist)를 함유하는 통상의 3층 스택(trilayer stack)은, 흔히, 포토레지스트와 실리콘 하층 사이의 불량한 접착을 생성시킨다. 이러한 불량한 접착은 흔히, 특히 더 낮은 임계 치수(CD)에서, 패턴화된 레지스트(patterned resist)에서의 유의한 붕괴를 초래한다.
한 가지 접근법은 비교적 높은 탄소 함량으로 인해서 포토레지스트에 대한 우수한 접착을 제공하는 스핀-온-실리콘 하드마스크(spin-on-silicon hardmask)를 실행하는 것이었다. 개선된 접착에 대한 한 가지 유의한 절충은 실리콘 하드마스크 (Si-HM) 층 내의 더 낮은 실리콘 함량의 결과로서 CF4 에칭율(etch rate)에서의 주된 감소이다.
본 발명은 위에 하나 이상의 중간층을 임의로 포함하는 기판(substrate)을 제공함을 포함하는 구조물을 형성시키는 방법을 제공하고 있다. 접착층은 기판상에, 또는 존재하는 경우의 하나 이상의 중간층 상에 형성된다. 접착층은 단층보다 더 두껍지만 9nm 미만인 평균 두께, 및 100 중량%로 취한 접착층의 전체 중량을 기준으로 하여, 약 0.001 중량% 미만의 금속 함량을 갖는다. 포토레지스트 층은 접착층 상에 형성되고 포토레지스트 층의 적어도 일부가 EUV 방사선에 적용된다.
또 다른 구체예에서, 본 발명은, 위에 하나 이상의 중간층을 임의로 포함하는 기판을 제공함을 포함하여, 구조물을 형성시키는 방법을 제공한다. 접착층은 기판상에, 또는 존재하는 경우의 하나 이상의 중간층 상에 형성된다. 접착층은 비-전도성이고, 단층보다 더 두껍지만, 9 nm 미만인 평균 두께를 갖는다. 포토레지스트 층은 상기 접착층 상에 형성되고 포토레지스트 층의 적어도 일부가 EUV 방사선에 주어진다.
도 1은 실시예 2의 모액(Mother Liquor) 2의 HPLC 특성화를 나타내는 그래프이다.
도 2는 실시예 2의 최종 제형(formulation)의 HPLC 특성화를 나타내는 그래프이다.
도 3은 실시예 2로부터의 재료의 필름 두께 및 균일성을 도시하는 이미지이다.
도 4는 실시예 11로부터의 리소그래피 스택 및 리소그래피 결과의 이미지이다.
본 발명의 방법
더욱 상세하게는, 본 발명은 EUV(즉, 13.5 nm) 리소그래피에 특히 적합한 마이크로전자 구조물을 형성시키는 방법을 제공한다. 본 발명의 방법에서, 표면을 갖는 기판이 제공된다. 어떠한 마이리하게는 반도체 기판, 예컨대, 실리콘, SiGe, Si02, Si3N4, SiON, 알루미늄, 텅스텐, 규화텅스텐, 비소화갈륨(gallium arsenide), 게르마늄, 탄탈럼(tantalum), 질화탄탈럼, Ti3N4, 하프늄, Hf02, 루테늄, 인듐 포스파이드, 코럴(coral), 블랙-다이아몬드(black-diamond), 유리, 또는 이들의 혼합이다. 임의의 중간층이 가공 전에 기판에 형성될 수 있다. 기판은 평탄 표면을 가질 수 있거나, 그것은 토포그래픽 피처(topographic feature)(비아 홀(bia hole), 트렌치(trench), 접촉 홀(contact hole), 상승된 피처(raised feature), 라인 등)을 포함할 수 있다. 본원에서 사용된 용어 "토포그래피(topography)"는 기판 표면에서 또는 기판 표현 상에서의 구조물의 높이 또는 깊이를 나타낸다.
탄소-풍부 층(carbon-rich layer)이 기판 또는 어떠한 중간층 상에 형성될 수 있다. 탄소-풍부 층은 어떠한 공지된 적용 방법에 의해서 형성될 수 있으며, 한 가지 바람직한 방법은 약 30 내지 약 120 초, 바람직하게는 약 45 내지 75 초의 시간 동안 약 1,000 내지 약 5,000 rpm, 바람직하게는 약 1,250 내지 약 1,750 rpm의 속도로 스핀-코팅(spin-coating)하는 것이다. 용어 "탄소-풍부"는, 100 중량%로 취한 조성물의 전체 고형물(solid content)을 기준으로 하여, 약 50 중량% 초과의 탄소, 바람직하게는 약 70 중량% 초과의 탄소, 더욱 바람직하게는 약 75 내지 약 80 중량%의 탄소를 포함하는 조성물로부터 형성된 층을 나타낸다. 적합한 탄소-풍부 층은 스핀-온 탄소 층(spin-on carbon layer: SOC), 비정질 탄소 층, 및 탄소 평탄화 층(carbon planarizing layer)으로 이루어진 군으로부터 선택된다.
예시적인 탄소-풍부 층은 일반적으로는 다음의 임의의 성분: 산 및/또는 염기 켄처(quencher), 촉매, 가교제, 및 표면 개질 첨가제와 함께, 용매 시스템에 용해되거나 분산된 폴리머를 포함할 것이다. 바람직한 조성물은 두꺼운 층을 형성시키기에 적합할 것이고, 바람직하게는, 100 중량%로 취한 조성물의 전체 중량을 기준으로 하여, 약 0.1% 내지 약 70%, 더욱 바람직하게는 약 1% 내지 약 5%, 및 더욱더 바람직하게는 약 1% 내지 약 3 중량%의 고형물 함량을 갖는다. 탄소-풍부 조성물이 적용된 후에, 그것은 바람직하게는 약 100℃ 내지 약 400℃, 및 더욱 바람직하게는 약 l60℃ 내지 약 350℃의 온도로 그리고 약 30 초 내지 약 120 초, 바람직하게는 약 45 초 내지 약 60 초 동안 가열되어 용매를 증발시킨다. 베이킹(baking)된 후의 탄소-풍부 층의 두께는 바람직하게는 약 10 nm 내지 약 120 nm, 더욱 바람직하게는 약 20 nm 내지 약 100 nm, 더욱더 바람직하게는 약 40 nm 내지 약 60 nm이다. 탄소-풍부 층은 다른 공지된 적용 방법, 예컨대, 화학적 기상 증착(chemical vapor deposition: CVD), 플라즈마-강화 화학적 기상 증착(plasma-enhanced chemical vapor deposition: PECVD), 원자층 증착(atomic layer deposition: ALD), 또는 플라즈마-강화 원자층 증착(PEALD)에 의해서 형성될 수 있다.
하드마스크 층은 탄소-풍부 재료에, 기판에 또는 어떠한 중간층에 인접하여 적용될 수 있다. 하드마스크 층은 어떠한 공지된 적용 방법, 예컨대, 화학적 기상 증착(CVD) 또는 플라즈마-강화 화학적 기상 증착(PECVD)에 의해서 형성될 수 있다. 또 다른 바람직한 방법은 약 30 내지 약 120 초, 바람직하게는 약 45 내지 약 75 초 동안 약 1,000 내지 약 5,000 rpm, 바람직하게는 약 1,250 내지 약 1,750 rpm의 속도에서의 스핀-코팅이다. 적합한 하드마스크 층은 실란, 실록산, 실세스퀴옥산(silsesquioxane), 실리콘 옥시니트라이드(silicon oxynitride), 실리콘 니트라이드, 폴리실리콘, 비정질 실리콘, 또는 하층에 상대적인 높은 에칭 바이아스(etch bias)를 갖는 어떠한 층으로 이루어진 군으로부터 선택되는 높은-실리콘 함량 재료이다. 예시적인 하드마스크 층은 일반적으로는 다음 임의의 성분: 계면활성제, 산 또는 염기 촉매, 및 가교제와 함께, 용매 시스템에 용해되거나 분산된 폴리머를 포함할 것이다. 바람직한 조성물은 바람직하게는, 100 중량%로 취한 조성물의 전체 중량을 기준으로 하여, 약 0.1% 내지 약 70%, 더욱 바람직하게는 약 0.5% 내지 약 10%, 또한 더욱 바람직하게는 약 0.5% 내지 약 1 중량%의 고형물 함량을 가질 것이다. 하드마스크(hardmask)가 적용된 후에, 그것은 바람직하게는 약 100℃ 내지 약 300℃, 더욱 바람직하게는 약 150℃ 내지 약 250℃의 온도로 그리고 약 30 초 내지 약 120 초, 바람직하게는 약 45 초 내지 약 60 초 동안 가열되어 용매를 증발시킨다. 베이킹 후의 하드마스크 층의 두께는 바람직하게는 약 5 nm 내지 약 50,000 nm, 더욱 바람직하게는 약 5 nm 내지 약 1,000 nm, 또한 더욱 바람직하게는 약 10 nm 내지 약 30 nm이다. 하드마스크 층은 불소-풍부 플라즈마 대기 중의 포토레지스트의 에칭율의 적어도 0.75배의 에칭율 및 산소-풍부 플라즈마 에칭 대기 중의 탄소-풍부 층보다 더 적어도 5배 느린 에칭율을 가져야 한다.
일부 상업적 하드마스크 층이 사용될 수 있다. 다른 바람직한 하드마스크 층은 펜에틸트리메톡시실란(PETMS), 2-(카르보메톡시)에틸트리메톡시실란(CMETMS), 테트라에톡시실란(TEOS), 메틸트리메톡시실란, 페닐트리메톡시실란, 메틸트리메톡시실란(MTMS), 에틸트리메톡시실란(ETMS), (3-글리시딜옥시프로필)트리에톡시실란, 및 2-(3,4-에폭시사이클로헥실)에틸트리메톡시실란(ECHTMS)을 함유하는 군으로부터 선택된 모노머(monomer)의 코폴리머(copolymer)를 함유한다.
본 발명에 따른 접착층을 형성시키기에 유용한 조성물은 이어서 기판, 탄소-풍부 층, 하드마스크, 또는 다른 중간층에 적용되어 포토레지스트의 아래에 하나의 층을 형성시킨다. 바람직하게는, 접착층은 하드마스크에 직접적으로 적용된다. 조성물은 어떠한 공지된 적용 방법에 의해서 적용될 수 있고, 하나의 바람직한 방법은 바람직하게는 약 1,000 내지 약 5,000 rpm, 더욱 바람직하게는 약 1,250 내지 약 1,750 rpm의 속도에서 바람직하게는 약 30 내지 약 120 초, 더욱 바람직하게는 약 45 내지 약 75 초 동안 조성물을 스핀 코팅하는 것이다. 접착층은 이어서 베이킹되어 조성물의 열 가교를 유도하여 경화 층을 형성시킨다. 바람직한 베이킹 조건은 바람직하게는 약 30 초 내지 약 120 초, 바람직하게는 약 45 초 내지 약 60 초 동안 약 l00℃ 내지 약 300℃, 더욱 바람직하게는 약 l50℃ 내지 약 250℃의 온도를 포함한다.
베이킹 후의 접착층의 평균 두께는 단층(monolayer)보다 더 두껍지만(즉, 분자 또는 원자의 단일층보다 더 두껍지만), 9nm 미만, 바람직하게는 약 1 nm 내지 9 nm, 더욱 바람직하게는 약 2 nm 내지 약 6 nm, 또한 더욱 바람직하게는 약 4 nm 내지 약 5 nm이다. 기판 표면이 토포그래피를 포함하면, 접착층은 바람직하게는 기판 토포그래피를 실질적으로 덮기에 충분한 두께로 적용된다.
본 발명의 접착층은 낮은 금속 함량을 가질 것이다. 바람직한 일 구체예에서, 금속 함량은, 100 중량%로 취한 접착층의 전체 중량을 기준으로 하여, 약 0.005 중량% 미만, 바람직하게는 약 0.001 중량% 미만, 더욱 바람직하게는 약 0 중량%이다. 접착층은 비-전도성 층인 것이 추가로 바람직하다.
본 발명의 접착층의 요망되는 접촉각은 적용에 좌우될 것임이 이해될 것이다. 베이킹 후의 접착층의 물 접촉각(water contact)은 바람직하게는 약 50° 내지 약 95°이다. 네거티브-톤 현상 적용(negative-tone develop application)의 경우에, 물을 사용하여 측정되는 때의 베이킹 후의 접착층의 접촉각은 바람직하게는 약 55° 내지 약 70°이다. 포지티프-톤 현상 적용은 더 높은 물 접촉각, 예컨대, 약 70° 내지 약 90°를 필요로 할 수 있다. 물 접촉각은 그렇게 하기 위한 어떠한 통상의 장비로 측정될 수 있고, VCA-3000S Wafer System(AST Products, Billerica, MA)이 이러한 측정에 적합한 장비의 한 예이다.
경화된 접착층은 전형적인 유기 용매, 예컨대, 에틸 락테이트(EL), 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME), 프로필렌 글리콜 n-프로필 에테르(PnP), 사이클로헥사논, 아세톤, 감마 부티로락톤(GBL), 및 이들의 혼합물에 실질적으로 불용성일 것이다. 따라서, 스트리핑 시험(stripping test)에 주어지는 때에, 경화된 접착층은 약 5% 미만, 바람직하게는 약 1% 미만, 더욱 바람직하게는 약 0%의 스트리핑 백분율(percent stripping)을 가질 수 있다. 스트리핑 시험은 경화된 층의 두께(5 개의 상이한 위치에서의 측정의 평균을 구함으로써)를 먼저 측정하는 것을 포함한다. 이러한 평균은 초기 평균 필름 두께이다. 다음으로, 용매(예, 에틸 락테이트)가 약 20 초 동안 경화된 필름 상으로 퍼들링(puddling)된 다음, 약 30 초 동안 약 3,000 rpm에서 스핀 건조되어 용매를 제거시킨다. 두께는 엘립소미트리(ellipsometry)을 사용하여 웨이퍼 상에서 5개의 상이한 지점에서 다시 측정되고, 이들 측정의 평균이 측정된다. 이러한 평균은 최종 평균 필름 두께이다.
스트리핑의 양은 초기 및 최종 평균 필름 두께 사이의 차이이다. 스트리핑 백분율은 다음과 같이 계산된다:
Figure pct00001
.
접착층이 경화된 후에, EUV 포토레지스트(즉, 이미징 층(imaging layer)가 접착층에 적용되어 포토레지스트 층을 형성시킬 수 있다. 상업적 EUV 포토레지스트가 사용될 수 있다. 일 구체예에서, 포토레지스트는 화학적으로 증폭된 레지스트(chemically amplified resist: CAR)이다. 또 다른 구체예에서, 포토레지스트는 비-화학적으로 증폭된 레지스트이다. 일 구체예에서, 선택되는 비-화학적으로 증폭된 레지스트는 금속, 예컨대, 티타늄, 아연, 주석, 하프늄, 지르코늄, 인듐, 바나듐, 코발트, 몰리브데넘, 텅스텐, 알루미늄, 갈륨, 실리콘, 게르마늄, 인, 비소, 이트륨, 란탄, 세륨, 루테튬, 및 이들의 혼합물로 이루어진 군으로부터 선택된 것들을 포함한다. 또 다른 구체예에서, 금속은 포토레지스트 조성물 중에 금속 산화물 또는 유기 금속 화합물의 일부로서 제공된다. 적합한 EUV 포토레지스트의 예는 JSR, TOK, Sumitomo, Shin Etsu, FujiFilm, Inpria, Irresistible Materials, 및 Zeon을 포함하는 공급자로부터 이용 가능하다. 특히 바람직한 구체예에서, 금속-함유 포토레지스트, 예컨대, 상기 기재된 것들이 중간층으로서 본 발명의 접착층 및 스핀-온 탄소 층과 함께 사용된다.
또 다른 구체예에서, 선택된 포토레지스트는 금속을 포함하지 않는다(즉, 기본적으로는 금속이 없거나, 바람직하게는 금속이 완전히 없다). 더욱 특히, 사용된 포토레지스트 조성물 및 형성된 최종 포토레지스트 층은 각각, 100 중량%로 취한 포토레지스트 조성물 또는 층의 전체 중량을 기준으로 하여, 약 0.5 중량% 미만의 금속, 바람직하게는 약 0.1 중량% 미만의 금속, 더욱 바람직하게는 약 0 중량%의 금속을 포함한다.
포토레지스트의 유형과는 무관하게, 포토레지스트 층은 어떠한 통상의 방법에 의해서 형성될 수 있고, 한 가지 바람직한 방법은 약 10 초 내지 약 60 초(바람직하게는 약 10 초 내지 약 30 초) 동안 약 350 rpm 내지 약 4,000 rpm(바람직하게는 약 1,000 rpm 내지 약 2,500 rpm)의 속도에서 포토레지스트 조성물을 스핀 코팅하는 것이다. 포토레지스트 층은 이어서 적어도 약 45℃, 바람직하게는 약 80℃ 내지 약 250℃, 더욱 바람직하게는 약 l00℃ 내지 약 l50℃의 온도에서 그리고 약 20 초 내지 약 30 분, 더욱 바람직하게는 약 30 초 내지 약 20 분 동안 임의로 적용 후 베이킹(post-application baked: "PAB")된다. 베이킹 후의 포토레지스트 층의 두께는 전형적으로는 약 5 nm 내지 약 200 nm, 바람직하게는 약 10 nm 내지 약 50 nm, 더욱 바람직하게는 약 20 nm 내지 약 40 nm일 것이다.
포토레지스트 층은 후속하여 약 5 mJ/cm2 내지 약 100 mJ/cm2, 바람직하게는 약 10 mJ/cm2 내지 약 80 mJ/cm2, 더욱 바람직하게는 약 20 mJ/cm2 내지 약 60 mJ/cm2의 선량(dose)을 위한 EUV 방사선에 대한 노출에 의해서 패턴화된다. 더욱 특히, 포토레지스트 층은 포토레지스트 층의 표면 위에 위치된 마스크를 사용하여 노출된다. 마스크는 EUV 방사선이 마스크로부터 반사되어 포토레지스트 층의 표면과 접촉하도록 설계되는 면적을 갖는다. 마스크의 나머지 부분은 빛을 흡수하여 방사선이 특정의 영역에서 포토레지스트 층의 표면과 접촉하지 않도록 설계된다. 본 기술분야에서의 통상의 기술자는 반사 및 흡수 부분의 배열이 포토레지스트 층에서 그리고 궁극적으로는 기판 또는 어떠한 중간층에서 형성되는 요망되는 패턴을 기준으로 하여 설계되는 것을 용이하게 이해할 것이다.
EUV 노출 후에, 포토레지스트 층은 적어도 약 45℃, 바람직하게는 약 80℃ 내지 약 250℃, 더욱 바람직하게는 약 l00℃ 내지 약 150℃의 온도에서 그리고 약 20 초 내지 약 30 분, 더욱 바람직하게는 약 30 초 내지 약 20 분 동안 노출 후 베이크(post-exposure bake: "PEB")에 주어진다.
포토레지스트 층은 이어서 현상액과 접촉되어 패턴을 형성시킨다. 사용된 포토레지스트가 포지티브-작업(positive-working) 또는 네거티브 작업(negative-working)인지에 따라서, 현상액은 포토레지스트 층의 노출된 부분을 제거하거나 포토레지스트 층의 비노출될 부분을 제거하여 패턴을 형성시킬 것이다. 이어서, 패턴은 접착층, 어떠한 존재하는 중간층(예, 하드마스크 층, 스핀-온 탄소 층, Si-함유 하드마스크 플러스 스핀-온 탄소 층 조합 등), 및 최종적으로는 기판에 전달된다. 이러한 패턴 전달은 플라즈마 에칭(예, CF4 에칭제, 02 에칭제) 또는 습식 에칭 또는 현상 공정(developing process)을 통해서 수행될 수 있다. 패턴이 포토레지스트 층으로부터 에칭을 통해서 기판에 전달되는 구체예에서, 전형적인 EUV 포토레지스트(예, 유기, 금속 산화물, 또는 유기 금속 포토레지스트)에 상대적인 접착층의 에칭율은 적어도 약 lx, 바람직하게는 약 1.5x 내지 약 2x인 것이 바람직하다.
일 구체예에서, 사용된 접착층은 현상액 가용성(developer-soluble)일 수 있다. 본원에서 사용된 "현상액-가용성" 또는 "습식-현상 가능한(wet-developable)"은 EUV 방사선에 노출되는 접착층의 부분이 통상의 수성 현상액, 예컨대, 테트라메틸 암모늄 하이드록사이드(tetramethyl ammonium hydroxide: TMAH) 현상액에 의해서 실질적으로 제거될 수 있음을 의미한다. 포토레지스트 층이 제거되어 포토레지스트 층 및 포토레지스트 아래에 있는 층(들)에서 요망되는 패턴을 형성시킴에 따라서, 포토레지스트 층의 노출된 부분 아래에 있는 접착층의 노출된 부분이 현산액에 의해서 제거된다. 패턴은 에칭 또는 이온 주입 공정(ion implantation process)을 사용하여 기판에 궁극적으로 전달될 비아 홀(via hole), 트렌치, 라인, 공간, 필러(pillar) 등일 수 있다. 바람직하게는, 접착층의 적어도 약 95%의 노출된 부분이 현상액에 의해서 제거될 것이며, 더욱 바람직하게는 적어도 약 99%, 또한, 더욱 바람직하게는 약 100%가 제거될 것이다. 적합한 현상액은 유기 또는 무기 알칼리 용액, 예컨대, 이로 한정되는 것은 아니지만, TMAH이고, 바람직하게는 0.26N 또는 그 미만의 농도의 TMAH의 수용액을 포함한다. 바람직하게는, 0.26N TMAH 현상액 중의 접착층의 용해율은 약 100 nm/s 내지 약 1,000 nm/s, 또한 더욱 바람직하게는 500 nm/s 내지 약 1,000 nm/s일 것이다. 이어서, 통상적인 에칭, 금속화 등이 패턴화된 스택에 대해서 수행되어 소자 제조를 완료할 수 있다.
패턴 전달이 에칭에 의해서 또는 현상에 의해서 수행되는지에 무관하게, 생성되는 피처는 높은 해상도를 갖는다. 예를 들어, 약 40nm 미만의 반피치(half pitch), 바람직하게는 30 nm 미만의 반피치의 해상도가 본 발명의 방법에 의해서 달성될 수 있다. 유리하게는, 또한, 본 발명의 접착층은 최종 피처의 붕괴 마진(collapse margin)을 개선시킬 것이다. 붕괴 마진은 선량으로부터 크기까지의 선량 범위(dose range) 및 구조물이 여전히 서 있는 선량이다.
본 발명의 조성물
본 발명의 조성물은 용매 시스템에 분산되거나 용해된 폴리머 또는 작은 분자 및 임의의 가교제를 포함한다. 본 발명의 조성물은 또한 임의의 성분, 예컨대, 계면활성제, 산, 산 촉매, 염기, 염기 촉매, 폴리머, 촉매, 첨가제, 및 이들의 혼합물로 이루어진 군으로부터 선택된 것들을 함유할 수 있다. 접착층의 조성은 사용되는 포토레지스트와 상용성이도록 선택되어야 함이 이해될 것이다.
적합한 폴리머 및/또는 작은 분자는 폴리머, 및 아크릴레이트, 메타크릴레이트, 아크릴산, 스티렌, 비닐, 에폭시, 노볼락(novolac), 실란, 시아누레이트, 분자 유리(molecular glass), 및 이들의 혼합물의 작은 분자를 포함한다. 특히 바람직한 폴리머는 비닐 모노머, 아크릴 모노머, 및 스티렌 모노머를 포함하는 군으로부터 선택된 모노머를 포함한다. 비닐 모노머는 바람직하게는 글리시딜 아크릴레이트, 글리시딜 메타크릴레이트, 및 이들의 조합물로 이루어진 군으로부터 선택된다. 가교제가 사용되는 때에, 아크릴 모노머는 바람직하게는 2-하이드록시-3-페녹시프로필 아크릴레이트(HPPA), 하이드록시 프로필 메타크릴레이트(HPM), 2-하이드록시에틸 메타크릴레이트(HEMA), 2-하이드록시에틸 아크릴레이트(HEA), 3차-부틸 메타크릴레이트, 및 이들의 혼합물로 이루어진 군으로부터 선택된 아미노플라스트-반응성 모노머(aminoplast-reactive monomer)이다. 한 가지 특히 바람직한 폴리머는 글리시딜 메타크릴레이트과 하이드록시프로필 메타크릴레이트의 코폴리머이다:
Figure pct00002
본 구체예에서, 글리시딜 메타크릴레이트는 적어도 약 30 몰 퍼센트의 모노머 단위, 더욱 바람직하게는, 40 몰 퍼센트의 모노머 단위를 포함해야 한다. 폴리머의 수평균 분자량(Mn)은 바람직하게는 약 2,000 내지 약 30,000 g/mol, 더욱 바람직하게는 약 10,000 내지 약 25,000 g/mol이다. 폴리머의 중량-평균 분자량(Mw) 범위는 약 5,000-100,000 g/mol, 더욱 바람직하게는 약 30,000 내지 약 70,000 g/mol이다. 폴리머는 바람직하게는, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기준으로 하여, 약 50% 내지 약 90 중량%, 바람직하게는 약 60% 내지 약 80 중량%의 수준으로 조성물 중에 존재한다.
작은 분자가 사용되는 때에, 한 가지 특히 바람직한 작은 분자는 트리스(2,3-에폭시프로필)이소시아누레이트(TEPIC-S, Nissan Chemical America Corporation으로부터 구입 가능)이다. 작은 분자는 바람직하게는, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기준으로 하여, 약 40% 내지 약 90 중량%, 바람직하게는 약 60% 내지 약 80 중량%의 수준으로 조성물에 존재한다.
일 구체예에서, 폴리머 또는 비닐 모노머를 포함하는 작은 분자는 작용성화된 카르복실산 모이어티(functionalized carboxylic acid moiety)로 그래프팅(grafting)된다. 적합한 모이어티는, 이로 한정되는 것은 아니지만, 발색단, 예컨대, 9-안트라센 카르복실산; 알킬(바람직하게는 C1-C8, 더욱 바람직하게는 C1-C4) 카르복실산, 예컨대, 아세트산 및 부티르산; 방향족 카르복실산, 예컨대, 벤조산, 4-시아노벤조산, 및 4-하이드록시벤조산; 에테르, 에컨대, 글리콜산 에테르; 및 이들의 혼합물을 포함한다. 폴리머는 폴리머를 촉매의 존재하에 용매 중의 작용성화된 카르복실산과 반응시킴으로써 작용성화된다. 적합한 반응 촉매는, 이로 한정되는 것은 아니지만, 벤질트리에틸암모늄 클로라이드(BTEAC), 및 테트라부틸 포스포늄 브로마이드를 포함한다. 반응 동안에, 카르복실산 작용성이 폴리머의 에폭시기와 그래프팅된다. 바람직하게는, 폴리머 활성 부위는 약 20% 내지 약 100% 그래프팅되고, 더욱 바람직하게는 약 40% 내지 약 100% 그래프팅된다. 그래프팅된 폴리머의 예가 이하 기재되며, 모노머 비율이 예시된다.
Figure pct00003
또 다른 구체예에서, 폴리머 또는 작은 분자는 작용성화된 카르복실산 모이어티와 물리적으로 혼합된다. 적합한 모이어티는, 이로 한정되는 것은 아니지만, 발색단, 예컨대, 9-안트라센 카르복실산; 알킬(바람직하게는 C1-C8, 더욱 바람직하게는 C1-C4) 카르복실산, 예컨대, 아세트산 및 부티르산; 방향족 카르복실산, 예컨대, 벤조산, 4-시아노벤조산, 및 4-하이드록시벤조산; 에테르, 예컨대, 글리콜산 에테르; 및 이들의 혼합물을 포함한다.
바람직하 가교제는 비닐 에테르 가교제, 아미노플라스트(aminoplast), 에폭시, 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 상업적으로 구입 가능한 비닐 에테르의 예는 상품명 VECTomer™(Aldrich; St. Louis, MO)하에 시판되는 것들을 포함한다. 상업적으로 구입 가능한 아미노플라스트의 예는 상품명 Powderlink®, Cymel® 303, 및 Cymel® 1170 하에 시판되는 것들을 포함한다. Cymel® 1170는 하기 구조를 갖는다:
Figure pct00004
적합한 에폭시의 예는 Huntsman Advanced Materials로부터의 상품명 Araldite®(예, MY720 테트라 작용성 에폭시 수지) 하에 구입 가능한 것들을 포함한다.
사용되는 때에, 가교제는 바람직하게는, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기준으로 하여, 약 10% 내지 약 50 중량%, 바람직하게는 약 25% 내지 약 45 중량%의 수준으로 조성물에 존재한다.
일부 구체예에서, 촉매가 사용된다. 바람직하게는, 촉매는 접착층 조성물내로 단순히 혼합될 수 있다. 바람직한 촉매는, 이로 한정되는 것은 아니지만, 5-설포살리실산, 4차 암모늄 차단된 트리플산(예컨대, 상품명 K-Pure TAG2689 하에 판매되는 것들), 설폰산(예, p-톨루엔설폰산, 스티렌 설폰산), 설포네이트(예, 피리디늄 p-톨루엔설포네이트, 피리디늄 트리플루오로메탄설포네이트, 피리디늄 3-니트로벤젠설포네이트), 및 이들의 조합물로 이루어진 군으로부터 선택되는 것들을 포함한다. 촉매는, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기준으로 하여, 약 0.01% 내지 약 0.05 중량%, 바람직하게는 약 0.01% 내지 약 0.02 중량%의 수준으로 조성물에 존재해야 한다.
일부 구체예에서, PAG가 사용된다. 바람직하게는, PAG는 폴리머 또는 작은 분자에 결합되지 않으며, 그 대신에, 접착층 조성물 내로 단순히 혼합된다. 바람직한 PAG는, 이로 한정되는 것은 아니지만, 오늄 염(onium salt)(예, 트리페닐 설포늄 퍼플루오로설포네이트, 예컨대, TPS 노나플레이트(TPS nonaflate), TPS 트리플레이트, 및 이들의 치환된 형태, 예컨대, 트리스(4-4차-부틸페닐)설포늄 퍼플루오로-1-부탄설포네이트(알킬-치환된 TPS 노나플레이트), 모두는 Sigma-Aldrich로부터 구입 가능함); 옥심-설포네이트(예, CIBA에 의한 상품명 CGI® 하에 판매되는 것들); 트리아진(예, Midori Kagaku Company로부터 구입 가능한 TAZ-108®); 및 이들의 조합물을 포함한다. PAG는, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기반으로 하여, 약 0.001% 내지 약 0.030 중량%, 바람직하게는 약 0.005% 내지 약 0.015 중량%의 수준으로 조성물에 존재해야 한다.
또 다른 구체예에서, 접착층 조성물은 임의의 산 발생물질, 예컨대, PAG를 실질적으로 함유하지 않는다. 즉, 접착층 조성물은, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기준으로 하여, 약 0.001 중량% 미만의 PAG, 바람직하게는 약 0 중량%의 PAG를 포함할 것이다.
일부 구체예에서, 첨가제가 사용된다. 바람직하게는, 첨가제는 접착층 조성물 내로 단순히 혼합된다. 바람직한 첨가제는, 이로 한정되는 것은 아니지만, 1,1,1-트리스(4-하이드록시페닐)에탄(THPE), 계면활성제, 및 이들의 조합물로 이루어진 군으로부터 선택된 것들을 포함한다. 첨가제는, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기준으로 하여, 약 0% 내지 약 0.1 중량%, 바람직하게는 약 0.01% 내지 약 0.05 중량%의 수준으로 조성물에 존재해야 한다.
바람직한 일 구체예에서, 접착층 조성물은 기본적으로는 금속을 함유하지 않는다. 즉, 조성물의 금속 함량은, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기반으로 하여, 약 0.005 중량% 미만, 바람직하게는 약 0.001 중량% 미만, 더욱 바람직하게는 약 0 중량%이다.
또 다른 바람직한 구체예에서, 접착층 조성물은 기본적으로는 실리콘을 함유하지 않는다. 즉, 조성물의 실리콘 함량은, 100 중량%로 취한 조성물 중의 고형물의 전체 중량을 기준으로 하여, 약 1 중량% 미만, 바람직하게는 약 0.5 중량% 미만, 더욱 바람직하게는 약 0.1 중량% 미만, 더욱 바람직하게는 약 0 중량%이다.
바람직한 용매 시스템은 PGMEA, PGME, PnP, EL, 사이클로헥사논, GBL, 메틸 이소부틸 카르비놀, PGEE, 및 이들의 혼합물로 이루어진 군으로부터 선택된 용매를 포함한다. 바람직하게는, 용매 시스템은 약 70℃ 내지 약 200℃, 더욱 바람직하게는 약 l00℃ 내지 약 150℃의 비점을 갖는다. 용매 시스템은 바람직하게는, 100 중량%로 취한 조성물의 전체 중량을 기준으로 하여, 약 98% 내지 약 99.99 중량%, 더욱 바람직하게는 약 99% 내지 99.9%, 또한 더욱 바람직하게는 약 99.3% 내지 약 99.8 중량%의 수준으로 사용된다. 접착층을 형성시키기 위해서 사용되는 조성물은 바람직하게는, 100 중량%로 취한 조성물의 전체 중량을 기준으로 하여, 약 0.1% 내지 약 1 중량%의 고형물, 더욱 바람직하게는 약 0.1 % 내지 약 0.8 중량%의 고형물, 또한 더욱 바람직하게는 약 0.1 % 내지 약 0.5 중량%의 고형물의 고형물 함량을 포함할 것이다.
용매 시스템 중에서 상기 성분들을 함께 혼합하는 것은 접착층 조성물을 형성시킨다. 더욱이, 임의의 성분(예, 계면활성제)이 또한 동시에 용매 시스템에 분산된다.
본 발명의 발명에서 접착층으로서 사용될 수 있는 다른 조성물은 미국특허 제8,257,910호 및 제8,895,230호에 기재되고, 이들의 각각은 본원에서 참조로 통합된다.
실시예
실시예 1
아세트산-그래프팅된 폴리머의 합성 및 제형
본 실시예에서, 1.9 그램의 빙초산(Spectrum Chemical Mfg. Corp., Gardena, CA) 및 15 그램의 글리시딜 메타크릴레이트 및 하이드록시프로필 메타크릴레이트(GMA-HPMA) 코폴리머(PGME 중의 20%, Osaka, Japan)를 둥근 바닥 플라스크내로 칭량하였고, 교반을 시작하였다. 교반 동안에, 0.18 그램의 벤질트리에틸암모늄 클로라이드(BTEAC)를 첨가하였다. 다음으로, 2.8 그램의 PGME(KMG Electronic Chemicals, Fort Worth, TX)를 첨가하고, 측면을 세정하기 위해서 사용하였다. 둥근 바닥 플라스크에는 응축기와 N2 유입구가 구비되었다. 반응물을 16 시간 동안 1lO℃로 가열하여 모액(Mother Liquor) 1을 생성시켰다.
모액 1(0.532 그램), 0.3 그램의 Cymel® 1170, 0.02 그램의 5-설포살리실산(5-SSA, King Industries Specialty Chemicals, Norwalk, CT), 0.03 그램의 1,1,1-트리스(4-하이드록시페닐)에탄(THPE, Hereaus, Vandalia, OH), 및 0.009 그램의 TPS-C1을 74.73 그램의 PGME(KMG Electronic Chemicals, Fort Worth, TX) 및 174.37 그램의 PGMEA(KMG Electronic Chemicals, Fort Worth, TX)에 용해시키고, 혼합 휠(mixing wheel) 상에서 몇 시간 동안 혼합하였다.
실시예 2
부티르산-그래프팅된 폴리머의 합성 및 제형
본 절차에서는, 2.79 그램의 부티르산(Sigma Aldrich, St. Louis, MO) 및 15 그램의 GMA-HPMA 코폴리머(PGME 중 20%)를 둥근 바닥 플라스크에 칭량하고, 교반을 시작하였다. 교반 동안에, 0.18 그램의 BTEAC를 첨가하였다. 5.34 그램의 PGME를 첨가하고 측면을 세정하기 위해서 사용하였다. 둥근 바닥 플라스크에는 응축기와 N2 유입구가 구비되었다. 반응물을 16 시간 동안 1lO℃로 가열하여 모액 2을 생성시켰다.
다음으로, 0.549 그램의 모액 2, 0.3 그램의 Cymel® 1170, 0.02 그램의 5-SSA, 0.03 그램의 THPE, 및 0.009 그램의 TPS-C1을 74.72 그램의 PGME 및 174.37 그램의 PGMEA에 용해시키고, 몇 시간 동안 혼합 휠 상에서 혼합하였다.
실시예 3
2-(2-메톡시에톡시) 아세트산-그래프팅된 폴리머의 합성 및 제형(Formulation)
본 실시예에서는, 2.79 그램의 2-(2-메톡시에톡시) 아세트산(Sigma Aldrich, St. Louis, MO) 및 15 그램의 GMA-HPMA 코폴리머(PGME 중 20%)를 둥근 바닥 플라스크에 칭량하고, 교반을 시작하였다. 교반 동안에, 0.18 그램의 BTEAC를 첨가하였고, 그 후에, 9.49 그램의 PGME를 첨가하고 측면을 세정하기 위해서 사용하였다. 둥근 바닥 플라스크에는 응축기와 N2 유입구가 구비되었다. 반응물을 16 시간 동안 1lO℃로 가열하여 모액 3을 생성시켰다.
다음으로, 0.534 그램의 모액 3, 0.3 그램의 Cymel® 1170, 0.02 그램의 5-SSA, 0.03 그램의 THPE, 및 0.009 그램의 TPS-C1을 74.73 그램의 PGME 및 174.37 그램의 PGMEA에 용해시키고, 몇 시간 동안 혼합 휠 상에서 혼합하였다.
실시예 4
2-[2-(2-메톡시에톡시)에톡시] 아세트산-그래프팅된 폴리머의 합성 및 제형
본 절차에서는, 5.65 그램의 2-(2-메톡시에톡시) 아세트산(Sigma Aldrich, St. Louis, MO) 및 15 그램의 GMA-HPMA 코폴리머(PGME 중 20%)를 둥근 바닥 플라스크에 칭량하고, 교반을 시작하였다. 교반 동안에, 0.18 그램의 BTEAC를 첨가하였고, 그 후에, 13.48 그램의 PGME를 첨가하고, 측면을 세정하기 위해서 사용하였다. 둥근 바닥 플라스크에는 응축기와 N2 유입구가 구비되었다. 반응물을 16 시간 동안 1lO℃로 가열하여 모액 4를 생성시켰다.
다음으로, 0.59 그램의 모액 4, 0.3 그램의 Cymel® 1170, 0.02 그램의 5-SSA, 0.03 그램의 THPE, 및 0.01 그램의 TPS-C1을 74.7 그램의 PGME 및 174.3 그램의 PGMEA에 용해시키고, 몇 시간 동안 혼합 휠 상에서 혼합하였다.
실시예 5
9-안트라센 카르복실산-그래프팅된 폴리머의 합성 및 제형
본 실시예에서는, 3.28 그램의 9-안트라센 카르복실산(PCAS Canada, Quebec) 및 34.26 그램의 GMA-HPMA 코폴리머(PGME 중 20%)를 둥근 바닥 플라스크에 칭량하고, 교반을 시작하였다. 교반 동안에, 0.08 그램의 BTEAC를 첨가하였고, 그 후에, 2.33 그램의 PGME를 첨가하고, 측면을 세정하기 위해서 사용하였다. 둥근 바닥 플라스크에는 응축기와 N2 유입구가 구비되었다. 반응물을 24 시간 동안 1l6℃로 가열하여 모액 5를 생성시켰다.
다음으로, 0.30 그램의 모액 5, 0.18 그램의 Cymel® 1170, 0.003 그램의 5-SSA, 0.02 그램의 THPE, 및 0.01 그램의 TPS-C1을 74.85 그램의 PGME 및 174.65 그램의 PGMEA에 용해시키고, 몇 시간 동안 혼합 휠 상에서 혼합하였다.
실시예 6
스티렌-글리시딜메타크릴레이트 폴리머의 합성 및 제형
본 실시예에서는, 20.46 그램의 글리시딜 메타크릴레이트(Sigma Aldrich, St. Louis, MO), 60 그램의 스티렌 (Sigma Aldrich, St. Louis, MO), 및 0.234 그램의 AIBN(Charkit, Norwalk, CT)을 둥근 바닥 플라스크에 칭량하고 질소로 퍼징하였다. 반응물을 2 시간 동안 80℃로 가열하였다. 반응물을 메탄올 내로 침전시킴으로써 켄칭시키고, 고형물을 수거하여 모액 6을 생성시켰다.
다음으로, 4.09 그램의 모액 6 및 0.007 그램의 TAG2689(King Industries, Norwalk, CT)를 14.96 그램의 PGME (KMG Electronic Chemicals, Fort Worth, TX) 및 130.95 그램의 PGMEA(KMG Electronic Chemicals, Fort Worth, TX)에 용해시키고, 몇 시간 동안 혼합 휠 상에서 혼합하였다.
실시예 7
거대분자의 합성 및 제형
본 절차에서는, 17.288 그램의 트리스(2,3-에폭시프로필)이소시아누레이트 (TEPIC-S, Nissan Chemical Corp., Tokyo, Japan), 0.242 그램의 테트라부틸포스포늄 브로마이드(Nippon Chemical Industrial Co. Ltd., Tokyo, Japan), 5.176 그램의 4-시아노 벤조산(Sankyo Kasei Co. LTD., Osaka, Japan), 및 15.544 그램의 4-하이드록시벤조산(Sankyo Kasei Co. LTD., Osaka, Japan)을 둥근 바닥 플라스크에 칭량하고, 46.65 그램의 PGME(KMG Electronic Chemicals, Fort Worth, TX)에 용해시켰다. 반응물을 18 시간 동안 교반하면서 116℃로 가열하여 모액 7을 생성시켰다.
다음으로, 0.196 그램의 모액 7, 0.098 그램의 Cymel® 1170(Heraeus, Vandalia, OH), 및 0.006 그램의 피리디늄 파라-톨루엔 설포네이트(Millipore Sigma, Darmstadt, Germany)를 19.94 그램의 PGME(KMG Electronic Chemicals, Fort Worth, TX) 및 79.760 그램의 PGMEA(KMG Electronic Chemicals, Fort Worth, TX)에 용해시키고, 몇 시간 동안 혼합 휠 상에서 혼합하였다.
실시예 8
에스테르 폴리머의 합성 및 제형
본 실시예에서는, 10.28 그램의 MA-DGIC(Shikoku, Tokushima, Japan), 5.106 그램의 푸마르산(Tate and Lyle, Bedford Park, IL), 0.200 그램의 하이드로퀴논(Sigma Aldrich, St. Louis, MO), 및 0.414 그램의 벤질트리에틸암모늄 클로라이드(Alfa Aesar)를 둥근 바닥 플라스크에 칭량하고, 64.00 그램의 PGME(KMG Electronic Chemicals, Fort Worth, TX)에 용해시켰다. 반응물을 8 시간 동안 110℃로 가열하여 모액 8을 생성시켰다.
다음으로, 0.1395 그램의 모액 8, 0.0349 그램의 Powderlink®(Heraeus, Vandalia, OH), 및 0.0007 그램의 5-설포살리실산(5-SSA, King Industries Specialty Chemicals, Norwalk, CT)을 69.877 그램의 PGME (KMG Electronic Chemicals, Fort Worth, TX) 및 29.947 그램의 PGMEA(KMG Electronic Chemicals, Fort Worth, TX)에 용해시키고, 몇 시간 동안 혼합 휠 상에서 혼합하였다.
실시예 9
재료 특성화
폴리머를 티크(thick) 또는 THF 이동상 및 HPLC를 사용하여 MW 및 다분산도(polydispersity)에 대해서 특성화하였다. 결과를 표 1에 도시한다. 제형을 또한 HPLC에 의해서 특성화하였다. 도 1은 실시예 2에서 합성된 모액의 HPLC를 나타낸다. 도 2는 실시예 2로부터의 최종 제형의 HPLC를 나타낸다.
[표 1]. 분자량 및 다분산도
Figure pct00005
필름 두께를 M2000 엘립소미터(M2000 ellipsometer)를 사용하여 측정하였다. 도 3은 실시예 2에서 제형화된 재료의 필름 두께 프로파일을 나타낸다.
실시예 10
리소그래피 결과
실시예 5로부터의 재료를 1,241 rpm에서 30초 동안의 스핀 코팅에 의해서 하드마스크(실험용, 그래프팅된 실세스퀴옥산 하드마스크, Brewer Science, Rolla, MO) 상에 스핀 코팅하였고, 205℃에서 60초 동안 베이킹하여 5-nm 필름을 형성시켰다. 이어서, 레지스트(JSR J3030, JSR Micro로부터 구입 가능)를 1,931 rpm에서 26초 동안 스핀 코팅함으로써 코팅하고, 이어서, 130℃에서 60초 동안 베이킹하여 30-nm 코팅을 형성시켰다. 이어서, 레지스트를 표 2에 나타낸 파라미터를 사용하여 노출시켰다. 사용된 레지스트 및 이미징 공정이 또한 표 2에 나타내어져 있다. NXE3300 EUV 스캐너(ASML로부터 구입 가능)를 이미징 단계를 위해서 사용하였고, Pro Z track(Tokyo Electron Limited (TEL)로부터 구입 가능)을 웨이퍼 공정을 위해서 사용하였다. 표 3은 단지 레지스트 하의 SOC 및 HM(표 3의 상부 절반)에 비한 레지스트 아래에 본 발명의 접착층이 첨가된 SOC 및 HM(표 3의 하부 절반)를 사용한 리소그래피 품질의 비교를 나타낸다. 접착층의 사용은 공정 범위(process window)를 유의하게 확장시켰다.
[표 2]. EUV 리소그래피를 위해서 사용된 조건
Figure pct00006
[표 3]. 리소그래피 결과
Figure pct00007
표 3은 실시예 5로부터의 재료에 대한 선량-포커스 매트릭스(dose-focus matrix)를 나타낸다. x-축(즉, 상부 행)은 선량(mJ/cm2)을 나타내고, y-축(즉, 맨 좌측 열)은 포커스 범위(㎛)를 나타낸다. "브리징(bridging)" 및 "붕괴"는 각각 셀(cell)에서의 점(dot) 또는 수평선을 나타내는 반면에, 셀에서의 수직선은 표적 CD 범위의 10% 내에 있는 것들을 나타낸다. (표 3의 하단의 범례 참조). 숫자가 있지만 셀충전 또는 음영이 없는 셀(즉, 흰색 배경의 숫자)은 브리징 또는 붕괴가 없는 샘플을 나타낸다. 달리 설명하면, 피처 및 크기가 이상적이었다. 숫자가 없는 흰색 셀은 시험이 수행되지 않은 점을 나타낸다. 검은 색 배경의 셀은 시험 매트릭스의 밖이었다.
표 3에 기재된 결과에 의해서 나타낸 바와 같이, 본 발명의 EUV 하층을 포함하지 않는 다른 동일한 공정과 비교하여 본 발명의 EUV 하층이 사용된 때에, 공정 범위가 두 배 초과이었다(숫자가 있지만 음영 또는 충전이 없는 셀 참조).
실시예 11
스핀-온 탄소 층에 적용된 하층
고온 스핀-온 탄소 재료를 두 개의 실리콘 웨이퍼에 적용하였다. 실시예 5로부터의 재료를 웨이퍼 중 하나 상의 스핀-온 탄소 재료 상에 스핀 코팅하였다. Inpria로부터의 금속-함유 EUV 포토레지스트를 둘 모두의 웨이퍼 상에 코팅하였고, 둘 모두의 웨이퍼를 EUV 리소그래피를 사용하여 패턴화시켰다. 도 4에 도시된 바와 같이, 실시예 5로부터의 하층을 사용하는 공정(우측 이미지)은 라인 브리징 또는 붕괴를 나타내지 않은 반면에, 하층이 없는 공정(좌측 이미지)은 12 nm의 피처 크기에 대한 브리징 및 라인 붕괴를 나타냈다.

Claims (39)

  1. 하나 이상의 중간층(intermediate layer)을 상부에 임의로 포함하는 기판(substrate)을 제공하고;
    상기 기판 상에 또는 존재하는 경우의 상기 하나 이상의 중간층 상에 접착층을 형성시키는 단계;
    포토레지스트 층(photoresist layer)을 상기 접착층 상에 형성시키는 단계; 및
    상기 포토레지스트 층의 적어도 일부를 EUV 방사선에 가하는 단계를 포함하는, 구조물을 형성시키는 방법으로서,
    상기 접착층이 단층(monolayer)보다는 두껍지만 9 nm 미만인 평균 두께 및, 100 중량%로 취한 접착층의 전체 중량을 기준으로 하여, 약 0.001 중량% 미만의 금속 함량을 갖는, 방법.
  2. 청구항 1에 있어서,
    상기 기판이 실리콘(silicon), SiGe, Si02, Si3N4, SiON, 알루미늄, 텅스텐, 규화텅스텐(tungsten silicide), 비소화갈륨(gallium arsenide), 게르마늄, 탄탈럼(tantalum), 질화탄탈럼(tantalum nitride), Ti3N4, 하프늄, Hf02, 루테늄, 인듐 포스파이드(indium phosphide), 코럴(coral), 블랙 다이아몬드(black diamond), 유리(glass), 및 이들의 혼합물로 이루어진 군으로부터 선택되는, 방법.
  3. 청구항 1에 있어서,
    상기 접착층이 약 0 중량%의 금속 함량을 갖는 방법.
  4. 청구항 1에 있어서,
    상기 접착층을 형성시키는 단계가 조성물을 상기 기판 상에 또는 존재하는 경우의 상기 하나 이상의 중간층 상에 스핀 코팅(spin coating)하고;
    상기 조성물을 베이킹(baking)하여 상기 접착층을 형성시키는 것을 포함하는, 방법
  5. 청구항 1에 있어서,
    상기 조성물이 폴리머 및/또는 아크릴레이트, 메타크릴레이트, 아크릴산, 스티렌, 비닐, 에폭시, 노볼락(novolac), 실란, 시아누레이트, 분자 유리(molecular glass), 및 이들의 혼합물의 작은 분자로 이루어진 군으로부터 선택되는 폴리머 및/또는 작은 분자를 포함하는, 방법.
  6. 청구항 5에 있어서,
    상기 조성물이 비닐 모노머, 아크릴 모노머, 스티렌 모노머, 및 이들의 조합물로 이루어진 군으로부터 선택된 반복 모노머를 포함하는 폴리머를 포함하는, 방법.
  7. 청구항 6에 있어서,
    상기 비닐 모노머가 글리시딜 아크릴레이트, 글리시딜 메타크릴레이트, 및 이들의 조합물로 이루어진 군으로부터 선택되고;
    상기 아크릴 모노머가 2-하이드록시-3-페녹시프로필 아크릴레이트, 하이드록시 프로필 메타크릴레이트, 2-하이드록시에틸 메타크릴레이트, 2-하이드록시에틸 아크릴레이트, 3차-부틸 메타크릴레이트, 및 이들의 조합물로 이루어진 군으로부터 선택되는, 방법.
  8. 청구항 6에 있어서,
    상기 폴리머가 카르복실산 모이어티(carboxylic acid moiety)를 갖는 발색단(chromophore), 알킬 카르복실산, 방향족 카르복실산, 카르복실산 모이어티를 갖는 에테르, 및 이들의 조합물로 이루어진 군으로부터 선택된 모이어티로 그래프팅된(grafted) 반복 비닐 모노머를 포함하는, 방법.
  9. 청구항 5에 있어서,
    상기 조성물이 카르복실산 모이어티를 갖는 발색단(chromophore), 알킬 카르복실산, 방향족 카르복실산, 카르복실산 모이어티를 갖는 에테르, 및 이들의 조합물로 이루어진 군으로부터 선택된 모이어티를 갖는 화합물을 추가로 포함하는, 방법.
  10. 청구항 5에 있어서,
    상기 작은 분자가 트리스(2,3-에폭시프로필)이소시아누레이트인, 방법.
  11. 청구항 1에 있어서,
    상기 포토레지스트 층이 금속을 포함하는, 방법.
  12. 청구항 1에 있어서,
    상기 포토레지스트 층이 금속을 포함하지 않는, 방법.
  13. 청구항 1에 있어서,
    상기 포토레지스트 층을 EUV 방사선에 가하는 단계가 약 5 mJ/cm2 내지 약 100 mJ/cm2의 선량(dose)으로 수행되는, 방법.
  14. 청구항 1에 있어서,
    상기 포토레지스트 층을 EUV 방사선에 가한 후에 상기 포토레지스트 층에 패턴을 형성시킴을 추가로 포함하는, 방법.
  15. 청구항 14에 있어서,
    상기 패턴을 상기 접착층에; 존재하는 경우의 상기 중간층에; 및 상기 기판에 전달함을 추가로 포함하는, 방법.
  16. 청구항 15에 있어서,
    상기 포토레지스트 층에 패턴을 형성시키는 것이 상기 포토레지스트 층의 일부를 제거하기 위해서 상기 포토레지스트 층을 현상액(developer)과 접촉시킴을 포함하는, 방법.
  17. 청구항 16에 있어서,
    상기 패턴을 전달하는 것이 상기 접착층; 존재하는 경우의 중간층; 및 상기 기판을 에칭(etching)시킴을 포함하는, 방법.
  18. 청구항 15에 있어서,
    상기 패턴이 약 40 nm 미만의 반피치(half pitch)의 해상도(resolution)를 갖는, 방법.
  19. 청구항 1에 있어서,
    중간층이 존재하고, 상기 중간층이 하드마스크 층(hardmask layer)인, 방법.
  20. 청구항 19에 있어서,
    제2 중간층을 추가로 포함하고, 상기 제2 중간층이 스핀-온 탄소 층(spin-on carbon layer)이고, 상기 하드마스크 층이 실리콘을 포함하며 상기 스핀-온 탄소 층이 상기 접착층에 대한 것보다 상기 하드마스크 층이 상기 접착층에 대해 더 가까운, 방법.
  21. 청구항 11에 있어서,
    중간층이 존재하고, 상기 중간층이 스핀-온 탄소 층인, 방법.
  22. 하나 이상의 중간층을 상부에 임의로 포함하는 기판을 제공하는 단계;
    상기 기판 상에 또는 존재하는 경우의 상기 하나 이상의 중간층 상에 접착층을 형성시키는 단계;
    포토레지스트 층을 상기 접착층 상에 형성시키는 단계; 및
    상기 포토레지스트 층의 적어도 일부를 EUV 방사선에 가하는 단계를 포함하는, 구조물을 형성시키는 방법으로서,
    상기 접착층이 비-전도성이고 단층보다는 두껍지만 9 nm 미만인 평균 두께를 갖는, 방법.
  23. 청구항 22에 있어서,
    상기 기판이 실리콘(silicon), SiGe, Si02, Si3N4, SiON, 알루미늄, 텅스텐, 규화텅스텐(tungsten silicide), 비소화갈륨(gallium arsenide), 게르마늄, 탄탈럼, 질화탄탈럼(tantalum nitride), Ti3N4, 하프늄, Hf02, 루테늄, 인듐 포스파이드(indium phosphide), 코럴(coral), 블랙 다이아몬드(black diamond), 유리(glass), 및 이들의 혼합물로 이루어진 군으로부터 선택되는, 방법.
  24. 청구항 22에 있어서,
    상기 접착층을 형성시키는 단계가 조성물을 상기 기판 상에 또는 존재하는 경우의 상기 하나 이상의 중간층 상에 스핀 코팅(spin coating)하고;
    상기 조성물을 베이킹(baking)하여 상기 접착층을 형성시키는 것을 포함하는, 방법
  25. 청구항 24에 있어서,
    상기 조성물이 폴리머 및/또는 아크릴레이트, 메타크릴레이트, 아크릴산, 스티렌, 비닐, 에폭시, 노볼락(novolac), 실란, 시아누레이트, 분자 유리(molecular glass), 및 이들의 혼합물의 작은 분자로 이루어진 군으로부터 선택되는 폴리머 및/또는 작은 분자를 포함하는, 방법.
  26. 청구항 25에 있어서,
    상기 조성물이 비닐 모노머, 아크릴 모노머, 스티렌 모노머, 및 이들의 조합물로 이루어진 군으로부터 선택된 반복 모노머를 포함하는 폴리머를 포함하는, 방법.
  27. 청구항 26에 있어서,
    상기 비닐 모노머가 글리시딜 아크릴레이트, 글리시딜 메타크릴레이트, 및 이들의 조합물로 이루어진 군으로부터 선택되고;
    상기 아크릴 모노머가 2-하이드록시-3-페녹시프로필 아크릴레이트, 하이드록시 프로필 메타크릴레이트, 2-하이드록시에틸 메타크릴레이트, 2-하이드록시에틸 아크릴레이트, 3차-부틸 메타크릴레이트, 및 이들의 조합물로 이루어진 군으로부터 선택되는, 방법.
  28. 청구항 26에 있어서,
    상기 폴리머가 카르복실산 모이어티를 갖는 발색단, 알킬 카르복실산, 방향족 카르복실산, 카르복실산 모이어티를 갖는 에테르, 및 이들의 조합물로 이루어진 군으로부터 선택된 모이어티로 그래프팅된 반복 비닐 모노머를 포함하는, 방법.
  29. 청구항 25에 있어서,
    상기 조성물이 카르복실산 모이어티를 갖는 발색단, 알킬 카르복실산, 방향족 카르복실산, 카르복실산 모이어티를 갖는 에테르, 및 이들의 조합물로 이루어진 군으로부터 선택된 모이어티를 갖는 화합물을 추가로 포함하는, 방법.
  30. 청구항 25에 있어서,
    상기 작은 분자가 트리스(2,3-에폭시프로필)이소시아누레이트인, 방법.
  31. 청구항 22에 있어서,
    상기 포토레지스트 층이 금속을 포함하는, 방법.
  32. 청구항 22에 있어서,
    상기 포토레지스트 층이 금속을 포함하지 않는, 방법.
  33. 청구항 22에 있어서,
    상기 포토레지스트 층을 EUV 방사선에 가하는 단계가 약 5 mJ/cm2 내지 약 100 mJ/cm2의 선량으로 수행되는, 방법.
  34. 청구항 22에 있어서,
    상기 포토레지스트 층을 EUV 방사선에 가한 후에 상기 포토레지스트 층에 패턴을 형성시킴을 추가로 포함하는, 방법.
  35. 청구항 34에 있어서,
    상기 패턴을 상기 접착층에; 존재하는 경우의 상기 중간층에; 및 상기 기판에 전달함을 추가로 포함하는, 방법.
  36. 청구항 34에 있어서,
    상기 패턴이 약 40 nm 반피치(half pitch) 미만의 해상도(resolution)를 갖는, 방법.
  37. 청구항 22에 있어서,
    중간층이 존재하고, 상기 중간층이 하드마스크 층인, 방법.
  38. 청구항 37에 있어서,
    제2 중간층을 추가로 포함하고, 상기 제2 중간층이 스핀-온 탄소 층이고, 상기 하드마스크 층이 실리콘을 포함하며 상기 스핀-온 탄소 층이 상기 접착층에 대한 것보다 상기 하드마스크 층이 상기 접착층에 대해 더 가까운, 방법.
  39. 청구항 31에 있어서,
    중간층이 존재하고, 상기 중간층이 스핀-온 탄소 층인, 방법.

KR1020207037683A 2018-06-13 2019-06-12 Euv 리소그래피를 위한 접착층 KR20210010587A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862684359P 2018-06-13 2018-06-13
US62/684,359 2018-06-13
PCT/US2019/036791 WO2019241402A1 (en) 2018-06-13 2019-06-12 Adhesion layers for euv lithography

Publications (1)

Publication Number Publication Date
KR20210010587A true KR20210010587A (ko) 2021-01-27

Family

ID=68838769

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207037683A KR20210010587A (ko) 2018-06-13 2019-06-12 Euv 리소그래피를 위한 접착층

Country Status (6)

Country Link
US (1) US11972948B2 (ko)
EP (1) EP3807721A4 (ko)
JP (1) JP7348210B2 (ko)
KR (1) KR20210010587A (ko)
CN (1) CN112368645A (ko)
WO (1) WO2019241402A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
WO2021011367A1 (en) * 2019-07-12 2021-01-21 Inpria Corporation Stabilized interfaces of inorganic radiation patterning compositions on substrates
CN116705595A (zh) 2020-01-15 2023-09-05 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
DE102021101893A1 (de) * 2020-06-18 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Verhindern eines ausgasens einer fotolackschicht
US20220102200A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including carbon-containing layer and method for semiconductor device fabrication
JPWO2022196259A1 (ko) * 2021-03-15 2022-09-22
US11955336B2 (en) * 2021-04-23 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
CN113708216A (zh) * 2021-07-26 2021-11-26 威科赛乐微电子股份有限公司 一种垂直共振腔面射型激光器的制备方法
JP2024027460A (ja) * 2022-08-17 2024-03-01 信越化学工業株式会社 パターン形成方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10227986A (ja) 1997-02-17 1998-08-25 Hitachi Ltd 光スイッチとその製造方法及び光スイッチを用いた光通信機器
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US7361444B1 (en) 1998-02-23 2008-04-22 International Business Machines Corporation Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
JP2000206680A (ja) 1999-01-14 2000-07-28 Mitsubishi Chemicals Corp 感光性組成物、感光性平版印刷版及び感光性平版印刷版の製版方法
US7709177B2 (en) 1999-02-23 2010-05-04 International Business Machines Corporation Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
JP4299921B2 (ja) 1999-07-05 2009-07-22 関西ペイント株式会社 ポジ型可視光感光性樹脂組成物及びそれを用いたレジストパターン形成方法
AU2001265390A1 (en) 2000-06-06 2001-12-17 Ekc Technology, Inc. Method of making electronic materials
KR100962740B1 (ko) 2001-04-17 2010-06-09 브레우어 사이언스 인코포레이션 개선된 스핀 보울 상화성을 갖는 반사 방지 코팅 조성물
US6809127B2 (en) 2001-10-04 2004-10-26 Cognis Corporation Radiation curable compositions with enhanced adhesion
US7323289B2 (en) 2002-10-08 2008-01-29 Brewer Science Inc. Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties
KR100564694B1 (ko) 2004-01-16 2006-03-30 삼성전자주식회사 (디클로로테트라메틸)디실라잔 화합물과 이를 이용한 접착력 향상방법 및 포토레지스트 패턴의 형성방법
US20050279995A1 (en) 2004-06-21 2005-12-22 Samsung Electronics Co., Ltd. Composition for preparing organic insulating film and organic insulating film prepared from the same
US8709705B2 (en) * 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
WO2006134206A2 (en) 2005-06-13 2006-12-21 Silecs Oy Functionalized silane monomers with bridging hydrocarbon group and siloxane polymers of the same
WO2007004569A1 (ja) * 2005-07-05 2007-01-11 Hitachi Chemical Company, Ltd. 感光性接着剤組成物、並びにこれを用いて得られる接着フィルム、接着シート、接着剤層付半導体ウェハ、半導体装置及び電子部品
US7396631B2 (en) 2005-10-07 2008-07-08 3M Innovative Properties Company Radiation curable thermal transfer elements
US20090047517A1 (en) 2007-06-27 2009-02-19 Francesco Caruso Multilayer polymer films
US20090104474A1 (en) 2007-10-17 2009-04-23 Princeton University Functionalized substrates and methods of making same
KR100928111B1 (ko) 2007-11-30 2009-11-24 주식회사 동부하이텍 반도체 소자의 제조 방법
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
US8163461B2 (en) 2008-04-09 2012-04-24 Cornell Research Foundation, Inc. Photoacid generator compounds and compositions
US7939244B2 (en) 2008-04-23 2011-05-10 Brewer Science Inc. Photosensitive hardmask for microlithography
KR20090117324A (ko) 2008-05-09 2009-11-12 삼성전자주식회사 반도체 소자의 형성을 위한 포토레지스트의 패터닝 방법
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US8207264B2 (en) 2008-07-11 2012-06-26 Tyco Healthcare Group Lp Functionalized inclusion complexes as crosslinkers
KR20100042959A (ko) * 2008-10-17 2010-04-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
WO2011155459A1 (ja) * 2010-06-11 2011-12-15 株式会社Adeka ケイ素含有硬化性組成物、該ケイ素含有硬化性組成物の硬化物及び該ケイ素含有硬化性組成物より形成されるリードフレーム基板
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
EP2766920B1 (en) 2011-10-10 2020-12-02 Brewer Science, Inc. Spin-on carbon compositions for lithographic processing
WO2013078211A1 (en) 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US10838123B2 (en) * 2012-01-19 2020-11-17 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9195137B2 (en) 2012-03-08 2015-11-24 Nissan Chemical Industries, Ltd. Composition for forming highly adhesive resist underlayer film
IN2015DN04178A (ko) 2012-11-05 2015-10-16 Dow Global Technologies Llc
JP6319582B2 (ja) * 2013-01-24 2018-05-09 日産化学工業株式会社 リソグラフィー用レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
JP2014202969A (ja) * 2013-04-05 2014-10-27 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
CN105492973B (zh) 2013-08-28 2019-06-11 日产化学工业株式会社 应用了抗蚀剂下层膜的图案形成方法
JP6323456B2 (ja) 2013-09-11 2018-05-16 Jsr株式会社 多層レジストプロセス用無機膜形成組成物及びパターン形成方法
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6196897B2 (ja) 2013-12-05 2017-09-13 東京応化工業株式会社 ネガ型レジスト組成物、レジストパターン形成方法及び錯体
JP6010564B2 (ja) * 2014-01-10 2016-10-19 信越化学工業株式会社 化学増幅型ネガ型レジスト組成物及びパターン形成方法
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
KR102364126B1 (ko) 2014-03-24 2022-02-18 제이에스알 가부시끼가이샤 패턴 형성 방법, 수지 및 레지스트 하층막 형성 조성물
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
WO2016080226A1 (ja) 2014-11-19 2016-05-26 日産化学工業株式会社 架橋反応性シリコン含有膜形成組成物
TWI603145B (zh) 2014-12-31 2017-10-21 羅門哈斯電子材料有限公司 光微影方法
US9543159B2 (en) 2015-03-27 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a wet strippable middle layer
JP6457640B2 (ja) * 2015-06-24 2019-01-23 富士フイルム株式会社 パターン形成方法、積層体、及び、有機溶剤現像用レジスト組成物
EP3356445B1 (en) 2015-09-30 2021-01-06 E Ink Corporation Polyurethane adhesive layers for electro-optic assemblies
EP4089482A1 (en) 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102515377B1 (ko) 2015-12-24 2023-03-28 삼성전자주식회사 하드 마스크 조성물, 탄소 나노 튜브 막구조체, 패턴 형성 방법 및 반도체 장치 제조 방법
JP2017181639A (ja) * 2016-03-29 2017-10-05 株式会社先端ナノプロセス基盤開発センター パターン形成方法および半導体素子の製造方法
JP6534959B2 (ja) 2016-04-21 2019-06-26 信越化学工業株式会社 有機膜の形成方法及び半導体装置用基板の製造方法
KR20230166158A (ko) 2016-08-12 2023-12-06 인프리아 코포레이션 금속 함유 레지스트로부터의 에지 비드 영역의 금속 잔류물 저감방법
JP6741540B2 (ja) * 2016-09-28 2020-08-19 東京応化工業株式会社 基板の表面物性を制御する方法
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10082736B2 (en) * 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
KR102634520B1 (ko) 2017-11-20 2024-02-06 인프리아 코포레이션 유기주석 클러스터, 유기주석 클러스터의 용액, 및 고해상도 패턴화에 대한 적용
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US10381481B1 (en) * 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法

Also Published As

Publication number Publication date
JP7348210B2 (ja) 2023-09-20
EP3807721A1 (en) 2021-04-21
JP2021527949A (ja) 2021-10-14
CN112368645A (zh) 2021-02-12
EP3807721A4 (en) 2022-04-13
US11972948B2 (en) 2024-04-30
US20190385837A1 (en) 2019-12-19
TW202001405A (zh) 2020-01-01
WO2019241402A1 (en) 2019-12-19

Similar Documents

Publication Publication Date Title
JP7348210B2 (ja) Euvリソグラフィ用接着層
US10712662B2 (en) Methods of forming patterns using compositions for an underlayer of photoresist
US8968989B2 (en) Assist layers for EUV lithography
US7989145B2 (en) Method for forming fine pattern of semiconductor device
US7648820B2 (en) Antireflective hardmask and uses thereof
US7439302B2 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
KR101813311B1 (ko) 하층막 재료 및 패턴 형성 방법
JP4042981B2 (ja) リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法
TWI310881B (en) Antireflective film material, and antireflective film and pattern formation method using the same
US8647809B2 (en) Metal-oxide films from small molecules for lithographic applications
US7378217B2 (en) Antireflective hardmask composition and methods for using same
US9291909B2 (en) Composition comprising a polymeric thermal acid generator and processes thereof
US7364832B2 (en) Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US10331032B2 (en) Photosensitive, developer-soluble bottom anti-reflective coating material
US8257910B1 (en) Underlayers for EUV lithography
CN102060980A (zh) 光刻胶下层聚合物和光刻胶下层组合物及用其图案化的方法
JP2010529499A (ja) 反射防止ハードマスク組成物およびそれを使用した基板上の材料のパターン化方法
KR20140001989A (ko) 개선된 패터닝 요구를 위해 작은 특징 부분(feature)을 패터닝하는 방법
EP3928348A1 (en) Spin-on compositions comprising an inorganic oxide component and an alkynyloxy substituted spin-on carbon component useful as hard masks and filling materials with improved shelf life
US7972766B2 (en) Method for forming fine pattern of semiconductor device
CN114556528A (zh) 用于euv光刻的底层
US20220195238A1 (en) Chemically homogeneous silicon hardmasks for lithography
US20240134281A1 (en) Euv-induced condensation of polysiloxane sol-gel thin film
JP2024056657A (ja) ポリシロキサンゾル-ゲル薄膜のeuv誘発縮合
WO2006096221A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
E902 Notification of reason for refusal