JP2024056657A - ポリシロキサンゾル-ゲル薄膜のeuv誘発縮合 - Google Patents

ポリシロキサンゾル-ゲル薄膜のeuv誘発縮合 Download PDF

Info

Publication number
JP2024056657A
JP2024056657A JP2023175502A JP2023175502A JP2024056657A JP 2024056657 A JP2024056657 A JP 2024056657A JP 2023175502 A JP2023175502 A JP 2023175502A JP 2023175502 A JP2023175502 A JP 2023175502A JP 2024056657 A JP2024056657 A JP 2024056657A
Authority
JP
Japan
Prior art keywords
siloxane
hard mask
mask layer
layer
silicon hard
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023175502A
Other languages
English (en)
Inventor
ダニエル パトリック スウェット,
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/483,118 external-priority patent/US20240134281A1/en
Application filed by ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2024056657A publication Critical patent/JP2024056657A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

【課題】極端紫外線(EUV)放射を用いたシリコンハードマスクの直接パターニングのための方法が提供される。【解決手段】上記方法は、ポリシロキサンおよび/またはオリゴシロキサン組成物をシリコンハードマスク層へと形成し、続いて溶媒を除去することを含む。フォトレジストおよび/または他の層のシリコンハードマスク層を使用せずに、シロキサンゾルゲルポリマーおよび/またはオリゴマーの縮合がEUV照射によって誘発され、露光部分を典型的なリソグラフィ溶媒または現像剤に不溶性にする。シリコンハードマスク層の露光部分が除去され、シリコンハードマスク層内にパターンが残り、これをシリコンハードマスク層の下の任意の層、最終的には基板に転写することができる。【選択図】なし

Description

関連出願の相互参照
本出願は、2022年10月7日に出願された、「EUV-INDUCED CONDENSATION OF POLYSILOXANE SOL-GEL THIN FILM」と題された米国仮特許出願第63/414,270号の優先権を主張し、その全体が参照により本明細書に組み込まれる。
本開示は、リソグラフィを使用してマイクロ電子構造を製造する方法に関する。
極端紫外線(EUV)リソグラフィを使用するパターニング用途では、2つの主要な手法が典型的に使用される。1つの手法は、従来の三層パターニングスタックのフォトレジストを化学増幅型(CAR)フォトレジストで置き換えることを含む。この手法の下では、基板は炭素豊富有機層でコーティングされ、シリコン含有ハードマスクが炭素豊富層の上に形成される。スタックの最上層としてフォトレジストが炭素豊富層に塗布され、所望のパターンを含むレチクルを介してEUV放射線に露光することによってフォトレジストがパターニングされる。露光後、スタックをベークし、続いて現像剤をすすいで露光パターンを露出させる。現像およびすすぎ工程の後、スタックは再びベークされる。このプロセスは、レチクルパターンをフォトレジストに転写し、ここで露光領域は、ポジ型フォトレジストの場合は現像剤に可溶性であり、ネガ型フォトレジストの場合は現像剤に不溶性である。次いで、CARフォトレジストパターンは、典型的なシリコンハードマスクエッチングプラズマ化学(CF、CHF、SF、Cl)を使用するドライエッチングプロセスでハードマスクに転写され、その後、ハードマスクパターンは、典型的な有機材料エッチングプラズマ化学(O、N/H)を使用するドライエッチングプロセスで炭素豊富層に転写される。最後に、パターンは、特定の基板に最適化されたプラズマ化学を使用して基板に転写される。
第2の手法は、金属酸化物フォトレジスト(MOR)を使用することである。三層手法と同様に、基板は炭素豊富有機層でコーティングされ、その主な役割は、基板に対する十分なエッチング選択性を提供して、基板内への所望のアスペクト比のパターン転写を可能にすることである。薄いEUV下地層(UL)が炭素豊富層の上に塗布される。EUV ULは、MORとの化学的適合性を提供し、金属(スズなど)が炭素豊富有機層に拡散するのを防止するように設計されている。炭素豊富有機層に拡散する任意の金属イオン/粒子が、炭素豊富有機層へのパターン転写中にエッチングブロック欠陥として作用するクラスタを形成する可能性があるため、EUV ULは重要な層である。スタックの最上層であるMORは、所望のパターンを含むレチクルを通してEUV放射に露光される。露光後、スタックをベークし、続いて現像剤をすすぎ、次いで再びベークする。このプロセスは、レチクルパターンをフォトレジストに転写し、ここでMORがネガ型フォトレジストであるので、露光領域が現像剤に不溶性である。MORパターンは、典型的な有機材料エッチングプラズマ化学(O、N/H)を使用するドライエッチングプロセスを用いて、EUV ULおよび炭素豊富有機層に転写される。無機EUV ULのケースでは、追加のエッチング工程を要する場合がある。最後に、パターンは、所与の基板に最適化されたプラズマ化学を使用して基板に転写される。
CARおよびMOR手法のそれぞれは、費用が高く、複雑である。したがって、両方の手法の複雑さを単純化し、比較的低いコストオブオーナーシップ(cost of ownership)で実行できるEUVリソグラフィプロセスが必要とされている。
一実施形態では、本開示は概して、構造を形成する方法に関し、方法は、シロキサンを含むシリコンハードマスク層をスタック上に形成することと、シロキサンの縮合を誘発するようにシリコンハードマスク層をEUV放射に選択的に露光することとを含む。シロキサンは、ポリシロキサン、オリゴシロキサン、またはその両方から選択され、シロキサンは、テトラエトキシシラン、メチルトリメトキシシラン、イソブチルトリメトキシシラン、フェニルトリメトキシシラン、ノナフルオロヘキシルトリエトキシシラン、2-(3,4-エポキシシクロヘキシル)-エチルトリメトキシシラン、またはそれらの組み合わせから選択されるモノマーを含む。
別の実施形態では、本開示は構造を提供し、構造は、
表面を含む基板、
任意選択的に、基板表面上の1つ以上の中間層であって、存在する場合、最上中間層が基板表面上にある、1つ以上の中間層、および
基板表面上、または存在する場合、最上中間層上のシリコンハードマスク層
を含む。
シリコンハードマスク層はシロキサンを含み、第1の領域は縮合シロキサンを含み、第2の領域は非縮合シロキサンを含む。シロキサンは、ポリシロキサン、オリゴシロキサン、またはその両方を含み、シロキサンは、テトラエトキシシラン、メチルトリメトキシシラン、イソブチルトリメトキシシラン、フェニルトリメトキシシラン、ノナフルオロヘキシルトリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、またはそれらの組み合わせから選択されるモノマーをさらに含む。
さらなる実施形態では、構造を形成する方法が提供される。方法は、シロキサンを含むシリコンハードマスク層をスタック上に形成することと、シロキサンの縮合を誘発するようにシリコンハードマスク層をEUV放射に選択的に露光することとを含む。シリコンハードマスク層は、光酸発生剤をさらに含み、シロキサンは、ポリシロキサン、オリゴシロキサン、またはその両方から選択され、
(i)シロキサンは、Si-H結合を含まない、
(ii)シロキサンは、Si原子毎に少なくとも3つのSi-O結合を含む、または
(iii)シロキサンは、Si-H結合よりも少なくとも3倍多いSi-O結合を含む、
の少なくとも1つが当てはまる。
なおさらなる実施形態では、本開示は構造を提供し、構造は、
表面を含む基板、
任意選択的に、基板表面上の1つ以上の中間層であって、存在する場合、最上中間層が基板表面上にある、1つ以上の中間層、および
基板表面上、または存在する場合、最上中間層上のシリコンハードマスク層
を含む。
シリコンハードマスク層はシロキサンを含み、第1の領域は縮合シロキサンを含み、第2の領域は非縮合シロキサンおよび光酸発生剤を含む。シロキサンは、ポリシロキサン、オリゴシロキサン、またはその両方を含み、
(i)シロキサンは、Si-H結合を含まない、
(ii)シロキサンは、Si原子毎に少なくとも3つのSi-O結合を含む、または
(iii)シロキサンは、Si-H結合よりも少なくとも3倍多いSi-O結合を含む、
の少なくとも1つが当てはまる。
本明細書に記載のシリコンハードマスク層の直接EUVパターニングのためのプロセスの概略図(縮尺どおりではない)である。
実施例12に記載の通り作製した36nmラインの走査電子顕微鏡(SEM)画像である。
実施例13に記載されるようにパターニングされた、OptiStack(登録商標)SOC120材料層上の実施例10からの調合物SEM画像を含む。
実施例13に記載されるようにパターニングされたSOC120材料層上の実施例10からの調合物の、100nmのピッチのL/Sアレイを検査するSEM画像を含む。
実施例13に記載されるようにパターニングされた、実験的HT-SOC層上の実施例10からの調合物SEM画像を含む。
実施例13に記載されるようにパターニングされた実験的HT-SOC層上の実施例10からの調合物の、100nmのピッチのL/Sアレイを検査するSEM画像を含む。
実施例13に記載されるようにパターニングされた、実験的HT-SOC層上の実施例11からの調合物SEM画像を含む。
実施例13に記載されるようにパターニングされた実験的HT-SOC層上の実施例11からの調合物の、100nmのピッチのL/Sアレイを検査するSEM画像を含む。
本開示は、光パターニング可能なシリコンハードマスク組成物、およびそれらの組成物を使用してマイクロ電子構造を形成する方法に関する。組成物は、広範囲の波長で有用であるが、EUVリソグラフィプロセスに特によく適している。
シリコンハードマスク組成物
1.組成物に使用するためのポリマーまたはオリゴマー
本明細書のシリコンハードマスク組成物に使用されるポリマーおよび/またはオリゴマー(すなわち、2~10個のモノマーまたは繰り返し単位)は、好ましくは、シロキサンモノマーを重合および/またはオリゴマー化してポリシロキサンまたはオリゴシロキサンを形成することによって形成される。適切なモノマーの例としては、メチルトリメトキシシラン、メチルトリエトキシシラン、エチルトリメトキシシラン、プロピルトリメトキシシラン、イソブチルトリメトキシシラン、テトラエトキシシラン、フェニルトリメトキシシラン、ノナフルオロヘキシルトリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、(3-グリシドキシプロピル)-トリメトキシシラン、(3-トリエトキシシリル)プロピルコハク酸無水物、2-(カルボメトキシ)エチルトリメトキシシラン、(p-クロロメチル)フェニルトリメトキシシラン、フェネチルトリメトキシシラン、3-(N,N-ジ-メチルアミノプロピル)トリメトキシシラン、またはそれらの組み合わせから選択されるものが挙げられる。
ポリマーおよびオリゴマーは、好ましくはゾルゲル反応を用いて合成される。例示的なゾル-ゲル法では、所望のモノマーを、蒸留または還流機構を備えた任意選択の反応器に、撹拌しながら適切な重合溶媒中に投入する。機構は、好ましくは、メタノール/エタノール副生成物を蒸留によって反応中に除去できるように構成される。重合およびオリゴマー化溶媒としては、プロピレングリコールモノメチルエーテルアセテート(「PGMEA」)、プロピレングリコールメチルエーテル(「PGME」)、アセトン、プロピレングリコールエチルエーテル(「PGEE」)、シクロヘキサノン、乳酸エチル、3-メチル-1,5-ペンタンジオール、1,2-プロピレングリコール、1,3-プロピレングリコール、エチレングリコール、またはそれらの混合物が挙げられる。反応混合物中のモノマーの固形分パーセントは、100重量%とする反応混合物の総重量に対して、好ましくは約10重量%~約40重量%、より好ましくは約25重量%~約35重量%である。次いで、触媒を約20℃~約150℃、好ましくは約25℃~約125℃、より好ましくは約75℃~約105℃の温度で反応器にゆっくり投入する。
ゾルゲル重合に適した触媒には、硝酸、塩酸、酢酸、トリフルオロ酢酸、スルホン酸、またはそれらの組み合わせから選択されるものが含まれる。触媒溶液は、約0.001N~約10Nの溶液、好ましくは約0.01N~約5Nの溶液、より好ましくは弱酸(すなわち、0より大きいpKa)の場合は約3Nの溶液、強酸(すなわち、約0以下のpKa)の場合は約0.01Nの溶液として水中で調製される。これらの触媒水溶液は、全モノマーに対して約0.5当量~約20当量、好ましくは約2当量~約15当量、より好ましくは約5当量の量で添加され、ここで1当量は、モノマー1モル当たり水1モル(またはモノマー1モル当たり水約18グラムに)にほぼ等しい。反応混合物を約10分間~約48時間、好ましくは約1時間~約36時間、より好ましくは約24時間撹拌する。反応は窒素等の不活性雰囲気下で行ってもよい。
2つの例示的な反応スキームは以下の通りである。

いくつかの実施形態では、得られたポリマーまたはオリゴマーは、高いケイ素含有量を有する。すなわち、ポリマーまたはオリゴマーは、好ましくは約20重量%~約47重量%のケイ素、より好ましくは約35重量%~約45重量%のケイ素を含み、ここでケイ素パーセントは、完全に加水分解されたポリマーまたはオリゴマーの分子量のパーセンテージとしての全ケイ素の分子量によって計算される。ポリマーの重量平均分子量(Mw)範囲は、直鎖ポリスチレン標準を使用するゲル浸透クロマトグラフィー(GPC)によって決定される場合、約500ダルトン~約50,000ルトン、好ましくは約500ダルトン~約25,000ダルトン、より好ましくは約1,000ダルトン~約15,000ダルトン、さらにより好ましくは約1,000ダルトン~約6,000ダルトンである。
いくつかの実施形態では、ポリマーおよび/またはオリゴマーは、シロキサンモノマーから本質的になるか、またはシロキサンモノマーからなる。例えば、ポリマーおよび/またはオリゴマーは、好適には、メチルトリメトキシシラン、イソブチルトリメトキシシラン、テトラエトキシシラン、フェニルトリメトキシシラン、ノナフルオロヘキシルトリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、またはそれらの組み合わせから本質的になるか、またはそれからなる。
1つ以上の実施形態において、シロキサンはSi-H結合を含まない。同じまたは異なる実施形態では、シロキサンは、Si原子当たり少なくとも3つ、好ましくは4つのSi-O結合を含む。いくつかの実施形態では、シロキサンは、Si-H結合よりも少なくとも3倍、好ましくは少なくとも4倍多いSi-O結合を含む。いくつかの実施形態では、シロキサンを形成するために使用されるモノマーはSi-H結合を含有しない。
いくつかの実施形態では、シロキサン骨格(ポリシロキサンまたはオリゴシロキサンであっても)が金属原子を含まないことも好ましい。1以上の実施形態において、シロキサンは、100重量%とするシロキサンの総重量に対して、約5重量%未満の金属、好ましくは約3重量%未満の金属、より好ましくは約1重量%未満の金属、さらにより好ましくは約0重量%の金属を含む。
いくつかの実施形態では、シロキサンは、ハロゲン原子を実質的に、または完全に含まない。例えば、これらの実施形態において、シロキサンは、100重量%とするシロキサンの総重量に対して、約5重量%未満のハロゲン原子、好ましくは約3重量%未満のハロゲン原子、より好ましくは約1重量%未満のハロゲン原子、さらにより好ましくは約0重量%のハロゲン原子を含む。
2.組成物の調製
反応混合物(母液)は、さらなる処理をせずに配合されてもよく、またはポリマーもしくはオリゴマーは、最終調合物に使用する前に単離されてもよい。後者の場合、ポリマーおよび/またはオリゴマーは、1つ以上の溶媒に分散または溶解される。好ましい溶媒には、PGMEA、PGME、PGEE、プロピレングリコールn-プロピルエーテル(「PnP」)、乳酸エチル、シクロヘキサノン、ガンマ-ブチロラクトン(「GBL」)、3-メチル-1,5-ペンタンジオール、1,2-プロピレングリコール、1,3-プロピレングリコール、エチレングリコール、またはそれらの混合物から選択されるものなどの1つ以上の溶媒が含まれる。
好ましい一実施形態では、PGMEAおよびPGMEの溶媒系、好ましくは約1:1 PGMEA:PGME~約1:4 PGMEA:PGMEで使用される。溶媒系は、典型的には、組成物の総重量を100重量%として、約80重量%~約99.9重量%、好ましくは約92重量%~99.5重量%、より好ましくは約98重量%~約99重量%のレベルで利用される。組成物中の総固形分は、典型的には、組成物の総重量を100重量%として、約0.1重量%~約20重量%、好ましくは約0.5重量%~8重量%、より好ましくは約1重量%~約2重量%のレベルで利用される。
シリコンハードマスク層を形成するために使用される組成物は、典型的には総ポリマーおよび/またはオリゴマー固形分を、組成物の総重量を100重量%として約0.1重量%~約10重量%、好ましくは約0.1重量%~約5重量%、より好ましくは約0.2重量%~約2重量%、さらにより好ましくは約0.3重量%~約1重量%で含む。
溶媒中で上記成分を一緒に混合すると、シリコンハードマスク層組成物が形成される。さらに、任意の成分(例えば、界面活性剤、鉱酸、有機酸、グラフト/縮合触媒、熱酸発生剤(「TAG」)、光酸発生剤(「PAG」)、ラジカル消光剤などの阻害剤、および/またはpH調整剤)を同時に溶媒に分散させることもできる。
PAGが含まれる実施形態では、適切なPAGとしては、トリフェニルスルホニウムトリス(トリフルオロメチルスルホニル)メチド、トリフェニルスルホニウムトリフルオロメタンスルホネート、トリフェニルスルホニウムノナフルオロ-1-ブタンスルホネート、ジフェニルヨードニウムトリフルオロメタンスルホネート、ジフェニルヨードニウムノナフルオロ-1-ブタンスルホネート、またはそれらの混合物から選択されるものが挙げられる。PAGが利用される場合、PAGは、典型的には、組成物中のポリマー固形分の総重量を100重量%として、約0.01重量%~約5重量%固形分、好ましくは約0.1重量%~2.5%重量固形分、より好ましくは約0.5重量%~約1.5重量%固形分のレベルで含まれる。
いくつかの実施形態では、シリコンハードマスク層組成物は、シロキサン縮合触媒を、シリコンハードマスク層組成物中の全固形分の重量を100重量%として約0.1重量%未満、好ましくは約0.05重量%未満、より好ましくは約0.01重量%未満、さらにより好ましくは約0重量%で含む。シロキサン縮合触媒の例としては、ベンジルトリエチルアンモニウムクロリド、tert-ブチルホスホニウムブロミド、エチルトリフェニルホスホニウムブロミド、トリエトキシ-3-(2-イミダゾリン-1-イル)プロピルシラン、トリフェニルスルホニウムナイトレート、酸触媒および塩基触媒が挙げられる。
一実施形態では、シリコンハードマスク組成物は、上記のポリマーおよび/またはオリゴマー、上記の任意選択の成分の1つまたは複数、ならびに溶媒から本質的になるか、またはさらにはそれらからなる。
1つ以上の実施形態では、シリコンハードマスク組成物は、上述のポリマーおよび/またはオリゴマー、溶媒、ならびに触媒、酸発生剤、ラジカル抑制剤、および/またはpH調整剤の1つまたは複数から本質的になるか、またはさらにはそれらからなる。
さらなる実施形態では、シリコンハードマスク組成物は、上記のポリマーおよび/またはオリゴマー、溶媒、ならびに酸発生剤から本質的になるか、またはさらにはそれらからなる。
いくつかの実施形態では、シリコンハードマスク組成物は、上記のポリマーおよび/またはオリゴマー、溶媒、ならびにPAGから本質的になるか、またはさらにはそれらからなる。
他の実施形態では、シリコンハードマスク組成物は、上記のポリマーおよび/またはオリゴマー、ならびに溶媒から本質的になるか、またはさらにはそれらからなる。
シリコンハードマスク組成物の使用方法
図1(A)を参照すると、スタック10が概略的に示されている。スタック10は、表面14および任意選択的な中間層16を有する基板12を備える。
基板12は、マイクロ電子基板、および好ましくは半導体基板を含む。例示的な基板12は、シリコン、SiGe、SiO、Si、SiON、SiCO:H(例えば、SVM(Santa Clara、CA、米国)よりBlack Diamondの名称で販売されているもの)、テトラメチルシレート(tetramethyl silate)およびテトラメチル-シクロテトラシロキサンの組み合わせ(例えば、CORALの名称で販売されているもの)、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、ガラス、またはこれらの組み合わせを含む。基板12の表面14は、平面であってもよく、またはトポグラフィ形質(例えば、ビアホール、トレンチ、コンタクトホール、隆起形質、ライン、その他)を含んでもよい。本明細書で使用される場合、「トポグラフィ」は、基板表面14内または上の構造の高さまたは深さを指す。
図1は、例示目的のために単一の中間層16を示しているが、スタック10は、複数の中間層を含んでも、または中間層を含まなくてもよい。いくつかの実施形態では、好適な中間層16はプライマー層を含み、それは分離した別個の層、また基板表面14の改良としてより適切に特徴付けられる層を含むことができる。好ましいプライマーには、ヘキサメチルジシリザネ(「HMDS」)が含まれる。プライマーは、例えば、約150℃で約90秒間加熱しながら、密閉チャンバ内で基板12をプライマー組成物の蒸気に曝露することによって形成することができる。
別の好適な中間層16は、基板表面14上、または存在してもよい任意の他の中間層(例えば、上で論じたようなプライミングされた層または改良された表面)上に形成され得る炭素豊富層を含む。炭素豊富層は、スピンオンカーボン(SOC)層、非晶質炭素層、および炭素平坦化層を含む。例示的な炭素豊富層は、一般に、溶媒に溶解または分散したポリマーを含む炭素豊富組成物と、酸クエンチャー、塩基クエンチャー、触媒、架橋剤、表面改質添加剤、またはそれらの混合物から選択されるものを含む、1つ以上の任意成分とから形成される。好ましい炭素豊富組成物は、比較的厚い層に形成することができ、したがって典型的には、炭素豊富組成物の総重量を100重量%として、約0.1重量%~約70重量%、より好ましくは約5重量%~約40重量%、さらにより好ましくは約10重量%~約30重量%の固形分を有する。「炭素豊富」という用語は、組成物中の全固形分を100重量%として、約50重量%超の炭素、好ましくは約70重量%超の炭素、より好ましくは約75重量%~約95重量%の炭素、さらにより好ましくは約75重量%~約80重量%の炭素を含む組成物および/または層を指す。
炭素豊富層は、任意の既知の塗布方法によって形成することができ、1つの好ましい方法は、約1,000~約5,000rpm、好ましくは約1,250~約1,750rpmの速度で、約30~約120秒、好ましくは約45~約75秒の時間にわたってスピンコーティングすることである。炭素豊富組成物を塗布した後、溶媒を蒸発させるために、約100℃~約400℃、より好ましくは約160℃~約350℃の温度に約30秒~約120秒、好ましくは約45秒~約60秒加熱することが好ましい。焼成後の炭素豊富層の厚さは、典型的には約10nm~約120nm、好ましくは約20nm~約100nm、より好ましくは約50nm~約60nmである。炭素豊富層はまた、化学気相成長(「CVD」)、プラズマ強化化学気相成長(「PECVD」)、原子層堆積(「ALD」)、またはプラズマ強化原子層堆積(「PEALD」)を含む、他の従来の塗布方法によって形成されてもよい。
スタック10中に中間層がなくても、1つ、2つ、またはそれ以上含まれていても、前述のシリコンハードマスク組成物を使用して、上面20を有するシリコンハードマスク層18を形成することができる(図1(B))。シリコンハードマスク層18は、中間層が利用されない場合は基板表面14上に(図示せず)、中間層が1つだけ利用される場合は中間層16上に(図1Bのように)、または複数の中間層が含まれる実施形態では(図示せず)、基板表面14から最も遠くに位置する中間層(すなわち、最上中間層)上に、直に形成することができる。
1つの好ましい塗布方法は、約500rpm~約2,500rpm、好ましくは約1,200rpm~約1,700rpmの速度で、約20秒~約90秒、好ましくは約45秒~約75秒の時間、シリコンハードマスク組成物をスピンコーティングすることを含む。シリコンハードマスク組成物を塗布してシリコンハードマスク層18を形成した後、層18は、好ましくは、シリコンハードマスク層18内に存在する溶媒の実質的にすべて(約95%以上)、好ましくはすべて(約100%)を蒸発させるのに十分な高い温度で加熱される。溶媒を除去するための典型的な温度は、約30秒~約90秒、好ましくは約45秒~約75秒の時間で、約130℃未満、好ましくは約40℃~約130℃、より好ましくは約90℃~約110℃である。
同時に、シリコンハードマスク層18が加熱される温度は、ポリシロキサンおよび/またはオリゴシロキサン中に存在するシラノール基(Si-OR、式中Rは、水素またはC-Cアルキルから個々に選択される)の縮合が実質的に、さらには完全に回避されるほど十分に低いことが好ましい。縮合の程度は、加熱後のシリコンハードマスク層18の表面接触角の変化に基づいて概算することができる。層18の表面接触角は、液滴溶媒として水を用いて、AST Optima(B5RM5208-143)接触角測定ツールを使用して、異なるスポットで得られた5つの測定値を平均することによって決定することができる。プロセスのこの段階では、5つの表面接触角測定値の平均は、典型的には約80未満、好ましくは約60未満、より好ましくは約30未満、さらにより好ましくは約20未満、最も好ましくは約10未満、さらにより好ましくは約5未満である。これらの範囲の表面接触角測定値は、縮合の程度が低度から最低限度であることを示す。
いくつかの実施形態では、表面接触角測定値の変化を使用して、溶媒を除去するための加熱中にシラノール基の縮合が最小化され、好ましくは回避されるかどうかを予測することができる。すなわち、加熱前の層18の(前述のように決定された)表面接触角は、溶媒を除去するための加熱中に約20%未満、好ましくは約10%未満、好ましくは約5%未満、より好ましくは約0%変化するであろう。
溶媒除去後のシリコンハードマスク層18の厚さは、好ましくは約3nm~約100nm、より好ましくは約4nm~約50nm、さらにより好ましくは約5nm~約20nm、最も好ましくは約10nmである。
開示されたプロセスおよび材料の重要な利点は、シリコンハードマスク層18をEUV放射でパターニングする前に、その層上にフォトレジストまたは他の層を塗布する必要がなく、したがってEUVリソグラフィプロセスの工程およびコストが削減されることであると理解されよう。これは図1(C)に示されており、マスク22はシリコンハードマスク層18の上面20の上方に配置されている。マスク22は、放射線をマスクから反射させるように(EUVの場合)、またはマスクを通過させ(ArF以上の波長の場合)、シリコンハードマスク層18の表面20と接触させるように設計され、したがってシリコンハードマスク層18上および/または中に露光領域26を形成する、露光部分24を有する。マスク22はまた、非露光部分28を含み、それは特定の領域(すなわち、非露光領域30)において放射線を吸収または遮断して、放射線がシリコンハードマスク層18の表面20に接触するのを防止するように設計され、したがってシリコンハードマスク層18の選択的な露光をもたらす。マスクのタイプおよび反射および吸収部分(すなわち、露光部分24および非露光部分28)の配置は、シリコンハードマスク層18、最終的には任意の中間層16および基板12に形成される所望のパターンに基づいて設計されることが当業者には容易に理解されよう。
露光波長は、好ましくは約10nm~約400nm、より好ましくは約13nm~約193nmである。一実施形態では、層は、EUV放射を含む、約20nm未満、好ましくは約11nm~約14nm、より好ましくは約13.5nmの波長に露光される。それに関係なく、好ましい露光線量は、約5mJ/cm~約150mJ/cm、好ましくは約20mJ/cm~約120mJ/cm、より好ましくは約40mJ/cm~約100mJ/cmである。
EUV露光は、露光領域26において、シリコンハードマスク層18に存在するポリシロキサンおよび/またはオリゴシロキサンの縮合および/または架橋を開始する。プロセスのこの段階では、露光領域26での5つの表面接触角測定値(前述のように実行される)の平均は、典型的には約50以上、好ましくは約60以上、より好ましくは約70以上、さらにより好ましくは約80以上である。これらの範囲の表面接触角測定値は、縮合の程度が高度から最大限であることを示す。非露光領域30の表面接触角測定値は、非露光領域が実質的に縮合されないままであることを示す、EUV露光前のシリコンハードマスク層18の表面接触角測定値と同程度であることが好ましい。
いくつかの実施形態では、表面接触角の変化を使用して、EUV露光中のシラノール基の十分な縮合を判定することができる。すなわち、露光領域26の表面接触角(前述のように決定される)は、溶媒除去のための加熱後であるがEUV露光前のシリコンハードマスク層18の表面接触角と比較して、約20%以上、好ましくは約30%以上、より好ましくは約40%以上、さらにより好ましくは約50%以上増加する。
シリコンハードマスク層18は、好ましくはネガ型現像(NTD)レジストとして機能する。したがって、露光領域26が縮合するにつれて、これらの露光領域26は、典型的なリソグラフィ溶媒(例えば、PGME、PGMEA、シクロヘキサノン、乳酸エチル、シクロペンタノン、PGEE、乳酸エチル、GBL、3-メトキシメチルプロピオネート)および/または標準的な水酸化テトラメチルアンモニウム(TMAH)現像剤に不溶性になり、一方、非露光領域30は、これらの同じ溶媒および/または現像剤に可溶性のままである。
本明細書で使用される場合、層または領域は、周囲条件(例えば、約22℃)でPGMEAと約20秒間接触した後に、その領域内の材料の少なくとも約95重量%、好ましくは少なくとも約98重量%、より好ましくは約100重量%が除去され得る場合に可溶性であると考えられる。層または領域は、周囲条件(例えば、約22℃)でPGMEAと約20秒間接触した後に、その領域内の材料の約5重量%未満、好ましくは約2重量%未満、より好ましくは約0重量%が除去され得る場合に不溶性であると考えられる。除去は、エリプソメトリーを使用して厚さの変化を測定することによって判定することができる。
露光後、シリコンハードマスク層18は、約60℃~約250℃、好ましくは約130℃~約205℃の温度で、約30秒~約90秒、好ましくは約45秒~約75秒の時間、露光後ベーク(PEB)に供されてもよい。いくつかの実施形態では、シリコンハードマスク層18は露光後ベークに供されない。
露光後、シリコンハードマスク層18を溶媒または現像剤、例えば上に開示したものと接触させて、シリコンハードマスク層18にパターン32を形成する(図1(D))。具体的には、溶媒または現像剤は、シリコンハードマスク層18の非露光領域30を除去するが、露光領域26は溶媒または現像剤によって除去されない。したがって、パターン32は、複数の隆起形質36(例えば、ライン、ピラー)と共に複数の開口部34(例えば、トレンチ、ホール)を含む。一実施形態では、パターンを形成するために使用される溶媒は、PGME、PGMEA、酢酸n-ブチル、シクロペンタノン、シクロヘキサノン、乳酸エチル、およびそれらの組み合わせを含む群から選択される。
現像後、パターニングされたシリコンハードマスク層18’は、約160℃~約400℃、好ましくは約200℃~約300℃の温度で、約30秒~約90秒、好ましくは約45秒~約75秒の時間、縮合および緻密化を促進するためにハードベークに供されることが好ましい。
シリコンハードマスク層18は、エッチングブロックとして作用し、任意の炭素豊富層(存在する場合)および/または任意の他の中間層16へのパターン転写を可能にするのに十分な、Oでのエッチング速度を有するべきである。炭素豊富層がスタック10に含まれる実施形態では、その炭素豊富層は、酸素豊富(例えば、O)プラズマエッチング雰囲気中で、好ましくはシリコンハードマスク層18よりも少なくとも約1.5倍速くエッチングする。
次いで、パターンは、特定の実施形態に含まれ得る任意の中間層16に転写され、最後に基板12に転写される。このパターン転写は、プラズマエッチング(例えば、CFエッチング剤、Oエッチング剤)、ウェットエッチング、または他の現像プロセスを介して行うことができる。
パターン転写をエッチングによって行うか、現像によって行うかに関係なく、得られる形質は高い解像度を有する。例えば、約40nm未満のハーフピッチ、好ましくは約20nm未満のハーフピッチ、さらにより好ましくは約16nm未満のハーフピッチの解像度を本発明の方法で達成することができる。
様々な実施形態のさらなる利点は、本明細書の開示および以下の実施例を検討すれば、当業者には明らかであろう。本明細書に記載の様々な実施形態は、本明細書に別段の指示がない限り、必ずしも相互に排他的ではないことが理解されよう。例えば、一実施形態で説明または図示された特徴は、他の実施形態に含まれてもよいが、必ずしも含まれなくてもよい。したがって、本開示は、本明細書に記載の特定の実施形態の様々な組み合わせおよび/または統合を包含する。
本明細書で使用される場合、2つ以上の項目の列挙で使用される場合の「および/または」という語句は、列挙された項目のいずれか1つが単独で使用され得るか、または列挙された項目の2つ以上の任意の組み合わせが使用され得ることを意味する。例えば、組成物が成分A、Bおよび/またはCを含有または除外すると記載されている場合、組成物は、Aのみ、Bのみ、Cのみ、AとBの組み合わせ、AとCの組み合わせ、BとCの組み合わせ、またはA、BおよびCの組み合わせを含有または除外することができる。
本明細書はまた、様々な実施形態に関する特定のパラメータを定量化するために数値範囲を使用する。数値範囲が提供される場合、そのような範囲は、範囲の下限値のみを列挙する特許請求の範囲の限定ならびに範囲の上限値のみを列挙する特許請求の範囲の限定に対する文字通りの裏付けを提供すると解釈されるべきであることを理解されたい。例えば、約10~約100の開示された数値範囲は、「約10より大きい」(上限なし)と記載している請求項および「約100未満」(下限なし)と記載している請求項を文字通り支持する。
以下の実施例は、本開示による方法を示す。しかしながら、これらの例は例示として提供されており、その中のいかなるものも、全体的な範囲に対する限定として解釈されるべきではないことを理解されたい。
実施例1
シロキサン1の合成
4.25グラムのメチルトリメトキシシラン(Gelest、Morrisville、PA)、3.71グラムのイソブチルトリメトキシシラン(Gelest、Morrisville、PA)、10.83グラムのテトラエトキシシラン(Gelest、Morrisville、PA)、および28.0グラムのプロピレングリコールモノメチルエーテル(PGME、Fujifilm Ultrapure Solutions、Carrolton、TX)を丸底フラスコに加え、完全に混合することによってシロキサンを調製した。撹拌棒を混合物に加え、撹拌しながら、9.45グラムの0.01 M HNO(Sigma-Aldrich、St.Louis、MO)を15分かけて滴下し、溶液が均質になるまで撹拌した。蒸留ヘッドおよびコンデンサーを機構に加え、反応物を窒素下にて95℃に24時間加熱した。冷却後、混合物を保存し、母液として使用した。
実施例2
シロキサン1との配合
この実施例では、実施例1で合成した1.0グラムのポリマー溶液、49.9グラムのPGME、および49.1グラムのプロピレングリコールモノメチルエーテルアセテート(PGMEA、Fujifilm Ultrapure Solutions、Carrolton、TX)を100mLのAicelloボトルに加え、15分間一緒に混合して、0.2%(重量基準)溶液を生成した。
実施例3
シロキサン2の合成
3.39グラムのメチルトリメトキシシラン、2.53グラムのイソブチルトリメトキシシラン、2.91グラムのノナフルオロヘキシルトリエトキシシラン(Gelest、Morrisville、PA)、5.18グラムのテトラエトキシシラン、および28.0グラムのPGMEAを丸底フラスコに加え、完全に混合することによってシロキサンを調製した。撹拌棒を混合物に加え、撹拌しながら、6.45グラムの0.01 M HNOを15分かけて滴下し、溶液が均質になるまで撹拌した。蒸留ヘッドおよびコンデンサーを機構に加え、反応物を窒素下にて95℃に24時間加熱した。冷却後、混合物を保存し、母液として使用した。
実施例4
シロキサン2との配合
この実施例では、実施例3で合成した1.5グラムのポリマー溶液、20.0グラムのPGME、および78.5グラムのPGMEAを100mLのAicelloボトルに加え、15分間一緒に混合して、0.3%(重量基準)溶液を生成した。
実施例5
シロキサン3の合成
2.96グラムのメチルトリメトキシシラン、2.21グラムのイソブチルトリメトキシシラン、2.82グラムのノナフルオロヘキシルトリエトキシシラン、3.87グラムのテトラエトキシシラン、および28.0グラムのPGMEAを丸底フラスコに加え、完全に混合することによってシロキサンを調製した。撹拌棒を混合物に加え、撹拌しながら、5.64グラムの0.01 M HNOを15分かけて滴下し、溶液が均質になるまで撹拌した。蒸留ヘッドおよびコンデンサーを機構に加え、反応物を窒素下にて95℃に24時間加熱した。冷却後、混合物を保存し、母液として使用した。
実施例6
シロキサン3との配合
この実施例では、実施例5で合成した1.5グラムのポリマー溶液、20.0グラムのPGME、および78.5グラムのPGMEAを100mLのAicelloボトルに加え、15分間一緒に混合して、0.3%(重量基準)溶液を生成した。
実施例7
シロキサン4の合成
29.01グラムのメチルトリメトキシシラン、4.76グラムのフェニルトリメトキシシラン(Gelest、Morrisville、PA)、0.74グラムの2-(3,4-エポキシシクロヘキシル)エチル-トリメトキシシラン(Gelest、Morrisville、PA)、12.50グラムのテトラエトキシシラン、および69.0グラムのPGMEAを丸底フラスコに加え、完全に混合することによってシロキサンを調製した。撹拌棒を混合物に加え、撹拌しながら、27.84グラムの0.01 M HNOを1時間かけて滴下し、溶液が均質になるまで撹拌した。蒸留ヘッドおよびコンデンサーを機構に加え、反応物を窒素下にて95℃に26時間加熱した。氷浴で冷却後、混合物を保存し、母液として使用した。
実施例8
シロキサン4との配合
この実施例では、実施例7で合成した1.5グラムのポリマー、49.5グラムのPGME、および49.0グラムのPGMEAを100mLのAicelloボトルに加え、15分間一緒に混合して、0.3%(重量基準)溶液を生成した。
実施例9
シロキサン5の合成
8.50グラムのメチルトリメトキシシラン、3.71グラムのイソブチルトリメトキシシラン、4.33グラムのテトラエトキシシラン、および29.0グラムのPGMEAを丸底フラスコに加え、完全に混合することによってシロキサンを調製した。撹拌棒を混合物に加え、撹拌しながら、9.45グラムの0.01 M HNOを15分かけて滴下し、溶液が均質になるまで撹拌した。蒸留ヘッドおよびコンデンサーを機構に加え、反応物を窒素下にて95℃に24時間加熱した。冷却後、混合物を保存し、母液として使用した。
実施例10
シロキサン5との配合
この実施例では、実施例9で合成した1.5グラムのポリマー、49.5グラムのPGME、および49.0グラムのPGMEAを100mLのAicelloボトルに加え、15分間一緒に混合して、0.3%(重量基準)溶液を生成した。
実施例11
シロキサン5およびPAGとの配合
この実施例では、実施例9で合成した1.5グラムのポリマー、0.3グラムの、PGME溶液中1%TPS-C1(Heraeus Precious Metals North America、Vandalia、OH)、49.2グラムのPGME、および49.0グラムのPGMEAを100mLのAicelloボトルに加え、15分間一緒に混合して、0.3%(重量基準)溶液を生成した。
実施例12
EUVナノパターニング
1.高温スピンオン炭素組成物(HT-SOC)
米国特許出願公開第2022/0041810A1号(Brewer Science,Inc.、Rolla、MO)(その全体が参照により本明細書に組み込まれる)の実施例11に記載されるように、実験的なHT-SOC組成物を調製した。具体的には、26.43グラムの9,9-ビス(3,4-ジカルボキシフェニル)フルオレン二無水物を500-m1丸底フラスコに加えた。次に、13.57グラムの3-エチニルアニリン(3-EA)を60グラムのPGMEに溶解し、溶液を滴下漏斗に添加し、これを丸底フラスコに連結した。システムを窒素で10分間パージし、その後、3-EA溶液をフラスコに滴下し、窒素下で4分間にわたって磁気的に撹拌した。窒素下50℃で8時間磁気撹拌しながら反応を進行させた。次いで、フラスコをコンデンサーに連結し、反応温度を130℃に上昇させた。窒素下150℃で16時間磁気撹拌しながら、イミド化反応を進行させた。
ジイミド溶液をヘキサン(重量比1:5、Sigma Aldrich、St Louis、Mo)中で沈殿させることによって精製した。沈殿したジイミドを濾過し、ヘキサン(Tedia High Purity Solvents、Fairfield、Ohio)で洗浄し、次いで、真空オーブン中70℃で一晩乾燥させた。
2.ナノパターニング
この実施例12のパート1で調製されたHT-SOC組成物を、パターニングおよびエッチング転写プロセスのための下地層として使用した。具体的には、HT-SOC材料を300mmのSiウェハ上に60nmの厚さで1,960rpmで60秒間スピンコーティングし、170℃で60秒間ベークし、続いて400℃で60秒間ベークした。次いで、実施例8からの調合物を、材料を1,718rpmで60秒間スピンコーティングし、次いで100℃で60秒間ベークすることによって、HT-SOCの上に10nmの膜厚でコーティングした。
コーティングされたウェハをレチクルを通してEUV放射に露光して様々なパターンを画定し、PGMEAまたはPGMEA/PGMEの混合物ですすいで未露光部分を除去した。その後、ウェハを205℃で60秒間ベークし、得られたパターンを日立CG-6300 CD-SEMで試験した。現像工程後、36nmラインのピッチの解像が実証された。図2の輝線は、PGMEAですすいだ後のEUV露光シリコンハードマスク材料を表す。
実施例13
OptiStack(登録商標)SOC120材料(Brewer Science、Rolla、MO)の60nmフィルムを用いて、1,500rpmでスピンコーティングし、205℃で60秒間ベーキングすることによって1枚のウェハを調製した。実施例12のパート1に記載されるHT-SOC組成物を用いて、さらに2枚のウェハを調製した。
1枚のOptiStack(登録商標)SOC120材料コーティングウェハおよび1枚のHT-SOC材料コーティングウェハ上に実施例10からの調合物を1,500rpmでスピンコーティングし、続いて100℃で60秒間ベーキングすると、各例で10nmの膜が得られた。
1枚のHT-SOC材料コーティングウェハ上に実施例11からの調合物を1,500rpmでスピンコーティングし、続いて100℃で60秒間ベーキングすると、10nmの膜が得られた。
3枚のウェハの各々を、ASML NXE-3400スキャナを使用して様々な線量のEUV照射によってパターニングし、100℃で60秒間の露光後ベークの後、RER650(70%PGMEAおよび30%PGME;富士フイルムエレクトロニクスマテリアルズ、North Kingstown、RI)で現像した。得られたパターンを、N/Hプラズマエッチングを用いてSOC層に転写し、トップダウンSEMを用いて検査した。
図3~図4は、OptiStack(登録商標)SOC120材料層上の実施例10調合物のSEMを含有する。図5~図6は、実験的HT-SOC層上の実施例10調合物のSEMを提供する。最後に、図7~図8は、実験的HT-SOC層上の実施例11調合物のSEMを提供する。パターニングに必要な線量範囲は、調合物中に1%PAGを含めることによって低下した。また、HT-SOC材料は、OptiStack(登録商標)SOC120材料と比較して、パターニングに必要な線量が低かった。
10 スタック
12 基板
14 基板表面
16 中間層
18 シリコンハードマスク層
18’ シリコンハードマスク層
20 表面、上面
22 マスク
24 露光部分
26 露光領域
28 非露光部分
30 非露光領域
32 パターン
34 開口部
36 隆起形質

Claims (32)

  1. 構造を形成する方法であって、
    スタック上にシリコンハードマスク層を形成する工程であって、前記層が、ポリシロキサン、オリゴシロキサン、または両方から選択されるシロキサンを含み、前記シロキサンが、テトラエトキシシラン、メチルトリメトキシシラン、イソブチルトリメトキシシラン、フェニルトリメトキシシラン、ノナフルオロヘキシルトリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、またはそれらの組み合わせから選択されるモノマーを含む、工程、および
    前記シリコンハードマスク層を、前記シロキサンの縮合を誘発するようにEUV放射に選択的に露光する工程
    を含む、方法。
  2. 前記シロキサンが溶媒中にあり、前記形成することが、前記溶媒を蒸発させるのに十分高いが前記シロキサンの縮合を誘発するほど高くはない温度で前記シリコンハードマスク層を加熱することを含む、請求項1に記載の方法。
  3. 前記シロキサンが溶媒中にあり、前記形成することが、前記シリコンハードマスク層を約40℃~約130℃の温度で加熱することを含む、請求項1に記載の方法。
  4. 前記選択的に露光することが、プロピレングリコールモノメチルエーテルアセテートに不溶な前記シリコンハードマスク層の露光領域と、プロピレングリコールモノメチルエーテルアセテートに可溶な前記シリコンハードマスク層の非露光領域とをもたらす、請求項1に記載の方法。
  5. 前記スタックが、
    表面を有する基板、および
    任意選択で、前記表面上の1つまたは複数の中間層を含み、1つまたは複数の中間層が存在する場合、前記表面上に最上中間層があり、前記シリコンハードマスク層が、存在する場合、前記最上中間層上にあるか、または中間層が存在しない場合、前記表面上にある、
    請求項1に記載の方法。
  6. 前記選択的に露光することが、前記シリコンハードマスク層内にパターンを形成し、前記表面上の任意の中間層および前記表面に前記パターンを転写することをさらに含む、請求項5に記載の方法。
  7. 前記選択的に露光することの間、前記シリコンハードマスク層上にさらなる層がない、請求項1に記載の方法。
  8. 前記シリコンハードマスク層が、場合により光酸発生剤を含むが、前記シリコンハードマスク層中の全固形分の総重量に基づいて、合計で約0.1重量%未満のシロキサン縮合触媒を含む、請求項1に記載の方法。
  9. 請求項1に記載の方法であって、
    (i)前記シロキサンが、Si-H結合を含む、
    (ii)前記シロキサンが、Si原子当たり少なくとも3つのSi-O結合を含む、
    (iii)前記シロキサンが、Si-H結合よりも少なくとも3倍多いSi-O結合を含む、
    (iv)前記シロキサンが、約5重量%未満の金属を含む、
    (v)前記シロキサンが、いかなる金属原子も含まない骨格を含む、
    (vi)(i)、(ii)、(iii)、(iv)または(v)のうちの2つが当てはまる、
    (vii)(i)、(ii)、(iii)、(iv)または(v)のうちの3つが当てはまる、
    (viii)(i)、(ii)、(iii)、(iv)または(v)の4つが当てはまる、または
    (ix)(i)、(ii)、(iii)、(iv)または(v)のすべてが当てはまる、
    方法。
  10. 構造であって、
    表面を含む基板と、
    任意選択で、前記基板表面上の1つまたは複数の中間層であって、1つまたは複数の中間層が存在する場合、前記基板表面上に最上中間層が存在する、1つまたは複数の中間層と、
    前記基板表面上、または存在する場合、前記最上中間層上のシリコンハードマスク層であって、
    ポリシロキサン、オリゴシロキサン、または両方を含むシロキサンを含み、前記シロキサンが、テトラエトキシシラン、メチルトリメトキシシラン、イソブチルトリメトキシシラン、フェニルトリメトキシシラン、ノナフルオロヘキシルトリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、またはそれらの組み合わせから選択されるモノマーを含む、
    シリコンハードマスク層とを含み、
    第1の領域が縮合シロキサンを含み、
    第2の領域が非縮合シロキサンを含む、
    構造。
  11. 前記第1の領域がプロピレングリコールモノメチルエーテルアセテートに不溶性であり、前記第2の領域がプロピレングリコールモノメチルエーテルアセテートに可溶性である、請求項10に記載の構造。
  12. 前記基板が、シリコン、SiGe、SiO、Si、SiON、SiCO:H、テトラメチルシレートおよびテトラメチル-シクロテトラシロキサンの組み合わせ、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、またはガラスを含む、請求項10に記載の構造。
  13. 前記シリコンハードマスク層上にさらなる層がない、請求項10に記載の構造。
  14. 前記第2の領域が、場合により光酸発生剤を含むが、前記第2の領域中の全固形分の総重量に基づいて、合計で約0.1重量%未満のシロキサン縮合触媒を含む、請求項10に記載の構造。
  15. 請求項10に記載の構造であって、
    (i)前記シロキサンが、Si-H結合を含む、
    (ii)前記シロキサンが、Si原子当たり少なくとも3つのSi-O結合を含む、
    (iii)前記シロキサンが、Si-H結合よりも少なくとも3倍多いSi-O結合を含む、
    (iv)前記シロキサンが、約5重量%未満の金属を含む、
    (v)前記シロキサンが、いかなる金属原子も含まない骨格を含む、
    (vi)(i)、(ii)、(iii)、(iv)または(v)のうちの2つが当てはまる、
    (vii)(i)、(ii)、(iii)、(iv)または(v)のうちの3つが当てはまる、
    (viii)(i)、(ii)、(iii)、(iv)または(v)の4つが当てはまる、または
    (ix)(i)、(ii)、(iii)、(iv)または(v)のすべてが当てはまる、
    構造。
  16. 構造を形成する方法であって、
    スタック上にシリコンハードマスク層を形成する工程であって、前記層が、
    光酸発生剤、
    ポリシロキサン、オリゴシロキサン、またはその両方から選択されるシロキサンであって、
    (i)前記シロキサンがSi-H結合を含まない、
    (ii)前記シロキサンがSi原子当たり少なくとも3つのSi-O結合を含む、または
    (iii)前記シロキサンがSi-H結合よりも少なくとも3倍多いSi-O結合を含む、
    のうち少なくとも1つが当てはまるシロキサンを含む、
    工程、および
    前記シロキサンの縮合を誘発するように、前記シリコンハードマスク層をEUV放射に選択的に露光する工程
    を含む、方法。
  17. (iv)前記シロキサンが約5重量%未満の金属を含む、または
    (v)前記シロキサンが、いかなる金属原子も含まない骨格を含む、
    の一方または両方が当てはまる、請求項16に記載の方法。
  18. 前記シロキサンが、メチルトリメトキシシラン、メチルトリエトキシシラン、エチルトリメトキシシラン、プロピルトリメトキシシラン、イソブチルトリメトキシシラン、テトラエトキシシラン、フェニル-トリメトキシシラン、ノナフルオロヘキシル-トリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、(3-グリシドキシプロピル)トリメトキシシラン、(3-トリエトキシシリル)プロピルコハク酸無水物、2-(カルボメトキシ)エチルトリメトキシシラン、(p-クロロメチル)フェニルトリメトキシシラン、フェネチルトリメトキシシラン、3-(N,N-ジ-メチルアミノプロピル)-トリメトキシシラン、またはそれらの組み合わせから選択されるモノマーを含む、請求項16に記載の方法。
  19. 前記シロキサンが溶媒中にあり、前記形成することが、前記溶媒を蒸発させるのに十分高いが前記シロキサンの縮合を誘導するほど高くはない温度で前記シリコンハードマスク層を加熱することを含む、請求項16に記載の方法。
  20. 前記シロキサンが溶媒中にあり、前記形成することが、前記シリコンハードマスク層を約40℃~約130℃の温度で加熱することを含む、請求項16に記載の方法。
  21. 前記選択的に露光することが、プロピレングリコールモノメチルエーテルアセテートに不溶性である前記シリコンハードマスク層の露光領域と、プロピレングリコールモノメチルエーテルアセテートに可溶性である前記シリコンハードマスク層の非露光領域とをもたらす、請求項16に記載の方法。
  22. 前記スタックが、
    表面を有する基板、および
    任意選択で、前記表面上の1つまたは複数の中間層を含み、1つまたは複数の中間層が存在する場合、前記表面上に最上中間層があり、前記シリコンハードマスク層が、存在する場合、前記最上中間層上にあるか、または中間層が存在しない場合、前記表面上にある、
    請求項16に記載の方法。
  23. 前記選択的に露光することが、前記シリコンハードマスク層内にパターンを形成し、前記表面上の任意の中間層および前記表面に前記パターンを転写することをさらに含む、請求項22に記載の方法。
  24. 前記選択的に露光することの間、前記シリコンハードマスク層上にさらなる層がない、請求項16に記載の方法。
  25. 前記シリコンハードマスク層が、前記シリコンハードマスク層中の全固形分の総重量に基づいて、合計で約0.1重量%未満のシロキサン縮合触媒を含む、請求項16に記載の方法。
  26. 構造であって、
    表面を含む基板と、
    任意選択で、前記基板表面上の1つまたは複数の中間層であって、1つまたは複数の中間層が存在する場合、前記基板表面上に最上中間層が存在する、1つまたは複数の中間層と、
    前記基板表面上、または存在する場合、前記最上中間層上のシリコンハードマスク層であって、
    ポリシロキサン、オリゴシロキサン、または両方から選択されるシロキサンを含み、
    (i)前記シロキサンがSi-H結合を含まない、
    (ii)前記シロキサンがSi原子当たり少なくとも3つのSi-O結合を含む、または
    (iii)前記シロキサンがSi-H結合よりも少なくとも3倍多いSi-O結合を含む、
    のうち少なくとも1つが当てはまる、シリコンハードマスク層と
    を含み、
    第1の領域が縮合シロキサンを含み、
    第2の領域が非縮合シロキサンおよび光酸発生剤を含む、
    構造。
  27. (iv)前記シロキサンが約5重量%未満の金属を含む、または
    (v)前記シロキサンが、いかなる金属原子も含まない骨格を含む、
    の一方または両方が当てはまる、請求項26に記載の構造。
  28. 前記シロキサンが、メチルトリメトキシシラン、メチルトリエトキシシラン、エチルトリメトキシシラン、プロピルトリメトキシシラン、イソブチルトリメトキシシラン、テトラエトキシシラン、フェニル-トリメトキシシラン、ノナフルオロヘキシル-トリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、(3-グリシドキシプロピル)トリメトキシシラン、(3-トリエトキシシリル)プロピルコハク酸無水物、2-(カルボメトキシ)エチルトリメトキシシラン、(p-クロロメチル)フェニルトリメトキシシラン、フェネチルトリメトキシシラン、3-(N,N-ジ-メチルアミノプロピル)-トリメトキシシラン、またはそれらの組み合わせから選択されるモノマーを含む、請求項26に記載の構造。
  29. 前記第1の領域がプロピレングリコールモノメチルエーテルアセテートに不溶性であり、前記第二の領域がプロピレングリコールモノメチルエーテルアセテートに可溶性である、請求項26に記載の構造。
  30. 前記基板が、シリコン、SiGe、SiO、Si、SiON、SiCO:H、テトラメチルシレートおよびテトラメチル-シクロテトラシロキサンの組み合わせ、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、またはガラスを含む、請求項26に記載の構造。
  31. 前記シリコンハードマスク層上にさらなる層がない、請求項26に記載の構造。
  32. 前記第2の領域が、前記第2の領域中の全固形分の総重量に基づいて、合計で約0.1重量%未満のシロキサン縮合触媒を含む、請求項26に記載の構造。
JP2023175502A 2022-10-07 2023-10-10 ポリシロキサンゾル-ゲル薄膜のeuv誘発縮合 Pending JP2024056657A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263414270P 2022-10-07 2022-10-07
US63/414,270 2022-10-07
US18/483,118 US20240134281A1 (en) 2023-10-09 Euv-induced condensation of polysiloxane sol-gel thin film
US18/483,118 2023-10-09

Publications (1)

Publication Number Publication Date
JP2024056657A true JP2024056657A (ja) 2024-04-23

Family

ID=90749304

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023175502A Pending JP2024056657A (ja) 2022-10-07 2023-10-10 ポリシロキサンゾル-ゲル薄膜のeuv誘発縮合

Country Status (1)

Country Link
JP (1) JP2024056657A (ja)

Similar Documents

Publication Publication Date Title
JP6643411B2 (ja) 湿式剥離性シリコン含有反射防止剤
TWI468869B (zh) 含矽之表面改質劑、含有此表面改質劑之光阻下層膜形成用組成物、及圖案形成方法
JP5453361B2 (ja) ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
TWI642698B (zh) 作為硬光罩及填充材料之穩定金屬化合物、其組合物及其使用方法
TWI531865B (zh) A multilayer photoresist process pattern forming method and an inorganic film forming composition for a multilayer photoresist process
TWI465455B (zh) 含矽之表面改質劑、含有此表面改質劑之光阻下層膜形成用組成物、及圖案形成方法
TWI679488B (zh) 使用導引自組裝形成微電子結構之方法及微電子結構
JP5038354B2 (ja) ケイ素含有反射防止膜形成用組成物、ケイ素含有反射防止膜形成基板及びパターン形成方法
JP7348210B2 (ja) Euvリソグラフィ用接着層
JP6342993B2 (ja) ポリマー型熱酸発生剤を含む組成物及びそれの方法
TWI506377B (zh) 含矽之光阻下層膜形成用組成物及圖案形成方法
EP2657240A1 (en) Silicon compound, silicon-containing compound, composition for forming resits underlayer film containing the same and patterning process
US20070196773A1 (en) Top coat for lithography processes
JP2014157299A (ja) パターン形成方法及びパターン反転膜材料
JP2009244722A (ja) レジスト下層膜用組成物及びその製造方法
TWI672327B (zh) 矽基硬式光罩
JPWO2010032796A1 (ja) サイドウォール形成用組成物
JP6297992B2 (ja) ケイ素含有重合体、ケイ素含有化合物、レジスト下層膜形成用組成物、及びパターン形成方法
TW201030054A (en) Underlayer composition having anti-reflective property
JP2009237363A (ja) レジスト下層膜用組成物及びその製造方法
US20240134281A1 (en) Euv-induced condensation of polysiloxane sol-gel thin film
JP2024056657A (ja) ポリシロキサンゾル-ゲル薄膜のeuv誘発縮合
US11361967B2 (en) Underlayers for EUV lithography
US11817317B2 (en) High-silicon-content wet-removable planarizing layer
US20230282478A1 (en) Coating compositions and methods to enhance sc-1 resistance