JP2021527949A - Euvリソグラフィ用接着層 - Google Patents

Euvリソグラフィ用接着層 Download PDF

Info

Publication number
JP2021527949A
JP2021527949A JP2020567988A JP2020567988A JP2021527949A JP 2021527949 A JP2021527949 A JP 2021527949A JP 2020567988 A JP2020567988 A JP 2020567988A JP 2020567988 A JP2020567988 A JP 2020567988A JP 2021527949 A JP2021527949 A JP 2021527949A
Authority
JP
Japan
Prior art keywords
layer
adhesive layer
substrate
group
photoresist layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020567988A
Other languages
English (en)
Other versions
JP7348210B2 (ja
Inventor
アンドレア エム. チャコ,
バンダナ クリシュナマーシー,
イーチェン リアン,
ハオ リー,
ステファン グランマン,
ダグラス ジェイ. ゲレロ,
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー., ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2021527949A publication Critical patent/JP2021527949A/ja
Application granted granted Critical
Publication of JP7348210B2 publication Critical patent/JP7348210B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists

Abstract

EUV接着層として使用するための新規リソグラフィ組成物を提供する。本発明は、これらの組成物を使用してマイクロ電子構造体を製造する方法、ならびにこれらの方法によって形成される構造体を提供する。この方法は、フォトレジスト層の直下に接着層を利用することを含む。この接着層を、基板に直接塗布することができるか、あるいはアルファカーボン、スピンオンカーボン、スピンオンシリコンハードマスク、金属ハードマスク、または堆積シリコン層など、基板に塗布されてもよい任意の中間層に塗布することができる。好ましい接着層は、スピンコート可能なポリマー組成物から形成される。本発明の方法は、接着性を改善し、パターン倒れの問題を軽減または解消する。
【選択図】 図1

Description

発明の背景
関連出願
本願は、2018年7月13日提出の、ADHESION LAYERS FOR EUV LITHOGRAPHY(EUVリソグラフィ用接着層)と題した米国特許仮出願第62/684,359号の優先権の利益を主張するものであり、その全体を参照して本明細書に援用する。
発明の分野
本発明は、一般に、EUV(極紫外線)リソグラフィを使用してマイクロ電子構造体を作製する方法に関する。
先行技術の説明
半導体産業がムーアの法則に従い続けるにつれて、絶えず小さくなっていく機構サイズに対する需要は、パターン倒れを防ぐために、より薄いフィルムを使用することを必要とする。より薄いフィルムは、パターンを基板に転写するために、ハードマスクを使用することを必要とするであろう。極紫外線(EUV)露光は、7nmノード以上の必要な臨界寸法(CD)ターゲットを達成するための単一露光リソグラフィの選択方法となることが期待されている。残念ながら、EUVリソグラフィは、強力な放射線源の欠如、確率論的効果、および接着の問題を含む多くの問題によって妨げられてきた。
カーボン含有層、シリコン含有層、およびフォトレジストを含有する従来の3層スタックはしばしば、フォトレジストとシリコン下地層との間で接着不良が生じる。この接着不良はしばしば、特に、より低い限界寸法(CD)において、パターン化されたレジストの著しい倒れをもたらす。
1つのアプローチとしては、その比較的高いカーボン含有量により、フォトレジストに対してより良好な接着性を提供するスピンオンシリコンハードマスクを適用することであった。接着性の改善に対する1つの重要な妥協としては、シリコンハードマスク(Si−HM)層におけるより低いシリコン含有量の結果としてのCFエッチング率の大幅な減少である。
本発明は、広く、構造体を形成する方法を提供し、該方法は、表面上に1つ以上の中間層を任意的に含む基板を提供することを含む。接着層は、基板上に、または存在する場合には、1つ以上の中間層上に形成される。接着層は、単分子層よりも厚いが9nm未満の平均厚さと、100wt%とした接着層の合計重量に対して、約0.001wt%未満の金属含有量とを有する。フォトレジスト層は、接着層上に形成され、フォトレジスト層の少なくとも一部は、EUV放射にさらされる。
別の実施形態では、本発明は、構造体を形成する方法を提供し、該方法は、表面上に1つ以上の中間層を任意的に含む基板を提供することを含む。接着層は、基板上に、または存在する場合には、1つ以上の中間層上に形成される。接着層は、非導電性であり、単分子層よりも大きいが9nm未満の平均厚さを有する。フォトレジスト層は、前記接着層上に形成され、フォトレジスト層の少なくとも一部は、EUV放射にさらされる。
図1は、実施例2の母液2のHPLC特性化を示すグラフである。 図2は、実施例2の最終配合のHPLC特性化を示すグラフである。 図3は、実施例2からの材料の膜厚および均一性を示す画像である。 図4は、実施例11からのリソグラフィスタックおよびリソグラフィ結果の画像である。
好ましい実施形態の詳細な説明
本発明の方法
より詳細には、本発明は、EUV(すなわち、13.5nm)リソグラフィに特に適したマイクロ電子構造体を形成する方法を提供する。本発明の方法では、表面を有する基板が提供される。任意のマイクロ電子基板を利用することができる。基板は、シリコン、SiGe、SiO、Si、SiON、アルミニウム、タングステン、タングステンシリサイド、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、サンゴ、ブラックダイヤモンド、ガラス、またはそれらの混合物などの半導体基板であることが好ましい。任意の中間層は、処理前に基板上に形成されてもよい。基板は、平坦面を有することができ、またはトポグラフィ機構(ビアホール、トレンチ、コンタクトホール、隆起機構、ラインなど)を含むことができる。本明細書で用いられる「トポグラフィ」は、基板表面内または基板表面上の構造体の高さまたは深さを指す。
カーボンリッチ層は、基板または任意の中間層上に形成されてもよい。カーボンリッチ層は、任意の既知の塗布方法によって形成することができ、1つの好ましい方法としては、約1,000〜約5,000rpm、好ましくは約1,250〜約1,750rpmの速度で、約30〜約120秒間、好ましくは約45〜75秒間スピンコーティングすることである。用語「カーボンリッチ」は、100wt%とした組成物中の全固形分に対して、約50wt%を超えるカーボン、好ましくは約70wt%を超えるカーボン、より好ましくは約75〜約80wt%のカーボンを含む組成物から形成される層を指す。適切なカーボンリッチ層は、スピンオンカーボン層(SOC)、非晶質カーボン層、およびカーボン平坦化層からなる群から選択される。
例示的なカーボンリッチ層は、一般的に、以下の任意の成分と共に、溶媒系に溶解または分散されたポリマーを損なうであろう:酸および/または塩基クエンチャ、触媒、架橋剤、および表面改質添加剤。好ましい組成物は、厚い層を形成するのに適しており、100wt%とした組成物の合計重量に対して、好ましくは約0.1wt%〜約70wt%、より好ましくは約1wt%〜約5wt%、さらに好ましくは約1wt%〜約3wt%の固形分を有する。カーボンリッチ組成物は、塗布された後に、溶媒を蒸発させるために、約100℃〜約400℃、より好ましくは約160℃〜約350℃の温度に、約30秒間〜約120秒間、好ましくは約45秒間〜約60秒間加熱されることが好ましい。ベーク後のカーボンリッチ層の厚さは、好ましくは約10nm〜約120nm、より好ましくは約20nm〜約100nm、さらにより好ましくは約40nm〜約60nmである。カーボンリッチ層は、化学気相堆積法(CVD)、プラズマ化学気相堆積法(PECVD)、原子層堆積法(ALD)、またはプラズマ原子層堆積法(PEALD)などの他の既知の塗布方法によって形成されてもよい。
ハードマスク層は、カーボンリッチ材料、基板、または任意の中間層に隣接して塗布されてもよい。ハードマスク層は、化学気相堆積法(CVD)またはプラズマ化学気相堆積法(PECVD)などの任意の既知の塗布方法によって形成することができる。別の好ましい方法としては、約1,000〜約5,000rpm、好ましくは約1,250〜約1,750rpmの速度で、約30〜約120秒間、好ましくは約45〜約75秒間スピンコーティングすることである。適切なハードマスク層は、好ましくは、シラン、シロキサン、シルセスキオキサン、酸窒化シリコン、窒化シリコン、ポリシリコン、非晶質シリコン、または下層に対して高いエッチングバイアスを有する任意の層からなる群から選択される高シリコン含有材料である。例示的なハードマスク層は、一般的に、以下の任意の成分と共に、溶媒系に溶解または分散されたポリマーを損なうであろう:界面活性剤、酸または塩基触媒、および架橋剤。好ましい組成物は、100wt%とした組成物の合計重量に対して、好ましくは約0.1wt%〜約70wt%、より好ましくは約0.5wt%〜約10wt%、さらにより好ましくは約0.5wt%〜約1wt%の固形分を有するであろう。ハードマスクは、塗布後に、溶媒を蒸発させるために、好ましくは約100℃〜約300℃、より好ましくは約150℃〜約250℃の温度に、約30秒間〜約120秒間、好ましくは約45秒間〜約60秒間加熱される。ベーク後のハードマスク層の厚さは、好ましくは約5nm〜約50,000nm、より好ましくは約5nm〜約1,000nm、さらにより好ましくは約10nm〜約30nmである。ハードマスク層は、フッ素リッチプラズマ雰囲気におけるフォトレジストのエッチング速度の少なくとも0.75倍のエッチング速度を有し、酸素リッチプラズマエッチング雰囲気におけるカーボンリッチ層の少なくとも5倍遅いエッチング速度を有するはずである。
いくつかの市販のハードマスク層を使用することができる。他の好ましいハードマスク層は、フェネチルトリメトキシシラン(PETMS)、2-(カルボメトキシ)エチルトリメトキシシラン(CMETMS)、テトラエトキシシラン(TEOS)、メチルトリメトキシシラン、フェニルトリメトキシシラン、メチルトリメトキシシラン(MTMS)、エチルトリメトキシシラン(ETMS)、(3-グリシジオキシプロピル)トリエトキシシラン、および2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン(ECHTMS)を含む群から選択されるモノマーの共重合体を含む。
次に、本発明による接着層を形成するのに有用な組成物を、基板、カーボンリッチ層、ハードマスク、または他の中間層に塗布して、フォトレジストの下に層を形成する。好ましくは、接着層は、ハードマスクに直接塗布される。組成物は、任意の既知の塗布方法によって塗布することができ、1つの好ましい方法としては、好ましくは約1,000〜約5,000rpm、より好ましくは約1,250〜約1,750rpmの速度で、好ましくは約30〜約120秒間、より好ましくは約45〜約75秒間、組成物をスピンコーティングすることである。次に、接着層をベークして、組成物の熱架橋を誘発し、硬化層を形成する。好ましいベーク条件は、約30秒間〜約120秒間、好ましくは約45秒間〜約60秒間、好ましくは約100℃〜約300℃、より好ましくは約150℃〜約250℃の温度を含む。
ベーク後の接着層の平均厚さは、単分子層よりも大きい(すなわち、分子または原子の単一層よりも大きい)が9nm未満、好ましくは約1nm〜9nm、より好ましくは約2nm〜約6nm、さらにより好ましくは約4nm〜約5nmである。基板の表面がトポグラフィを含む場合、接着層は、好ましくは、基板のトポグラフィを実質的に覆うのに十分な厚さで塗布される。
本発明の接着層は、低い金属含有量を有するであろう。好ましい実施形態では、金属含有量は、100wtとした接着層の合計重量に対して、約0.005wt%未満、好ましくは約0.001wt%未満、より好ましくは約0wt%である。接着層は、非導電層であることがさらに好ましい。
本発明の接着層の所望の接触角は、用途に依存することが理解されるであろう。ベーク後の接着層の水接触角は、好ましくは約50°〜約95°である。ネガ型現像用途では、ベーク後の接着層の接触角は、水を用いて測定した場合、好ましくは約55°〜約70°である。ポジ型現像用途は、約70°〜約90°のような、より高い水接触角を必要とする場合がある。水接触角は、そのための任意の従来の装置で測定することができ、VCA−3000S Wafer System(AST Products、ビレリカ、マサチューセッツ州)は、この測定に適した装置の1つの例である。
硬化接着層は、乳酸エチル(EL)、プロピレングリコールメチルエーテルアセテート(PGMEA)、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールn-プロピルエーテル(PnP)、シクロヘキサノン、アセトン、ガンマブチロラクトン(GBL)、およびそれらの混合物などの典型的な有機溶媒に実質的に不溶であろう。したがって、剥離試験に供される場合、硬化接着層は、約5%未満、好ましくは約1%未満、より好ましくは約0%の剥離率を有するであろう。剥離試験は、最初に、硬化層の厚さを(5つの異なる位置での測定値の平均をとることによって)求めることを含む。この平均が、初期平均膜厚である。次に、溶媒(例えば、乳酸エチル)を、硬化膜上に約20秒間浸し、続いて、約3,000rpmで約30秒間スピン乾燥させ、溶媒を除去する。厚さは、偏光解析法を用いてウェハ上の5つの異なる点で再度測定し、これらの測定値の平均を求める。この平均が、最終平均膜厚である。
剥離量は、初期平均膜厚と最終平均膜厚の差である。剥離率は、以下の通りである。
Figure 2021527949
接着層が硬化した後、EUVフォトレジスト(すなわち、画像形成層)を接着層に塗布して、フォトレジスト層を形成することができる。任意の市販のEUVフォトレジストを利用することができる。一実施形態では、フォトレジストは、化学増幅型レジスト(CAR)である。別の実施形態では、フォトレジストは、非化学増幅型レジストである。一実施形態では、選択される非化学増幅型レジストは、チタン、亜鉛、スズ、ハフニウム、ジルコニウム、インジウム、バナジウム、コバルト、モリブデン、タングステン、アルミニウム、ガリウム、シリコン、ゲルマニウム、リン、ヒ素、イットリウム、ランタン、セリウム、ルテチウム、およびそれらの混合物からなる群から選択されるものなどの金属を含む。別の実施形態では、金属は、フォトレジスト組成物中の金属酸化物または有機金属化合物の一部として提供される。適切なEUVフォトレジストの例としては、JSR、TOK、Sumitomo、Shin Etsu、FujiFilm、Inpria、Irresistible Materials、およびZeonを含む供給業者から入手可能なものが挙げられる。特に好ましい実施形態では、上述のような金属含有フォトレジストは、本発明の接着層および中間層としてのスピンオンカーボン層と組み合わせて使用される。
別の実施形態では、選択されるフォトレジストは、金属を含まない(すなわち、本質的に金属を含まない、または好ましくは完全に金属を含まない)。より詳細には、利用されるフォトレジスト組成物および形成される最終的なフォトレジスト層はそれぞれ、100wt%としたフォトレジスト組成物または層の合計重量に対して、約0.5wt%未満の金属、好ましくは約0.1wt%未満の金属、より好ましくは約0wt%の金属を含む。
フォトレジストの種類にかかわらず、フォトレジスト層は、任意の従来の方法によって形成することができ、1つの好ましい方法としては、約350rpm〜約4,000rpm(好ましくは約1,000rpm〜約2,500rpm)の速度で、約10秒間〜約60秒間(好ましくは約10秒間〜約30秒間)フォトレジスト組成物をスピンコートすることである。次に、フォトレジスト層は、少なくとも約45℃、好ましくは約80℃〜約250℃、より好ましくは約100℃〜約150℃の温度で、約20秒間〜約30分間、より好ましくは約30秒間〜約20分間、任意的に塗布後ベーク(「PAB」)される。ベーク後のフォトレジスト層の厚さは、典型的には、約5nm〜約200nm、好ましくは約10nm〜約50nm、より好ましくは約20nm〜約40nmであろう。
フォトレジスト層は、その後、約5mJ/cm〜約100mJ/cm、好ましくは約10mJ/cm〜約80 mJ/cm、より好ましくは約20mJ/cm〜約60mJ/cmの線量のEUV放射への露光によりパターン化される。より具体的には、フォトレジスト層は、フォトレジスト層の表面上方に配置されたマスクを使用して露光される。マスクは、EUV放射がマスクから反射し、フォトレジスト層の表面に接触することができるように設計された領域を有する。マスクの残りの部分は、放射が特定の領域でフォトレジスト層の表面に接触するのを防止するために光を吸収するように設計される。当業者であれば、反射部分および吸収部分の配置が、フォトレジスト層、および最終的には基板または任意の中間層に形成される所望のパターンに基づいて設計されることを容易に理解するであろう。
EUV露光後、フォトレジスト層は、少なくとも約45℃、好ましくは約80℃〜約250℃、より好ましくは約100℃〜約150℃の温度で、約20秒間〜約30分間、より好ましくは約30秒間〜約20分間、露光後ベーク(「PEB」)に供される。
次に、フォトレジスト層を現像液と接触させてパターンを形成する。使用されるフォトレジストがポジ型であるかネガ型であるかに応じて、現像液は、フォトレジスト層の露光部分を除去するか、またはフォトレジスト層の未露光部分を除去してパターンを形成するであろう。次に、パターンは、接着層、任意の存在する中間層(例えば、ハードマスク層、スピンオンカーボン層、Si含有ハードマスク+スピンオンカーボン層の組み合わせなど)、および最後に基板に転写される。このパターン転写は、プラズマエッチング(例えば、CFエッチング液、Oエッチング液)またはウェットエッチングまたは現像処理を介して行うことができる。パターンがエッチングによってフォトレジスト層から基板に転写される実施形態では、典型的なEUVフォトレジスト(例えば、有機、金属酸化物、または有機金属フォトレジスト)に対する接着層のエッチング速度は、少なくとも約1×、好ましくは約1.5×〜約2×であることが好ましい。
一実施形態では、利用される接着層は、現像液可溶性であり得る。本明細書で用いられる「現像液可溶性」または「ウェット現像可能」は、EUV放射に露光された接着層の部分が、テトラメチルアンモニウムヒドロキシド(TMAH)現像液などの従来の水性現像液で実質的に除去され得ることを意味する。フォトレジスト層の露光部分の下の接着層の露光部分は、フォトレジスト層が除去されるにつれて現像液によって除去され、フォトレジスト層およびフォトレジストの下の層に所望のパターンが形成される。パターンは、ビアホール、トレンチ、ライン、空間、ピラーなどであり、最終的には、エッチングまたはイオン注入プロセスを用いて基板に転写されるであろう。好ましくは、接着層の露光部分の少なくとも約95%は、現像液によって除去され、より好ましくは少なくとも約99%、さらにより好ましくは約100%が除去されるであろう。適切な現像液は、有機または無機アルカリ性溶液、例えば、TMAHであるが、これに限定されず、好ましくは0.26N以下の濃度のTMAHの水溶液を含む。好ましくは、0.26N TMAH現像液中の接着層の溶解速度は、約100nm/s〜約1,000nm/s、さらにより好ましくは500nm/s〜約1,000nm/sであろう。次に、従来のエッチング、金属化等をパターン化されたスタックに対して行い、デバイス製造を完成させることができる。
パターン転写がエッチングによって行われるか、現像によって行われるかにかかわらず、結果として得られる機構は、高い解像度を有する。例えば、本発明の方法では、約40nm未満のハーフピッチ、好ましくは30nm未満のハーフピッチの解像度を達成することができる。有利には、本発明の接着層はまた、最終的な機構の倒れマージンを改善する。倒れマージンは、線量からサイズまでの線量範囲、および構造体が静止している線量である。
本発明の組成物
本発明の組成物は、溶媒系に分散または溶解されたポリマーまたは小分子および任意の架橋剤を含む。本発明の組成物はまた、界面活性剤、酸、酸触媒、塩基、塩基触媒、ポリマー、触媒、添加剤、およびそれらの混合物からなる群から選択されるものなどの任意の成分を含有してもよい。接着層の組成物は、使用されるフォトレジストと適合するように選択されるべきであることが理解されるであろう。
適切なポリマーおよび/または小分子には、アクリレート、メタクリレート、アクリル酸、スチレン、ビニル、エポキシ、ノボラック、シラン、シアヌレート、分子性ガラス、およびそれらの混合物のポリマーおよび小分子が含まれる。特に好ましいポリマーは、ビニルモノマー、アクリルモノマー、およびスチレンモノマーを含む群から選択されるモノマーを含む。ビニルモノマーは、好ましくはアクリル酸グリシジル、メタクリル酸グリシジル、およびそれらの組み合わせからなる群から選択される。架橋剤を使用する場合、アクリルモノマーは、好ましくは、2−ヒドロキシ−3−フェノキシプロピルアクリレート(HPPA)、ヒドロキシプロピルメタクリレート(HPM)、2−ヒドロキシエチルメタクリレート(HEMA)、2−ヒドロキシエチルアクリレート(HEA)、tert−ブチルメタクリレート、およびそれらの混合物からなる群より選択されるアミノプラスト反応性モノマーである。1つの特に好ましいポリマーは、グリシジルメタクリレートとヒドロキシプロピルメタクリレートとの共重合体である:
Figure 2021527949
この実施形態では、グリシジルメタクリレートは、モノマー単位の少なくとも約30モルパーセント、より好ましくはモノマー単位の40モルパーセントを含むはずである。ポリマーの数平均分子量(Mn)は、好ましくは約2,000〜約30,000g/mol、より好ましくは約10,000〜約25,000g/molである。ポリマーの重量平均分子量(Mw)範囲は、好ましくは約5,000〜100,000g/mol、より好ましくは約30,000〜約70,000g/molである。ポリマーは、好ましくは、100wt%とした組成物中の固形分の合計重量に対して、約50wt%〜約90wt%、好ましくは約60wt%〜約80wt%のレベルで組成物中に存在する。
小分子を使用する場合、1つの特に好ましい小分子は、トリス(2,3−エポキシプロピル)イソシアヌレート(TEPIC-S、Nissan Chemical America Corporationから入手可能)である。小分子は、好ましくは、100wt%とした組成物中の固形分の合計重量に対して、約40wt%〜約90wt%、好ましくは約60wt%〜約80wt%のレベルで組成物中に存在する。
一実施形態では、ビニルモノマーを含むポリマーまたは小分子は、官能化カルボン酸部分でグラフトされる。適切な部分としては、9−アントラセンカルボン酸などの発色団;酢酸および酪酸などのアルキル(好ましくはC〜C、より好ましくはC〜C)カルボン酸;安息香酸、4-シアノ安息香酸、および4-ヒドロキシ安息香酸などの芳香族カルボン酸;グリコール酸エーテルなどのエーテル;およびそれらの混合物が挙げられるが、これらに限定されない。ポリマーは、触媒の存在下で、溶媒中でポリマーを官能化カルボン酸と反応させることによって官能化される。適切な反応触媒としては、ベンジルトリエチルアンモニウムクロリド(BTEAC)およびテトラブチルホスホニウムブロミドが挙げられるが、これらに限定されない。反応中、カルボン酸官能基は、ポリマーのエポキシ基とグラフトする。好ましくは、ポリマー活性部位は、約20%〜約100%グラフトされ、より好ましくは約40%〜約100%グラフトされる。グラフトされたポリマーの例を以下に示すが、モノマー比は、例示的である。
Figure 2021527949
別の実施形態では、ポリマーまたは小分子は、官能化カルボン酸部分と物理的に混合される。適切な部分としては、9−アントラセンカルボン酸などの発色団;酢酸および酪酸などのアルキル(好ましくはC〜C、より好ましくはC〜C)カルボン酸;安息香酸、4-シアノ安息香酸、および4-ヒドロキシ安息香酸などの芳香族カルボン酸;グリコール酸エーテルなどのエーテル;およびそれらの混合物が挙げられるが、これらに限定されない。
好ましい架橋剤は、ビニルエーテル架橋剤、アミノプラスト、エポキシ、およびそれらの混合物からなる群から選択される。市販のビニルエーテルの例としては、商標名VECTomer(商標)(アルドリッチ社、セントルイス、ミズーリ州)で販売されているものが挙げられる。市販のアミノプラストの例としては、Powderlink(登録商標)、Cymel(登録商標)303、およびCymel(登録商標)1170の名称で販売されているものが挙げられる。Cymel(登録商標)1170は、以下の構造を有する:
Figure 2021527949
適切なエポキシの例としては、Huntsman Advanced MaterialsからAraldite(登録商標)(例えば、MY720四官能性エポキシ樹脂)の名称で入手可能なものが挙げられる。
利用する場合、架橋剤は、好ましくは、100wt%とした組成物中の固形分の合計重量に対して、約10wt%〜約50wt%、好ましくは約25wt%〜約45wt%のレベルで組成物中に存在する。
いくつかの実施形態では、触媒が利用される。好ましくは、触媒は、接着層組成物中に単に混合される。好ましい触媒としては、5−スルホサリチル酸、第四級アンモニウムブロックトリフリック酸(K−Pure TAG2689の名称で販売されているものなど)、スルホン酸(例えば、p−トルエンスルホン酸、スチレンスルホン酸)、スルホネート(例えば、ピリジニウムp−トルエンスルホネート、ピリジニウムトリフルオロメタンスルホネート、ピリジニウム3−ニトロベンゼンスルホネート)、およびそれらの組み合わせからなる群より選択されるものが含まれるが、これらに限定されない。触媒は、100wt%とした組成物中の固形分の合計重量に対して、約0.01wt%〜約0.05wt%、好ましくは約0.01wt%〜約0.02wt%のレベルで組成物中に存在するはずである。
いくつかの実施形態では、PAGが利用される。好ましくは、PAGは、ポリマーまたは小分子に付着せず、代わりに単に接着層組成物に混合される。好ましいPAGとしては、オニウム塩(例えば、TPSノナフラートなどのトリフェニルスルホニウムパーフルオロスルホン酸、TPSトリフラート、およびトリス(4−tert−ブチルフェニル)スルホニウムパーフルオロ−1−ブタンスルホネート(アルキル置換TPSノナフラート)などの置換形態、すべてシグマアルドリッチ社から入手可能);オキシム−スルホネート(例えば、CIBAによってCGI(登録商標)という名称で販売されているもの);トリアジン(例えば、みどり化学株式会社から入手可能であるTAZ-108(登録商標));およびそれらの組み合わせからなる群から選択されるものが挙げられるが、これらに限定されない。PAGは、100wt%とした組成物中の固形分の合計重量に対して、約0.001wt%〜約0.030wt%、好ましくは約0.005wt%〜約0.015wt%のレベルで組成物中に存在するはずである。
別の実施形態では、接着層組成物は、PAGなどの酸発生剤を実質的に含まない。すなわち、接着層組成物は、100wt%とした組成物中の固形分の合計重量に対して、約0.001wt%未満のPAG、および好ましくは約0wt%のPAGを含むであろう。
いくつかの実施形態では、添加剤が利用される。好ましくは、添加剤は、接着層組成物に単に混合される。好ましい添加剤としては、1,1,1−トリス(4−ヒドロキシフェニル)エタン(THPE)、界面活性剤、およびそれらの組み合わせからなる群から選択されるものが挙げられるが、これらに限定されない。添加剤は、100重量%とした組成物中の固形分の合計重量に対して、約0wt%〜約0.1wt%、好ましくは約0.01wt%〜約0.05wt%のレベルで組成物中に存在するはずである。
好ましい実施形態では、接着層組成物は、本質的に金属を含まない。すなわち、組成物の金属含有量は、100wt%とした組成物中の固形分の合計重量に対して、約0.005wt%未満、好ましくは約0.001wt%未満、より好ましくは約0wt%である。
別の好ましい実施形態では、接着層組成物は、本質的にシリコンを含まない。すなわち、組成物のシリコン含有量は、100wt%とした組成物中の固形分の合計重量に対して、約1wt%未満、好ましくは約0.5wt%未満、より好ましくは約0.1wt%未満、さらにより好ましくは約0wt%である。
好ましい溶媒系は、PGMEA、PGME、PnP、EL、シクロヘキサノン、GBL、メチルイソブチルカルビノール、PGEE、およびそれらの混合物からなる群より選択される溶媒を含む。好ましくは、溶媒系は、約70℃〜約200℃、より好ましくは約100℃〜約150℃の沸点を有する。溶媒系は、100wt%とした組成物の合計重量に対して、好ましくは約98wt%〜約99.99wt%、より好ましくは約99wt%〜99.9wt%、さらにより好ましくは約99.3wt%〜約99.8wt%のレベルで利用される。接着層を形成するために使用される組成物は、100重量%とした組成物の合計重量に対して、好ましくは約0.1wt%〜約1wt%の固形分、より好ましくは約0.1wt%〜約0.8wt%の固形分、さらに好ましくは約0.1wt%〜約0.5wt%の固形分を含むであろう。
上記成分を溶媒系中で共に混合することにより、接着層組成物が形成される。さらに、任意の成分(例えば、界面活性剤)もまた、同時に溶媒系中に分散される。
本発明の方法において接着層として使用することができる他の組成物は、米国特許第8,257,910号および第8,895,230号に記載されており、これらの各々は、参照により本明細書に援用する。
実施例
実施例1
酢酸グラフトポリマーの合成および配合
この実施例では、1.9グラムの氷酢酸(Spectrum Chemical Mfg. Corp.、ガーデナ、カリフォルニア州)および15グラムのグリシジルメタクリレートおよびヒドロキシプロピルメタクリレート(GMA−HPMA)コポリマー(PGME中20%、大阪、日本)を、丸底フラスコ内に測り取り、撹拌を開始した。撹拌しながら、0.18グラムの塩化ベンジルトリエチルアンモニウム(BTEAC)を添加した。次に、2.8グラムのPGME(KMG Electronic Chemicals、フォートワース、テキサス州)を添加し、これを用いて側面をリンスした。丸底フラスコに凝縮器およびN供給口を取り付けた。反応物を110℃に16時間加熱して、母液1を生成した。
母液1(0.532グラム)、0.3グラムのCymel(登録商標)1170、0.02グラムの5−スルホサリチル酸(5−SSA、King Industries Speciality Chemicals、ノーウォーク、コネチカット州)、0.03グラムの1,1,1−トリス(4−ヒドロキシフェニル)エタン(THPE、Hereaus、ヴァンダリア、オハイオ州)、および0.009グラムのTPS-C1を、74.73グラムのPGME(KMG Electronic Chemicals、フォートワース、テキサス州)および174.37gのPGMEA(KMG Electronic Chemicals、フォートワース、テキサス州)に溶解し、混合ホイール上で数時間混合した。
実施例2
酪酸グラフトポリマーの合成および配合
この手順では、2.79グラムの酪酸(シグマアルドリッチ社、セントルイス、ミズーリ州)および15グラムのGMA−HPMA共重合体(PGME中20%)を丸底フラスコ内に測り取り、撹拌を開始した。撹拌しながら、0.18グラムのBTEACを添加した。5.34グラムのPGMEを添加し、これを用いて側面をリンスした。丸底フラスコに凝縮器およびN供給口を取り付けた。反応物を110℃に16時間加熱して、母液2を生成した。
次に、0.549グラムの母液2、0.3グラムのCymel(登録商標)1170、0.02グラムの5−SSA、0.03グラムのTHPE、および0.009グラムのTPS-C1を、74.72グラムのPGMEおよび174.37グラムのPGMEAに溶解し、混合ホイール上で数時間混合した。
実施例3
2−(2−メトキシエトキシ)酢酸グラフトポリマーの合成および配合
この実施例では、2.79グラムの2−(2−メトキシエトキシ)酢酸(シグマアルドリッチ社、セントルイス、ミズーリ州)および15グラムのGMA−HPMA共重合体(PGME中20%)を丸底フラスコ内に測り取り、撹拌を開始した。撹拌しながら、0.18グラムのBTEACを添加し、その後、9.49グラムのPGMEを添加し、これを用いて側面をリンスした。丸底フラスコに凝縮器およびN供給口を取り付けた。反応物を110℃に16時間加熱して、母液3を生成した。
次に、0.534グラムの母液3、0.3グラムのCymel(登録商標)1170、0.02グラムの5−SSA、0.03グラムのTHPE、および0.009グラムのTPS-C1を、74.73グラムのPGMEおよび174.37グラムのPGMEAに溶解し、混合ホイール上で数時間混合した。
実施例4
2−[2−(2−メトキシエトキシ)エトキシ]の合成と配合
酢酸グラフトポリマー
この手順では、5.65グラムの2−(2−メトキシエトキシ)酢酸(シグマアルドリッチ社、セントルイス、ミズーリ州)および15グラムのGMA−HPMA共重合体(PGME中20%)を丸底フラスコ内に測り取り、撹拌を開始した。撹拌しながら、0.18グラムのBTEACを添加し、その後、13.48グラムのPGMEを添加し、これを使用して側面をリンスした。丸底フラスコに凝縮器およびN供給口を取り付けた。反応物を110℃に16時間加熱して、母液4を生成した。
次に、0.59グラムの母液4、0.3グラムのCymel(登録商標)1170、0.02グラムの5−SSA、0.03グラムのTHPE、および0.01グラムのTPS-C1を、74.7グラムのPGMEおよび174.3グラムのPGMEAに溶解し、混合ホイール上で数時間混合した。
実施例5
9−アントラセンカルボン酸グラフトポリマーの合成と配合
この実施例では、3.28グラムの9−アントラセンカルボン酸(PCAS Canada、ケベック州)および34.26グラムのGMA−HPMA共重合体(PGME中20%)を丸底フラスコ内に測り取り、撹拌を開始した。撹拌しながら、0.08グラムのBTEACを添加し、その後、2.33グラムのPGMEを添加し、これを使用して側面をリンスした。丸底フラスコに凝縮器およびN供給口を取り付けた。反応物を116℃に24時間加熱して、母液5を生成した。
次に、0.30グラムの母液5、0.18グラムのCymel(登録商標)1170、0.003グラムの5−SSA、0.02グラムのTHPE、および0.01グラムのTPS-C1を、74.85グラムのPGMEおよび174.65グラムのPGMEAに溶解し、混合ホイール上で数時間混合した。
実施例6
スチレン−グリシジルメタクリレートポリマーの合成と配合
この実施例では、20.46グラムのグリシジルメタクリレート(シグマアルドリッチ社、セントルイス、ミズーリ州)、60グラムのスチレン(シグマアルドリッチ社、セントルイス、ミズーリ州)、および0.234グラムのAIBN(Charkit、ノーウォーク、コネチカット州)を、丸底フラスコ内に測り取り、窒素でパージした。反応物を80℃に2時間加熱した。メタノール中に沈殿させることによって反応物を急冷し、固体を集めて母液6を生成した。
次に、4.09グラムの母液6および0.007グラムのTAG2689(King Industries、ノーウォーク、コネチカット州)を、14.96グラムのPGME(KMG Electronic Chemicals、フォートワース、テキサス州)および130.95グラムのPGMEA(KMG Electronic Chemicals、フォートワース、テキサス州)に溶解し、混合ホイール上で数時間混合した。
実施例7
高分子の合成と配合
この手順において、17.288グラムのトリス(2,3−エポキシプロピル)イソシアヌレート(TEPIC−S、Nissan Chemical America Corp.、東京、日本)、0.242グラムの臭化テトロブチルホスホニウム(日産化学株式会社、東京、日本)、5.176グラムの4-シアノ安息香酸(三京化成株式会社、大阪、日本)、および15.544グラムの4-ヒドロキシ安息香酸(三京化成株式会社、大阪、日本)を、丸底フラスコ内に測り取り、46.65グラムのPGME(KMG Electronic Chemicals、フォートワース、テキサス州)に溶解した。反応物を撹拌しながら116℃に18時間加熱して、母液7を生成した。
次に、0.196グラムの母液7、0.098グラムのCymel(登録商標)1170(Heraeus、ヴァンダリア、オハイオ州)、および0.006グラムのピリジニウムパラトルエンスルホネート(Millipore Sigma、ダルムシュタット、ドイツ)を、19.94グラムのPGME(KMG Electronic Chemicals、フォートワース、テキサス州)および79.760グラムのPGMEA(KMG Electronic Chemicals、フォートワース、テキサス州)に溶解し、混合ホイール上で数時間混合した。
実施例8
エステルポリマーの合成および配合
この実施例では、10.28グラムのMA-DGIC(四国、徳島、日本)、5.106グラムのフマル酸(テート&ライル、ベッドフォードパーク、イリノイ州)、0.200グラムのヒドロキノン(シグマアルドリッチ社、セントルイス、ミズーリ州)、および0.414グラムの塩化ベンジルトリエチルアンモニウム(アルファ・エイサー社)を丸底フラスコ内に測り取り、64.00グラムのPGME(KMG Electronic Chemicals、フォートワース、テキサス州)に溶解した。反応物を110℃に8時間加熱して、母液8を生成した。
次に、0.1395グラムの母液8、0.0349グラムのPowderlink(登録商標)(Heraeus、ヴァンダリア、オハイオ州)、および0.0007グラムの5−スルホサリチル酸(5−SSA、King Industries Specialty Chemicals、ノーウォーク、コネチカット州)を、69.877グラムのPGME(KMG Electronic Chemicals、フォートワース、テキサス州)および29.947グラムのPGMEA(KMG Electronic Chemicals、フォートワース、テキサス州)に溶解し、混合ホイール上で数時間混合した。
実施例9
材料特性化
ポリマーを、厚い移動相またはTHF移動相を使用してMWおよび多分散性、およびHPLCについて特徴化した。結果を表1に示す。配合もまた、HPLCによっても特徴化された。図1は、実施例2で合成した母液のHPLCを示し、図2は、実施例2からの最終配合のHPLCを示す。
Figure 2021527949
表1 分子量および多分散性
膜厚は、M2000エリプソメータを用いて測定された。図3は、実施例2で配合した材料の膜厚プロファイルを示す。
実施例10
リソグラフィ結果
実施例5からの材料を、1,241rpmで30秒間スピンコーティングし、205℃で60秒間ベークすることによって、ハードマスク(実験的なグラフトシルセスキオキサンハードマスク、ブルーワーサイエンス、ローラ、ミズーリ州)上にスピンコーティングして、5nmの膜を形成した。次に、レジスト(JSR J3030、JSR Microから入手可能)を、1,931rpmで26秒間スピンコーティングすることによってコーティングし、次いで130℃で60秒間ベークして30nmの被膜を形成した。次に、表2に示すパラメータを用いてレジストを露光した。使用したレジストおよび画像形成プロセスもまた、表2に示す。NXE3300 EUVスキャナ(ASMLから入手可能)を画像形成工程に使用し、Pro Zトラック(東京エレクトロン株式会社(TEL)から入手可能)をウェハプロセスに使用した。表3は、レジストの下のSOCおよびHMのみを使用したリソグラフィ品質(表3の上半分)と、レジストの下に本発明の接着層を添加したSOCおよびHM(表3の下半分)との比較を示す。接着層の使用は、プロセスウィンドウを著しく広げた。
Figure 2021527949
表2 EUVリソグラフィに使用する条件
Figure 2021527949
表3 リソグラフィ結果
表3は、実施例5からの材料についての線量−焦点マトリックスを示す。x軸(すなわち、上の見出し)は、線量(mJ/cm)を示し、y軸(すなわち、左端の列)は、焦点範囲(μm)を示す。「ブリッジング」および「倒れ」はそれぞれ、セル内のドットまたは水平線で表され、一方、セル内の垂直線は、ターゲットCD範囲の10%以内であったものを表す。表3の下の凡例を参照されたい。数字を有するが、塗りつぶしまたは陰影がないセル(すなわち、白い背景を有する数字)は、ブリッジングまたは倒れを有さないサンプルを表す。言い換えれば、機構およびサイズは理想的であった。数字のない白色のセルは、試験を行わなかった点を表す。黒色の背景を有するセルは、試験マトリックスの外側にあった。
表3に記載される結果によって示されるように、本発明のEUV下層を含まないが他の点では同一のプロセスと比較して、本発明のEUV下層が使用される場合、プロセスウィンドウは、2倍以上であった(数字はあるが陰影または塗りつぶしのないセルを参照)。
実施例11
スピンオンカーボン層に塗布される下地層
高温スピンオンカーボン材料を二つのシリコンウェハに適用した。実施例5からの材料を、ウェハのうちの1つの上のスピンオンカーボン材料上にスピンコーティングした。Inpriaからの金属含有EUVフォトレジストを、両ウェハ上にコーティングし、EUVリソグラフィを用いて両ウェハをパターン化した。図4に示すように、実施例5からの下地層を用いたプロセス(右側の画像)では、ラインブリッジングまたは倒れは見られなかったが、下地層を用いないプロセス(左側の画像)では、12nmの機構サイズに対してブリッジングまたはライン倒れが見られた。

Claims (39)

  1. 構造体を形成する方法であって、
    基板を準備する工程であって、前記基板が、任意的に、その上に1つ以上の中間層を含む工程と、
    前記基板上に、または存在する場合には、前記1つ以上の中間層上に接着層を形成する工程であって、前記接着層が、単分子層よりも大きいが9nm未満である平均厚さと、100wt%とした前記接着層の合計重量に対して、約0.001wt%未満の金属含有量とを有する工程と、
    前記接着層上にフォトレジスト層を形成する工程と、
    前記フォトレジスト層の少なくとも一部をEUV放射にさらす工程と、を有する方法。
  2. 前記基板が、シリコン、SiGe、SiO、Si、SiON、アルミニウム、タングステン、タングステンシリサイド、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、サンゴ、ブラックダイヤモンド、ガラス、およびそれらの混合物からなる群から選択される、請求項1に記載の方法。
  3. 前記接着層が、約0wt%の金属含有量を有する、請求項1に記載の方法。
  4. 前記接着層を形成する工程が、
    前記基板上、または存在する場合には、前記1つ以上の中間層上に組成物をスピンコーティングする工程と、
    前記組成物をベークして前記接着層を形成する工程と、を有する請求項1に記載の方法。
  5. 前記組成物が、アクリレート、メタクリレート、アクリル酸、スチレン、ビニル、エポキシ、ノボラック、シラン、シアヌレート、分子性ガラス、およびそれらの混合物のポリマーおよび小分子からなる群から選択されるポリマーおよび/または小分子を含む、請求項4に記載の方法。
  6. 前記組成物が、ビニルモノマー、アクリルモノマー、スチレンモノマー、およびそれらの組み合わせからなる群から選択される繰り返しモノマーを含むポリマーを含む、請求項5に記載の方法。
  7. 前記ビニルモノマーが、グリシジルアクリレート、グリシジルメタクリレート、およびそれらの組み合わせからなる群から選択され、
    前記アクリルモノマーが、2−ヒドロキシ−3−フェノキシプロピルアクリレート、ヒドロキシプロピルメタクリレート、2−ヒドロキシエチルメタクリレート、2−ヒドロキシエチルアクリレート、tert−ブチルメタクリレート、およびそれらの組み合わせからなる群から選択される、請求項6に記載の方法。
  8. 前記ポリマーが、カルボン酸部分を有する発色団、アルキルカルボン酸、芳香族カルボン酸、カルボン酸部分を有するエーテル、およびそれらの組み合わせからなる群から選択される部分でグラフトされた繰り返しビニルモノマーを含む、請求項6に記載の方法。
  9. 前記組成物が、カルボン酸部分を有する発色団、アルキルカルボン酸、芳香族カルボン酸、カルボン酸部分を有するエーテル、およびそれらの組み合わせからなる群より選択される部分を有する化合物をさらに含む、請求項5に記載の方法。
  10. 前記小分子が、トリス(2,3-エポキシプロピル)イソシアヌレートである、請求項5に記載の方法。
  11. 前記フォトレジスト層が、金属を含む、請求項1に記載の方法。
  12. 前記フォトレジスト層が、金属を含まない、請求項1に記載の方法。
  13. 前記フォトレジスト層をEUV放射にさらす工程が、約5mJ/cm〜約100mJ/cmの線量で行われる、請求項1に記載の方法。
  14. 前記フォトレジスト層をEUV放射にさらす工程の後に、前記フォトレジスト層にパターンを形成することをさらに含む、請求項1に記載の方法。
  15. 前記パターンを前記接着層、存在する場合には、前記中間層、および前記基板に転写する工程をさらに含む、請求項14に記載の方法。
  16. 前記フォトレジスト層にパターンを形成する工程が、前記フォトレジスト層を現像液と接触させて、前記フォトレジスト層の一部を除去する工程を含む、請求項15に記載の方法。
  17. 前記パターンを転写する工程が、前記接着層、存在する場合には、中間層、および前記基板をエッチングする工程を含む、請求項16に記載の方法。
  18. 前記パターンが、約40nm未満のハーフピッチの解像度を有する、請求項15に記載の方法。
  19. 中間層が存在し、前記中間層がハードマスク層である、請求項1に記載の方法。
  20. 第2の中間層をさらに含み、前記第2の中間層が、スピンオンカーボン層であり、前記ハードマスク層が、シリコンを含み、前記スピンオンカーボン層と前記接着層との距離よりも、前記接着層に近い距離にある、請求項19に記載の方法。
  21. 中間層が存在し、前記中間層が、スピンオンカーボン層である、請求項11に記載の方法。
  22. 構造体を形成する方法であって、
    基板を準備する工程であって、前記基板が、任意的に、その上に1つ以上の中間層を含む工程と、
    前記基板上に、または存在する場合には、前記1つ以上の中間層上に接着層を形成する工程であって、前記接着層が、非導電性であり、単分子層よりも大きいが9nm未満の平均厚さを有する工程と、
    前記接着層上にフォトレジスト層を形成する工程と、
    前記フォトレジスト層の少なくとも一部をEUV放射にさらす工程と、を有する方法。
  23. 前記基板が、シリコン、SiGe、SiO、Si、SiON、アルミニウム、タングステン、タングステンシリサイド、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、サンゴ、ブラックダイヤモンド、ガラス、およびそれらの混合物からなる群から選択される、請求項22に記載の方法。
  24. 前記接着層を形成する工程が、
    前記基板上、または存在する場合には、前記1つ以上の中間層上に組成物をスピンコーティングする工程と、
    前記組成物をベークして前記接着層を形成する工程と、を有する請求項22に記載の方法。
  25. 前記組成物が、アクリレート、メタクリレート、アクリル酸、スチレン、ビニル、エポキシ、ノボラック、シラン、シアヌレート、分子性ガラス、およびそれらの混合物のポリマーおよび小分子からなる群から選択されるポリマーおよび/または小分子を含む、請求項24に記載の方法。
  26. 前記組成物が、ビニルモノマー、アクリルモノマー、スチレンモノマー、およびそれらの組み合わせからなる群から選択される繰り返しモノマーを含むポリマーを含む、請求項25に記載の方法。
  27. 前記ビニルモノマーが、グリシジルアクリレート、グリシジルメタクリレート、およびそれらの組み合わせからなる群から選択され、
    前記アクリルモノマーが、2−ヒドロキシ−3−フェノキシプロピルアクリレート、ヒドロキシプロピルメタクリレート、2−ヒドロキシエチルメタクリレート、2−ヒドロキシエチルアクリレート、tert−ブチルメタクリレート、およびそれらの組み合わせからなる群から選択される、請求項26に記載の方法。
  28. 前記ポリマーが、カルボン酸部分を有する発色団、アルキルカルボン酸、芳香族カルボン酸、カルボン酸部分を有するエーテル、およびそれらの組み合わせからなる群から選択される部分でグラフトされた繰り返しビニルモノマーを含む、請求項26に記載の方法。
  29. 前記組成物が、カルボン酸部分を有する発色団、アルキルカルボン酸、芳香族カルボン酸、カルボン酸部分を有するエーテル、およびそれらの組み合わせからなる群より選択される部分を有する化合物をさらに含む、請求項25に記載の方法。
  30. 前記小分子が、トリス(2,3-エポキシプロピル)イソシアヌレートである、請求項25に記載の方法。
  31. 前記フォトレジスト層が、金属を含む、請求項22に記載の方法。
  32. 前記フォトレジスト層が、金属を含まない、請求項22に記載の方法。
  33. 前記フォトレジスト層をEUV放射にさらす工程が、約5mJ/cm〜約100mJ/cmの線量で行われる、請求項22に記載の方法。
  34. 前記フォトレジスト層をEUV放射にさらす工程の後に、前記フォトレジスト層にパターンを形成することをさらに含む、請求項22に記載の方法。
  35. 前記パターンを前記接着層、存在する場合には、前記中間層、および前記基板に転写する工程をさらに含む、請求項34に記載の方法。
  36. 前記パターンが、約40nm未満のハーフピッチの解像度を有する、請求項34に記載の方法。
  37. 中間層が存在し、前記中間層がハードマスク層である、請求項22に記載の方法。
  38. 第2の中間層をさらに含み、前記第2の中間層が、スピンオンカーボン層であり、前記ハードマスク層が、シリコンを含み、前記スピンオンカーボン層と前記接着層との距離よりも、前記接着層に近い距離にある、請求項37に記載の方法。
  39. 中間層が存在し、前記中間層が、スピンオンカーボン層である、請求項31に記載の方法。
JP2020567988A 2018-06-13 2019-06-12 Euvリソグラフィ用接着層 Active JP7348210B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862684359P 2018-06-13 2018-06-13
US62/684,359 2018-06-13
PCT/US2019/036791 WO2019241402A1 (en) 2018-06-13 2019-06-12 Adhesion layers for euv lithography

Publications (2)

Publication Number Publication Date
JP2021527949A true JP2021527949A (ja) 2021-10-14
JP7348210B2 JP7348210B2 (ja) 2023-09-20

Family

ID=68838769

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020567988A Active JP7348210B2 (ja) 2018-06-13 2019-06-12 Euvリソグラフィ用接着層

Country Status (7)

Country Link
US (1) US11972948B2 (ja)
EP (1) EP3807721A4 (ja)
JP (1) JP7348210B2 (ja)
KR (1) KR20210010587A (ja)
CN (1) CN112368645A (ja)
TW (1) TW202001405A (ja)
WO (1) WO2019241402A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US20210011383A1 (en) * 2019-07-12 2021-01-14 Inpria Corporation Stabilized interfaces of inorganic radiation patterning compositions on substrates
KR102431292B1 (ko) * 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US20220028684A1 (en) * 2020-06-18 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer outgassing prevention
US20220102200A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including carbon-containing layer and method for semiconductor device fabrication
JPWO2022196259A1 (ja) * 2021-03-15 2022-09-22
US11955336B2 (en) * 2021-04-23 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
CN113708216A (zh) * 2021-07-26 2021-11-26 威科赛乐微电子股份有限公司 一种垂直共振腔面射型激光器的制备方法
JP2024027460A (ja) * 2022-08-17 2024-03-01 信越化学工業株式会社 パターン形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015030060A1 (ja) * 2013-08-28 2015-03-05 日産化学工業株式会社 レジスト下層膜を適用したパターン形成方法
JP2015108781A (ja) * 2013-12-05 2015-06-11 東京応化工業株式会社 ネガ型レジスト組成物、レジストパターン形成方法及び錯体
WO2016208300A1 (ja) * 2015-06-24 2016-12-29 富士フイルム株式会社 パターン形成方法、積層体、及び、有機溶剤現像用レジスト組成物
JP2017181639A (ja) * 2016-03-29 2017-10-05 株式会社先端ナノプロセス基盤開発センター パターン形成方法および半導体素子の製造方法

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10227986A (ja) 1997-02-17 1998-08-25 Hitachi Ltd 光スイッチとその製造方法及び光スイッチを用いた光通信機器
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US7361444B1 (en) 1998-02-23 2008-04-22 International Business Machines Corporation Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
JP2000206680A (ja) 1999-01-14 2000-07-28 Mitsubishi Chemicals Corp 感光性組成物、感光性平版印刷版及び感光性平版印刷版の製版方法
US7709177B2 (en) 1999-02-23 2010-05-04 International Business Machines Corporation Multilayered resist systems using tuned polymer films as underlayers and methods of fabrication thereof
JP4299921B2 (ja) 1999-07-05 2009-07-22 関西ペイント株式会社 ポジ型可視光感光性樹脂組成物及びそれを用いたレジストパターン形成方法
EP1305824A4 (en) 2000-06-06 2007-07-25 Univ Fraser Simon METHOD FOR MANUFACTURING ELECTRONIC MATERIALS
EP1392508B1 (en) 2001-04-17 2018-10-10 Brewer Science, Inc. Anti-reflective coating composition with improved spin bowl compatibility
US6809127B2 (en) 2001-10-04 2004-10-26 Cognis Corporation Radiation curable compositions with enhanced adhesion
US7323289B2 (en) 2002-10-08 2008-01-29 Brewer Science Inc. Bottom anti-reflective coatings derived from small core molecules with multiple epoxy moieties
KR100564694B1 (ko) 2004-01-16 2006-03-30 삼성전자주식회사 (디클로로테트라메틸)디실라잔 화합물과 이를 이용한 접착력 향상방법 및 포토레지스트 패턴의 형성방법
US20050279995A1 (en) 2004-06-21 2005-12-22 Samsung Electronics Co., Ltd. Composition for preparing organic insulating film and organic insulating film prepared from the same
US8709705B2 (en) * 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
JP4922292B2 (ja) 2005-06-13 2012-04-25 シレクス オサケユキチュア 炭化水素橋かけ基を有する官能化シランモノマーを重合させる半導体オプトエレクトロニクス用ポリマーの製造方法
KR101068372B1 (ko) * 2005-07-05 2011-09-28 히다치 가세고교 가부시끼가이샤 감광성 접착제, 및 이것을 이용하여 얻어지는 접착 필름, 접착 시트, 접착제층 부착 반도체 웨이퍼, 반도체장치 및 전자부품
US7396631B2 (en) 2005-10-07 2008-07-08 3M Innovative Properties Company Radiation curable thermal transfer elements
US20090047517A1 (en) 2007-06-27 2009-02-19 Francesco Caruso Multilayer polymer films
AU2008312373B2 (en) 2007-10-17 2011-08-25 Princeton University Functionalized substrates with thin metal oxide adhesion layer
KR100928111B1 (ko) 2007-11-30 2009-11-24 주식회사 동부하이텍 반도체 소자의 제조 방법
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
US8163461B2 (en) 2008-04-09 2012-04-24 Cornell Research Foundation, Inc. Photoacid generator compounds and compositions
WO2009132023A2 (en) 2008-04-23 2009-10-29 Brewer Science Inc. Photosensitive hardmask for microlithography
KR20090117324A (ko) 2008-05-09 2009-11-12 삼성전자주식회사 반도체 소자의 형성을 위한 포토레지스트의 패터닝 방법
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US8207264B2 (en) 2008-07-11 2012-06-26 Tyco Healthcare Group Lp Functionalized inclusion complexes as crosslinkers
KR20100042959A (ko) 2008-10-17 2010-04-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8809478B2 (en) * 2010-06-11 2014-08-19 Adeka Corporation Silicon-containing curable composition, cured product of the silicon-containing curable composition and lead frame substrate formed of the silicon-containing curable composition
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
SG10201607603VA (en) 2011-10-10 2016-11-29 Brewer Science Inc Spin-on carbon compositions for lithographic processing
EP2783389B1 (en) 2011-11-21 2021-03-10 Brewer Science, Inc. Structure comprising assist layers for euv lithography and method for forming it
US10838123B2 (en) * 2012-01-19 2020-11-17 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
WO2013133088A1 (ja) 2012-03-08 2013-09-12 日産化学工業株式会社 高密着性レジスト下層膜形成用組成物
CN104781334B (zh) 2012-11-05 2017-10-20 陶氏环球技术有限公司 官能化聚合物组合物和由其形成的膜
CN104937493B (zh) 2013-01-24 2019-11-08 日产化学工业株式会社 光刻用抗蚀剂上层膜形成用组合物和半导体装置制造方法
JP2014202969A (ja) * 2013-04-05 2014-10-27 富士フイルム株式会社 パターン形成方法、電子デバイス及びその製造方法
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
KR102351281B1 (ko) 2013-09-11 2022-01-14 제이에스알 가부시끼가이샤 다층 레지스트 프로세스용 무기 막 형성 조성물 및 패턴 형성 방법
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
JP6010564B2 (ja) * 2014-01-10 2016-10-19 信越化学工業株式会社 化学増幅型ネガ型レジスト組成物及びパターン形成方法
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
WO2015146523A1 (ja) 2014-03-24 2015-10-01 Jsr株式会社 パターン形成方法、樹脂及びレジスト下層膜形成組成物
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
JP6788222B2 (ja) 2014-11-19 2020-11-25 日産化学株式会社 架橋反応性シリコン含有膜形成組成物
TWI603145B (zh) 2014-12-31 2017-10-21 羅門哈斯電子材料有限公司 光微影方法
US9543159B2 (en) 2015-03-27 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a wet strippable middle layer
CN108138023B (zh) 2015-09-30 2021-04-09 伊英克公司 用于电光组件的聚氨酯粘合剂层
KR102204773B1 (ko) 2015-10-13 2021-01-18 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102515377B1 (ko) 2015-12-24 2023-03-28 삼성전자주식회사 하드 마스크 조성물, 탄소 나노 튜브 막구조체, 패턴 형성 방법 및 반도체 장치 제조 방법
JP6534959B2 (ja) 2016-04-21 2019-06-26 信越化学工業株式会社 有機膜の形成方法及び半導体装置用基板の製造方法
TWI759147B (zh) 2016-08-12 2022-03-21 美商因普利亞公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
JP6741540B2 (ja) 2016-09-28 2020-08-19 東京応化工業株式会社 基板の表面物性を制御する方法
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10082736B2 (en) * 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
US11098070B2 (en) 2017-11-20 2021-08-24 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
TW202348612A (zh) 2018-04-05 2023-12-16 美商英培雅股份有限公司 包含錫化合物的組合物及其應用
US10381481B1 (en) * 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015030060A1 (ja) * 2013-08-28 2015-03-05 日産化学工業株式会社 レジスト下層膜を適用したパターン形成方法
JP2015108781A (ja) * 2013-12-05 2015-06-11 東京応化工業株式会社 ネガ型レジスト組成物、レジストパターン形成方法及び錯体
WO2016208300A1 (ja) * 2015-06-24 2016-12-29 富士フイルム株式会社 パターン形成方法、積層体、及び、有機溶剤現像用レジスト組成物
JP2017181639A (ja) * 2016-03-29 2017-10-05 株式会社先端ナノプロセス基盤開発センター パターン形成方法および半導体素子の製造方法

Also Published As

Publication number Publication date
EP3807721A1 (en) 2021-04-21
JP7348210B2 (ja) 2023-09-20
US11972948B2 (en) 2024-04-30
CN112368645A (zh) 2021-02-12
EP3807721A4 (en) 2022-04-13
US20190385837A1 (en) 2019-12-19
TW202001405A (zh) 2020-01-01
KR20210010587A (ko) 2021-01-27
WO2019241402A1 (en) 2019-12-19

Similar Documents

Publication Publication Date Title
JP7348210B2 (ja) Euvリソグラフィ用接着層
US9291909B2 (en) Composition comprising a polymeric thermal acid generator and processes thereof
TWI310881B (en) Antireflective film material, and antireflective film and pattern formation method using the same
US7648820B2 (en) Antireflective hardmask and uses thereof
TWI408503B (zh) 與外塗光阻一起使用的塗覆組合物
US8968989B2 (en) Assist layers for EUV lithography
JP4042981B2 (ja) リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法
US7989145B2 (en) Method for forming fine pattern of semiconductor device
US10331032B2 (en) Photosensitive, developer-soluble bottom anti-reflective coating material
US8257910B1 (en) Underlayers for EUV lithography
JP5561494B2 (ja) Euvリソグラフィー用レジスト下層膜形成組成物
US20080038664A1 (en) Silsesquioxane compound mixture, method of making, resist composition, and patterning process
JP2010529499A (ja) 反射防止ハードマスク組成物およびそれを使用した基板上の材料のパターン化方法
TW201100967A (en) Coating compositions suitable for use with an overcoated photoresist
JP4221610B2 (ja) アクリル系ポリマーを含有するリソグラフィー用ギャップフィル材形成組成物
JP2023100689A (ja) レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
JP2002198283A (ja) レジストパターン形成方法
US7972766B2 (en) Method for forming fine pattern of semiconductor device
JP5534205B2 (ja) 感光性レジスト下層膜形成組成物及びレジストパターンの形成方法
US20240134281A1 (en) Euv-induced condensation of polysiloxane sol-gel thin film
TWI833908B (zh) 包含無機氧化物組分及經炔氧基取代之旋塗碳組分且可用作具有改良儲存壽命之硬遮罩及填充材料的旋塗組合物
US20220195238A1 (en) Chemically homogeneous silicon hardmasks for lithography
JP2024056657A (ja) ポリシロキサンゾル-ゲル薄膜のeuv誘発縮合

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220519

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230719

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230815

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230907

R150 Certificate of patent or registration of utility model

Ref document number: 7348210

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150