AU2001265390A1 - Method of making electronic materials - Google Patents

Method of making electronic materials

Info

Publication number
AU2001265390A1
AU2001265390A1 AU2001265390A AU6539001A AU2001265390A1 AU 2001265390 A1 AU2001265390 A1 AU 2001265390A1 AU 2001265390 A AU2001265390 A AU 2001265390A AU 6539001 A AU6539001 A AU 6539001A AU 2001265390 A1 AU2001265390 A1 AU 2001265390A1
Authority
AU
Australia
Prior art keywords
electronic materials
making electronic
making
materials
electronic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001265390A
Inventor
Michael A. Fury
Ross H. Hill
Wai M. Lee
David J. Maloney
Paul J. Roman Jr.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EKC Technology Inc
Original Assignee
EKC Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EKC Technology Inc filed Critical EKC Technology Inc
Publication of AU2001265390A1 publication Critical patent/AU2001265390A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
AU2001265390A 2000-06-06 2001-06-06 Method of making electronic materials Abandoned AU2001265390A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US20994700P 2000-06-06 2000-06-06
US60209947 2000-06-06
PCT/US2001/018413 WO2001095690A1 (en) 2000-06-06 2001-06-06 Method of making electronic materials

Publications (1)

Publication Number Publication Date
AU2001265390A1 true AU2001265390A1 (en) 2001-12-17

Family

ID=22780987

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001265390A Abandoned AU2001265390A1 (en) 2000-06-06 2001-06-06 Method of making electronic materials

Country Status (8)

Country Link
US (1) US6566276B2 (en)
EP (1) EP1305824A4 (en)
JP (1) JP2004512672A (en)
KR (1) KR20030007904A (en)
CN (1) CN1268177C (en)
AU (1) AU2001265390A1 (en)
TW (1) TW513745B (en)
WO (1) WO2001095690A1 (en)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410453B1 (en) * 1999-09-02 2002-06-25 Micron Technology, Inc. Method of processing a substrate
US20060001064A1 (en) * 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) * 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US7067346B2 (en) * 2000-06-06 2006-06-27 Simon Foster University Titanium carboxylate films for use in semiconductor processing
US7427529B2 (en) * 2000-06-06 2008-09-23 Simon Fraser University Deposition of permanent polymer structures for OLED fabrication
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US7176114B2 (en) * 2000-06-06 2007-02-13 Simon Fraser University Method of depositing patterned films of materials using a positive imaging process
US6787198B2 (en) 2000-07-28 2004-09-07 Ekc Technology, Inc. Hydrothermal treatment of nanostructured films
US20040209190A1 (en) * 2000-12-22 2004-10-21 Yoshiaki Mori Pattern forming method and apparatus used for semiconductor device, electric circuit, display module, and light emitting device
DE10103524A1 (en) * 2001-01-26 2002-08-22 Infineon Technologies Ag Method and semiconductor arrangement for etching a layer of a semiconductor substrate by means of a silicon-containing etching mask
US6756620B2 (en) * 2001-06-29 2004-06-29 Intel Corporation Low-voltage and interface damage-free polymer memory device
GB2379415A (en) * 2001-09-10 2003-03-12 Seiko Epson Corp Monitoring the deposition of organic polymer droplets onto a substrate
GB2385863A (en) * 2001-10-29 2003-09-03 Qinetiq Ltd High resolution patterning method
US7022287B2 (en) * 2002-05-08 2006-04-04 Sandia National Laboratories Single particle electrochemical sensors and methods of utilization
DE10240748B4 (en) * 2002-08-29 2010-04-01 Qimonda Ag Method for planarizing a semiconductor sample
US20040048194A1 (en) * 2002-09-11 2004-03-11 International Business Machines Corporation Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing
JP3883004B2 (en) * 2002-12-25 2007-02-21 Tdk株式会社 Patterned thin film forming method and microdevice manufacturing method
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US7879696B2 (en) * 2003-07-08 2011-02-01 Kovio, Inc. Compositions and methods for forming a semiconducting and/or silicon-containing film, and structures formed therefrom
US20050130076A1 (en) * 2003-12-11 2005-06-16 Haoren Zhuang Method for producing a hard mask in a capacitor device and a hard mask for use in a capacitor device
US7294449B1 (en) 2003-12-31 2007-11-13 Kovio, Inc. Radiation patternable functional materials, methods of their use, and structures formed therefrom
CN1950472B (en) * 2004-03-15 2010-07-28 株式会社德山 Coating composition
US7244858B2 (en) * 2004-03-25 2007-07-17 Praxair Technology, Inc. Organometallic precursor compounds
US6887785B1 (en) 2004-05-13 2005-05-03 International Business Machines Corporation Etching openings of different depths using a single mask layer method and structure
US7132360B2 (en) * 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
KR100598105B1 (en) * 2004-06-17 2006-07-07 삼성전자주식회사 Method of forming semiconductor patterns
US20050285312A1 (en) * 2004-06-23 2005-12-29 Fury Michael A Use of PMOD materials in layered (3D) manufacturing technology
US7129177B2 (en) * 2004-10-29 2006-10-31 Hitachi Global Storage Technologies Netherlands B.V. Write head fabrication by inverting order of process steps
CN1808268B (en) * 2005-01-18 2010-10-06 中芯国际集成电路制造(上海)有限公司 Metal hard mask method and structure for strained silicon MOS transistor
US7292381B1 (en) * 2005-09-08 2007-11-06 Hrl Laboratories, Llc Method for conforming a micro-electronic array to arbitrary shapes
CN100442476C (en) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 Nano-device with enhanced strain inductive transferring rate for CMOS technology and its process
US20070075628A1 (en) * 2005-10-04 2007-04-05 General Electric Company Organic light emitting devices having latent activated layers
WO2008060583A2 (en) 2006-11-15 2008-05-22 Aculon, Inc. Organometallic films, methods for applying organometallic films to substrates and substrates coated with such films
CN101226899A (en) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 Structure and process for subsequently epitaxial growing strain silicon MOS chip tube in silicon dent
CN101364545B (en) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 Germanium-silicon and polycrystalline silicon grating construction of strain silicon transistor
JP4976977B2 (en) * 2007-10-17 2012-07-18 株式会社東芝 Manufacturing method of semiconductor device
WO2010047346A1 (en) * 2008-10-23 2010-04-29 日産化学工業株式会社 Underlayer film for image formation
US8168961B2 (en) * 2008-11-26 2012-05-01 Fei Company Charged particle beam masking for laser ablation micromachining
US8822347B2 (en) 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
WO2010128107A1 (en) * 2009-05-07 2010-11-11 Neodec B.V. Process for manufacturing conductive tracks
US8304179B2 (en) 2009-05-11 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device using a modified photosensitive layer
CN102024761A (en) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor integrated circuit device
US9176377B2 (en) * 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8334187B2 (en) * 2010-06-28 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask for thin film resistor manufacture
JP5601286B2 (en) * 2011-07-25 2014-10-08 信越化学工業株式会社 Resist material and pattern forming method using the same
EP2750794A4 (en) 2011-09-01 2015-07-29 Simon Trudel Electrocatalytic materials and methods for manufacturing same
US8610280B2 (en) * 2011-09-16 2013-12-17 Micron Technology, Inc. Platinum-containing constructions, and methods of forming platinum-containing constructions
KR101920711B1 (en) 2012-01-16 2018-11-22 삼성전자주식회사 Thin film patterning method and manufacturing method of semiconductor device using the same
US8916337B2 (en) * 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
US8795774B2 (en) * 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
DE102013203995B4 (en) * 2013-03-08 2020-03-12 Carl Zeiss Smt Gmbh Method for protecting a substrate during machining with a particle beam
US9310684B2 (en) * 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9296879B2 (en) * 2013-09-03 2016-03-29 Rohm And Haas Electronic Materials Llc Hardmask
CN103489766A (en) * 2013-09-16 2014-01-01 复旦大学 Magnesium oxide titanium high dielectric constant thin film and preparation method and application thereof
EP3230294B1 (en) 2014-10-23 2021-06-30 Inpria Corporation Organometallic solution based high resolution patterning compositions
KR102493327B1 (en) 2014-11-21 2023-01-27 어플라이드 머티어리얼스, 인코포레이티드 Alcohol assisted ald film deposition
CN105070839B (en) * 2015-07-10 2018-05-18 北京交通大学 A kind of polymer solar battery and preparation method thereof
JP6805244B2 (en) 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation Patterning Compositions, Precursors and Patterning of Organic Tin Oxide Hydroxides
KR102394042B1 (en) 2016-03-11 2022-05-03 인프리아 코포레이션 Pre-patterned lithographic templates, methods based on radiation patterning using said templates and methods for forming said templates
CN109153884B (en) * 2016-05-19 2021-03-09 三井化学株式会社 Composition for forming metal-containing film, method for producing composition for forming metal-containing film, semiconductor device, and method for producing semiconductor device
TWI725220B (en) 2016-08-12 2021-04-21 美商因普利亞公司 Methods of reducing metal residue in edge bead region from metal-containing resists
WO2018173446A1 (en) * 2017-03-22 2018-09-27 Jsr株式会社 Pattern forming method
WO2018179704A1 (en) * 2017-03-27 2018-10-04 Jsr株式会社 Pattern forming method
JP6887307B2 (en) * 2017-05-19 2021-06-16 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor devices
CN111356785A (en) 2017-11-19 2020-06-30 应用材料公司 Method for ALD of metal oxides on metal surfaces
JP7016147B2 (en) * 2017-11-29 2022-02-04 深▲セン▼通鋭微電子技術有限公司 Chip-on-film semiconductor device
US10838304B2 (en) * 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
TW202016279A (en) 2018-10-17 2020-05-01 美商英培雅股份有限公司 Patterned organometallic photoresists and methods of patterning
CN110767683B (en) * 2018-10-31 2022-04-15 云谷(固安)科技有限公司 Display panel, mask and display terminal
JP2022526031A (en) * 2019-04-12 2022-05-20 インプリア・コーポレイション Organometallic photoresist developer composition and treatment method
CN110643980A (en) * 2019-09-24 2020-01-03 攀枝花学院 Preparation method of micrometer-thickness vanadium dioxide thin film fine pattern
CN110512196A (en) * 2019-09-24 2019-11-29 攀枝花学院 The preparation method of vanadium dioxide film Micropicture
EP4115242A4 (en) 2020-03-02 2024-03-13 Inpria Corp Process environment for inorganic resist patterning
JP2023524969A (en) 2020-05-06 2023-06-14 インプリア・コーポレイション Multi-patterning using organometallic photopatternable layers by an intermediate freezing step
US11501812B2 (en) * 2020-07-31 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including ferroelectric memory and methods of forming the same
US20220269169A1 (en) 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
US20230280644A1 (en) * 2022-03-03 2023-09-07 International Business Machines Corporation Method of making euv mask with an absorber layer
CN114664649B (en) * 2022-05-19 2022-09-20 浙江大学杭州国际科创中心 Optimization method of silicon carbide high depth-to-width ratio groove etching process

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2929682A1 (en) 1979-07-04 1981-01-15 Bbc Brown Boveri & Cie PROCESS FOR ETCHING SILICON SUBSTRATES AND SUBSTRATE FOR CARRYING OUT THE PROCESS
US4439464A (en) * 1982-05-11 1984-03-27 University Patents, Inc. Composition and method for forming amorphous chalcogenide films from solution
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US5140366A (en) 1987-05-29 1992-08-18 Canon Kabushiki Kaisha Exposure apparatus with a function for controlling alignment by use of latent images
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
US6072207A (en) * 1991-02-25 2000-06-06 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5486176A (en) 1991-03-27 1996-01-23 Smith & Nephew Richards, Inc. Angled bone fixation apparatus
US5292558A (en) * 1991-08-08 1994-03-08 University Of Texas At Austin, Texas Process for metal deposition for microelectronic interconnections
US5281447A (en) * 1991-10-25 1994-01-25 International Business Machines Corporation Patterned deposition of metals via photochemical decomposition of metal-oxalate complexes
EP0670055B1 (en) 1992-11-19 1997-05-14 The University Of Dundee, University Court Method of deposition
US5272099A (en) 1992-11-27 1993-12-21 Etron Technology Inc. Fabrication of transistor contacts
JP2803999B2 (en) 1993-11-10 1998-09-24 現代電子産業株式会社 Method for manufacturing fine pattern of semiconductor device
US5637440A (en) * 1993-12-27 1997-06-10 Mitsubishi Materials Corporation Composition for forming metal oxide thin film pattern and method for forming metal oxide thin film pattern
US5460693A (en) * 1994-05-31 1995-10-24 Texas Instruments Incorporated Dry microlithography process
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3348564B2 (en) * 1995-04-28 2002-11-20 ソニー株式会社 Method for manufacturing dielectric capacitor
US5652166A (en) 1996-01-11 1997-07-29 United Microelectronics Corporation Process for fabricating dual-gate CMOS having in-situ nitrogen-doped polysilicon by rapid thermal chemical vapor deposition
US5627087A (en) 1996-03-11 1997-05-06 United Microelectronics Corporation Process for fabricating metal-oxide semiconductor (MOS) transistors based on lightly doped drain (LDD) structure
JP3892565B2 (en) 1997-02-28 2007-03-14 株式会社東芝 Pattern formation method
US5935762A (en) 1997-10-14 1999-08-10 Industrial Technology Research Institute Two-layered TSI process for dual damascene patterning
US6307087B1 (en) * 1998-07-10 2001-10-23 Massachusetts Institute Of Technology Ligands for metals and improved metal-catalyzed processes based thereon

Also Published As

Publication number Publication date
CN1457504A (en) 2003-11-19
JP2004512672A (en) 2004-04-22
TW513745B (en) 2002-12-11
EP1305824A1 (en) 2003-05-02
WO2001095690A1 (en) 2001-12-13
US20020076495A1 (en) 2002-06-20
KR20030007904A (en) 2003-01-23
CN1268177C (en) 2006-08-02
EP1305824A4 (en) 2007-07-25
US6566276B2 (en) 2003-05-20

Similar Documents

Publication Publication Date Title
AU2001265390A1 (en) Method of making electronic materials
AU2002364528A1 (en) Bio-implant and method of making the same
AU8007000A (en) Integrated forms and method of making such forms
AU2001267853A1 (en) Method of manufacturing integrated circuit
AU2001247707A1 (en) Electronic device and process of making electronic device
AU2001257075A1 (en) Screen and method of making the same
AU2001270307A1 (en) Method of making teprenone
AU2001282884A1 (en) Electronic component and method of manufacture
AU2002211652A1 (en) Method of making an electrode
AU2001282161A1 (en) Method of manufacturing fiberboards
AU2002231683A1 (en) Method of producing alk-3-ene-1-ols
AU2001296374A1 (en) Method of making nanoshells
AU2001264134A1 (en) Microconnectors and method for their production
AU4124101A (en) Method of making silver-contained candle
AU4828501A (en) Method of producing electronic postcards
AU2002222927A1 (en) Method of making hydrofluorocarbons
AU2001264000A1 (en) Phosphomagnesium mortar and method for obtaining same
AU2001274004A1 (en) Method for the production of 2- coumarone and substituted 2-coumarones
AU2002214920A1 (en) Composition for aids and method producing it
AU2001283030A1 (en) Process for making 3-hydroxyalkanenitriles and hydroxyaminoalkanes
AU2000277689A1 (en) Electronic trade method
AU2001286268A1 (en) Method of forming complex
AU2001254995A1 (en) Method of manufacturing particles
AU2002211848A1 (en) Method of screening eukaryotes
AU2001283667A1 (en) Method of creating of nanoamorphous materials