JP2023520391A - フォトレジストのドライ除去用プロセスツール - Google Patents

フォトレジストのドライ除去用プロセスツール Download PDF

Info

Publication number
JP2023520391A
JP2023520391A JP2022559416A JP2022559416A JP2023520391A JP 2023520391 A JP2023520391 A JP 2023520391A JP 2022559416 A JP2022559416 A JP 2022559416A JP 2022559416 A JP2022559416 A JP 2022559416A JP 2023520391 A JP2023520391 A JP 2023520391A
Authority
JP
Japan
Prior art keywords
semiconductor substrate
metal
etching gas
process chamber
dry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022559416A
Other languages
English (en)
Inventor
ディクタス・ドリエス
ワイドマン・ティモシー・ウィリアム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023520391A publication Critical patent/JP2023520391A/ja
Priority to JP2023179933A priority Critical patent/JP2023174888A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【解決手段】金属含有極端紫外線(EUV)フォトレジストのドライ現像もしくはドライ除去が、大気条件下で行われるか、または真空装置を用いないプロセスツール内で行われる。金属含有EUVフォトレジストのドライ除去は、大気圧下または大気圧を超える圧力下で行われてもよい。金属含有EUVフォトレジストのドライ除去は、空気環境への曝露、または非酸化性ガスを用いて行われてもよい。プロセスチャンバまたはモジュールは、金属含有EUVフォトレジストのドライ除去を、ベーク、ウエハ洗浄、ウエハ処理、または他のフォトレジスト処理機能とともに行うように変更または統合されてもよい。いくつかの実施形態において、金属含有EUVフォトレジストのドライ除去用プロセスチャンバは、半導体基板を局所的に加熱するための加熱アセンブリと、半導体基板の上方に局所的にガスを供給するための可動吐出ノズルとを含む。【選択図】図3B

Description

[参照による援用]
本出願の一部として、PCT願書様式を本明細書と同時に提出する。同時に提出したPCT願書様式において特定される、本出願が利益または優先権を主張する各出願は、その内容全体が参照により、すべての目的のために本明細書に組み込まれる。
集積回路などの半導体装置の製造は、フォトリソグラフィを含む多段階のプロセスである。一般的にこのプロセスには、ウエハ上に材料を堆積することと、リソグラフィ技術により材料をパターニングして半導体装置の構造的特徴(例えば、トランジスタおよび回路)を形成することとが含まれる。当技術分野で公知の一般的なフォトリソグラフィプロセスには、以下の工程が含まれる。すなわち、基板を準備する工程と、スピンコーティングなどによりフォトレジストを塗布する工程と、フォトレジストを所望のパターンで露光して、フォトレジストの露光領域を現像液に概ね可溶化させる工程と、現像液を塗布して、フォトレジストの露光領域または非露光領域のいずれかを除去することにより現像する工程と、フォトレジストが除去された基板の領域に、エッチングまたは材料堆積などによってフィーチャを形成する後続の処理工程とが含まれる。
半導体設計の進化により、半導体基板材料上にこれまで以上に小さなフィーチャを形成する必要が生じ、かつそのような能力により半導体設計の進化は推進されてきた。技術のこのような進歩は、高密度集積回路におけるトランジスタ密度が2年ごとに2倍になるという「ムーアの法則」で特徴付けられる。実際、チップの設計および製造は進歩しており、最新のマイクロプロセッサでは、単一のチップ上に数十億個のトランジスタおよび他の回路機能を含んでいる場合がある。このようなチップ上の個々のフィーチャは、約22ナノメートル(nm)以下、場合によっては10nm未満の場合がある。
このように小さなフィーチャを有する装置の製造における課題の1つとして、十分な解像度を有するフォトリソグラフィマスクを確実かつ再現可能に作成する能力が挙げられる。現在のフォトリソグラフィプロセスは、一般的には193nmの紫外線(UV)光によってフォトレジストを露光する。半導体基板上に形成されるフィーチャの所望のサイズよりもかなり大きい波長をこの光が有するという事実から、固有の問題が生じる。光の波長よりも小さいフィーチャサイズを実現するには、マルチパターニングなどの複雑な解像度向上技術を用いることが必要となる。したがって、10nm~15nm(例えば、13.5nm)の波長を有する、極端紫外線(EUV:Extreme Ultraviolet)照射などのより短い波長の光を用いたフォトリソグラフィ技術の開発に多大な関心と研究努力が注がれてきた。
しかしながら、EUVフォトリソグラフィプロセスも、低出力やパターニング中の光損失などの課題が生じ得る。193nmUVリソグラフィで用いられるのと同様の従来の有機化学増幅型レジスト(CAR:Chemically Amplified Resist)は、EUVリソグラフィに用いた場合に潜在的な欠点を有する。特に、このレジストはEUV領域での吸収係数が低く、また光活性化化学種の拡散により、ぼやけまたはラインエッジラフネスが生じる可能性がある。さらに、下地デバイス層をパターニングするのに必要なエッチング耐性を持たせるために、従来のCAR材料にパターニングされる微小フィーチャは高アスペクト比になる可能性があり、パターン崩壊のリスクがある。したがって、厚みの低減、より大きな吸光度、およびより大きなエッチング耐性などの特性を有する改良EUVフォトレジスト材料が依然として求められている。
ここに提供される背景技術の記載は、本技術の背景を概略的に提示することを目的としている。この背景技術に記載されている範囲内での本発明者らの研究、およびその他の点で出願時に先行技術と認められない可能性がある記載の態様は、明示的にも暗示的にも、本技術に対する先行技術とは認められない。
本明細書では、基板処理装置が提供される。前記装置は、大気条件に曝露されるプロセスチャンバを含む。前記装置は、前記プロセスチャンバ内の半導体基板を保持するための基板支持台と、前記基板支持台上の前記半導体基板に面する加熱アセンブリであって、複数の加熱素子を含む加熱アセンブリと、前記基板支持台の上方に配置されるエッチングガス供給ノズルであって、エッチングガスの供給位置が前記半導体基板の上方の位置になるように移動可能なエッチングガス供給ノズルと、をさらに含む。
いくつかの実装形態において、前記半導体基板は金属含有EUVレジストを含み、前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である。いくつかの実装形態において、大気条件に曝露される前記プロセスチャンバは、約50Torr~約765Torrの大気圧に曝露される。いくつかの実装形態において、大気条件に曝露される前記プロセスチャンバは、制御雰囲気に曝露され、前記制御雰囲気への曝露は、約760Torrを超えるチャンバ圧力への曝露および/または窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、もしくはこれらの組み合わせへの曝露を含む。いくつかの実装形態において、前記複数の加熱素子は、複数のLEDを含み、前記複数のLEDは、複数の独立して制御可能な加熱ゾーンに配置される。いくつかの実装形態において、前記エッチングガス供給ノズルは、前記エッチングガス供給ノズルを前記半導体基板の中央から端部に位置させるように構成された可動スイングアームに結合される。いくつかの実装形態において、前記装置は、前記半導体基板を処理するための命令を備えて構成されるコントローラを含み、前記命令は、前記プロセスチャンバ内で前記半導体基板上に金属含有EUVレジストを設けることと、前記エッチングガス供給ノズルを通じて前記半導体基板に前記エッチングガスを供給し、大気条件下で前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライエッチングすることと、を行うためのコードを含む。いくつかの実装形態において、前記装置は、前記プロセスチャンバ内のエッチング副生成物および他の残留ガスを除去するように構成された排気ファンをさらに含む。いくつかの実装形態において、前記装置は、不活性ガスおよび/または希釈ガスを前記プロセスチャンバに供給するために前記プロセスチャンバに結合されたガス源をさらに含む。いくつかの実装形態において、前記プロセスチャンバは、プラズマを使用しない熱プロセスチャンバである。
また、本明細書では、基板処理装置が提供される。前記装置は、大気条件に曝露されるプロセスチャンバと、半導体基板を支持するためのベークプレートであって、複数の加熱ゾーンを含み、前記複数の加熱ゾーンの各々が1つ以上の加熱素子を含む、ベークプレートと、前記ベークプレートの上方に配置され、前記半導体基板にエッチングガスを供給するように構成されたエッチングガス分配器と、を含む。
いくつかの実装形態において、前記半導体基板は金属含有EUVレジストを含み、前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である。いくつかの実装形態において、大気条件に曝露される前記プロセスチャンバは、約50Torr~約765Torrの大気圧に曝露される。いくつかの実装形態において、大気条件に曝露される前記プロセスチャンバは、制御雰囲気に曝露され、前記制御雰囲気への曝露は、約760Torrを超えるチャンバ圧力への曝露および/または窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、もしくはこれらの組み合わせへの曝露を含む。いくつかの実装形態において、前記ベークプレートは、上面と、前記ベークプレートの前記上面よりも高い位置で前記半導体基板を支持するための複数の最小接触面積(MCA)支持部とを含む。いくつかの実装形態において、前記エッチングガス分配器は、前記半導体基板に面するフェースプレートを有するシャワーヘッドを含み、前記フェースプレートは、前記半導体基板に前記エッチングガスを供給するための複数の貫通孔を含む。いくつかの実装形態において、プロセスチャンバは、プラズマを使用しない熱プロセスチャンバである。いくつかの実装形態において、前記装置は、前記半導体基板を処理するための命令を備えて構成されるコントローラをさらに含み、前記命令は、前記プロセスチャンバ内で前記半導体基板上に金属含有EUVレジストを設けることと、前記エッチングガス分配器を通じて前記半導体基板に前記エッチングガスを供給し、大気条件下で前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライエッチングすることと、を行うためのコードを含む。
また、本明細書では、基板処理装置が提供される。前記装置は、複数の半導体基板を保持するための基板支持台を有する炉反応器と、前記複数の半導体基板を昇温温度に加熱するための複数の加熱素子と、前記炉反応器にエッチングガスを供給するための1つ以上のエッチングガス入口であって、前記複数の半導体基板にエッチングガスを供給するように構成された1つ以上のエッチングガス入口と、を含む。
いくつかの実装形態において、前記炉反応器は、大気条件に曝露される。いくつかの実装形態において、大気条件は、制御雰囲気への曝露を含み、前記1つ以上のエッチングガス入口は、前記制御雰囲気を提供するために、窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、またはこれらの組み合わせを供給するようにさらに構成される。いくつかの実装形態において、前記装置は、エッチング副生成物および他の残留ガスを前記炉反応器から除去するための1つ以上のガス出口と、前記基板支持台を回転させるためのモータと、をさらに含む。いくつかの実装形態において、前記炉反応器のチャンバ壁は、石英、セラミック材料、または低温ポリマー材料を含む。いくつかの実装形態において、前記複数の半導体基板の各々は金属含有EUVレジストを含み、前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である。
また、本明細書では、トラックリソグラフィシステムが提供される。前記トラックリソグラフィシステムは、半導体基板を受け取り、かつ戻すための1つ以上のポッドを含むカセット搭載部と、前記半導体基板のフォトレジスト処理を行うための複数の処理ステーションを含む処理部と、を含む。前記複数の処理ステーションは、前記半導体基板上に金属含有EUVレジストを堆積するための堆積チャンバと、熱プロセスチャンバと、基板洗浄チャンバと、を含み、前記複数の処理ステーションの少なくとも1つは大気条件に曝露され、かつ前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライ除去するように構成されている。前記トラックリソグラフィシステムは、前記半導体基板をEUV照射に露光するためのスキャナを含む露光部と、前記半導体基板を前記処理部と前記露光部との間で搬送するためのインタフェース部と、をさらに含む。
いくつかの実装形態において、前記複数の処理ステーションは、前記半導体基板の表面を処理するためのヘキサメチルジシラザン(HMDS)処理チャンバをさらに含み、前記HMDS処理チャンバは、大気条件下で前記金属含有EUVレジストの1つ以上の部分をドライ除去するように構成される。いくつかの実装形態において、前記複数の処理ステーションの前記少なくとも1つは、前記半導体基板を保持するための基板支持台と、前記半導体基板にエッチングガスを供給するためのガス分配器とを含み、前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である。
また、本明細書では、EUVレジストのドライ現像を行う方法が提供される。前記方法は、プロセスチャンバ内で半導体基板上に金属含有EUVレジストを設けることと、前記プロセスチャンバ内でエッチングガスへの曝露により前記金属含有EUVレジストをドライエッチングすることと、を含み、前記金属含有EUVレジストのドライエッチングは、大気条件下で行われる。
いくつかの実装形態において、大気条件は、約50Torr~約765Torrの大気圧を含む。いくつかの実装形態において、大気条件は、制御雰囲気を含む。前記制御雰囲気は、前記半導体基板を約760Torrを超えるチャンバ圧力に曝露すること、および/または前記半導体基板を窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、もしくはこれらの組み合わせに曝露することを含む。いくつかの実装形態において、前記方法は、前記金属含有EUVレジストをドライエッチングするのと同じプロセスチャンバ内で、前記金属含有EUVレジストを昇温温度でベークすることをさらに含む。いくつかの実装形態において、前記金属含有EUVレジストをドライエッチングすることは、ドライ現像化学物質への曝露により前記EUVレジストの一部を選択的に除去することにより、前記金属含有EUVレジストをドライ現像することを含む。いくつかの実装形態において、前記方法は、前記金属含有EUVレジストをドライ現像するのと同じプロセスチャンバ内で、前記半導体基板のベベルエッジ洗浄を行うことを含む。いくつかの実装形態において、前記金属含有EUVレジストをドライエッチングすることは、前記半導体基板を約100℃以上の温度に加熱することを含む。いくつかの実装形態において、前記半導体基板を加熱することは、前記半導体基板の領域を局所的に加熱することを含む。いくつかの実装形態において、前記金属含有EUVレジストをドライエッチングすることは、可動吐出ノズルを前記半導体基板の上方に位置させて、前記半導体基板の1つ以上の領域に優先的に前記エッチングガスを向けることを含む。いくつかの実装形態において、前記プロセスチャンバは、前記半導体基板を局所的に加熱するための複数の独立して制御可能な加熱ゾーンに結合された基板支持台と、前記半導体基板の目標領域に前記エッチングガスを向けるために前記半導体基板の上方に配置された可動吐出ノズルと、を含む。
図1は、いくつかの実施形態に係る、フォトレジストを堆積および現像する例示的な方法のフローチャートである。
図2Aは、いくつかの実施形態に係る、ドライ現像の各処理段階の概略断面図である。 図2Bは、いくつかの実施形態に係る、ドライ現像の各処理段階の概略断面図である。 図2Cは、いくつかの実施形態に係る、ドライ現像の各処理段階の概略断面図である。
図3Aは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うための例示的なプロセスチャンバの概略図である。 図3Bは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うための例示的なプロセスチャンバの概略図である。
図4は、いくつかの実施形態に係る、フォトレジスト処理用の例示的なシステム構造またはトラックシステムの概略図である。
図5は、いくつかの実施形態に係る、フォトレジスト処理用の別の例示的なシステム構造またはトラックシステムの概略図である。
図6は、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うための例示的なバッチ式縦型炉の概略図である。
図7Aは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うように構成されたウエハ洗浄ステーションの概略図である。 図7Bは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うように構成されたウエハ洗浄ステーションの概略図である。
図8Aは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うように構成されたウエハ洗浄・加熱ステーションの概略図である。 図8Bは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うように構成されたウエハ洗浄・加熱ステーションの概略図である。
図9は、いくつかの実施形態に係る、ドライエッチングを行うための例示的なプロセスステーションの概略図である。
図10は、本明細書に記載の各種のフォトレジスト処理工程の実施に適した例示的なマルチステーション処理ツールの概略図である。
図11は、本明細書に記載の一部の実施形態および工程を実施するための例示的な誘導結合プラズマ装置の概略断面図である。
図12は、本明細書の記載のプロセスの実施に適した、搬送モジュールと連携する堆積モジュールおよびパターニングモジュールを有する半導体プロセスクラスタツール構造を示す図である。
図13は、本開示の実施形態に係る方法の実行に使用可能な各種の例示的なツール構造を提示する図である。 図14は、本開示の実施形態に係る方法の実行に使用可能な各種の例示的なツール構造を提示する図である。
本開示は一般に、半導体処理の分野に関する。特定の態様において、本開示は、EUVパターニング、およびEUVパターニングされた膜を現像してパターニングマスクを形成することに関連して、EUVフォトレジスト(例えば、EUV感光性の金属および/または金属酸化物を含有するフォトレジスト)を処理する方法および装置に関する。
本明細書では、本開示の具体的な実施形態について詳細に言及する。具体的な実施形態の例は、添付図面に示されている。本開示は、これらの具体的な実施形態に関連して説明されるが、これは、本開示をそのような具体的な実施形態に限定することを意図したものではないことが理解される。むしろ、本開示の主旨および範囲内に含まれ得る代替例、変形例、および均等物をも包含することが意図されている。以下の説明において、本開示の詳細な理解に供するため、数々の具体的詳細を示すが、本開示は、これらの具体的詳細の一部またはすべてを除いて実施されてもよい。他の例においては、本開示が不必要に曖昧になることを避けるため、周知のプロセス工程については、詳細な説明を省いている。
[はじめに]
半導体処理における薄膜のパターニングは、多くの場合、半導体の製造において重要な工程である。パターニングは、リソグラフィを含む。193nmフォトリソグラフィなどの従来のフォトリソグラフィでは、光子源からマスクに光子を照射することにより、感光性フォトレジストにパターンを転写し、それによってフォトレジスト中で化学反応を起こし、現像後にフォトレジストの一部を除去してパターンを形成する。
(国際半導体技術ロードマップによって定義された)先進技術ノードは、22nm、16nm、およびそれを超えるノードを含む。例えば、16nmノードでは、ダマシン構造における典型的なビアまたはラインの幅は、一般的に約30nm以下である。高度な半導体集積回路(IC)および他の装置におけるフィーチャの縮小化を背景に、リソグラフィの高解像度化が進められている。
極端紫外線(EUV)リソグラフィは、従来のフォトリソグラフィ法で達成可能なよりも小さな像形成源波長へと移行することによって、リソグラフィ技術を拡張可能である。約10~20nm、または11~14nmの波長(例えば13.5nmの波長)のEUV光源は、最先端リソグラフィツール(スキャナとも呼ばれる)に使用可能である。EUV照射光は、石英および水蒸気を含む幅広い固体および流体材料に強く吸収される。
EUVリソグラフィでは、EUVレジストを用いる。EUVレジストはパターン化され、下層のエッチングに使用するマスクを形成する。EUVレジストは、液体ベースのスピンオン技術によって製造されるポリマー系化学増幅型レジスト(CAR)であってもよい。CARの代替物としては、直接的にフォトパターニング可能な金属酸化物含有膜が挙げられる。これらの金属酸化物含有膜は例えば、Inpria(オレゴン州コーバリス)製の、例えば米国特許公開第2017/0102612号、米国特許公開第2016/021660号、および米国特許公開第2016/0116839号(少なくともこれらにおける、フォトパターニング可能な金属酸化物含有膜に関する開示は、参照により本明細書に組み込まれる)に記載のものである。このような膜は、スピンオン技術によって製造されてもよいし、ドライ蒸着されてもよい。金属酸化物含有膜は、真空環境下でのEUV露光により、直接(すなわち、別個のフォトレジストを使用せずに)パターン化することができ、30nm以下のパターニング解像度を実現することができる。これは例えば、米国特許第9,996,004号(発明の名称「EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS」、2018年6月12日発行)、および/または国際出願番号PCT/US19/31618(発明の名称「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」、2019年5月9日出願)に記載されている(少なくともこれらにおける、EUVレジストマスクを形成するための直接フォトパターニング可能な金属酸化膜の組成、堆積およびパターニングに関する開示は、参照により本明細書に組み込まれる)。一般にパターニングは、EUVレジストをEUV照射で露光してレジストにフォトパターンを形成し、その後、現像によりフォトパターンに従ってレジストの一部を除去し、マスクを形成することを含む。
また、本開示は、EUVリソグラフィに例示されるリソグラフィパターニング技術および材料に関するものであるが、他の次世代リソグラフィ技術にも適用可能であることを理解されたい。現在使用および開発されている標準的な13.5nmのEUV波長を含むEUVに加えて、このようなリソグラフィに最も関連する照射源は、DUV(深紫外線)(一般に、248nmまたは193nmのエキシマレーザ源の利用を指す)、X線(正式には、X線領域のうち比較的低いエネルギー領域のEUVを含む)、およびeビーム(広いエネルギー領域をカバー可能)である。具体的な方法は、半導体基板および最終的な半導体装置に用いられる具体的な材料および用途に依存する場合がある。したがって、本願に記載の方法は、本技術で使用可能な方法および材料の例示にすぎない。
直接フォトパターニング可能なEUVレジストは、金属および/もしくは有機成分に混合した金属酸化物で構成され、または、これらを含んでもよい。金属/金属酸化物は、EUV光子吸着を促進し、二次電子を発生させ、かつ/または、下層の膜スタックおよびデバイス層に対する高いエッチング選択比を示すことができるという点で、非常に有望である。これまで、これらのレジストはウェット(溶媒)アプローチによって現像されてきたが、このアプローチでは、ウエハをトラックに移動させる必要があり、トラックにてウエハは現像溶媒に曝露され、乾燥およびベークされる。ウェット現像は、生産性を制限するだけでなく、微細なフィーチャ間の溶媒蒸発時の表面張力効果により、ライン崩壊につながる可能性もある。
基板の層間剥離および界面破壊を無くすことによってこれらの課題を克服するために、ドライ現像技術が提案されている。ドライ現像は、性能を改善する(例えば、ウェット現像における表面張力や層間剥離によるライン崩壊を防ぐ)とともに、(例えば、ウェット現像トラックを回避することによって)スループットを高めることができる。その他の利点としては、有機溶剤現像剤を使用しないでよいこと、付着問題を緩和できること、EUV吸収量の増加により線量効率を改善できること、溶解性に基づく制限がないことなどが挙げられる。ドライ現像はまた、調整可能度が高く、さらなる限界寸法(CD)制御およびスカム除去を実現することができる。
ドライ現像には独自の課題がある。例えば、非露光レジスト材料とEUV露光レジスト材料との間のエッチング選択比であり、これにより、ウェット現像と比較した場合に、効果的なレジスト露光に必要な線量対サイズの要件が高くなる可能性がある。また、最適でない選択比の場合、エッチングガス下での長時間の露光によってフォトレジストのコーナー部が丸くなる可能性があり、これにより、後続の転写エッチング工程においてラインCDのばらつきが大きくなる可能性がある。
[EUVレジストのドライエッチング]
本開示は、大気条件下での金属含有EUVレジストのドライエッチングを提供する。本明細書において「大気条件」とは、非真空条件への曝露を意味してもよい。具体的には、大気条件は、約50Torr~約760Torr(すなわち、大気圧)、または約50Torr~約765Torrのチャンバ圧力を構成してもよい。本開示におけるツールおよびチャンバは、大気圧を超える超過圧力で動作してもよい。超過圧力は例えば、大気圧よりも約0.1Torr~約5Torr、または約0.3Torr~約1.5Torr大きくてもよい。いくつかの実施形態において、大気条件は、チャンバ圧力が約760Torrを超える制御雰囲気を構成してもよい。大気圧を超える圧力での動作は、酸素または空気によるリークイン汚染を回避するのに有用な場合がある。
大気条件は、周囲ガス(例えば、空気)への曝露を含んでもよい。周囲ガスは、酸素、窒素、二酸化炭素、水蒸気、またはこれらの組み合わせを含んでもよい。これに代えて、大気条件は、制御雰囲気への曝露を含んでもよい。制御雰囲気への曝露は、窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、またはこれらの組み合わせへの曝露を含む。いくつかの実施形態において、制御雰囲気は、非酸化性ガスであるガスへの曝露を含む。このようなガスは、酸素または空気によるリークイン汚染を回避するのに有用な超過圧力の実現に寄与する不活性ガスまたは希釈ガスとして機能してもよい。金属含有EUVレジストのドライエッチングは大気条件下で行ってもよいが、ドライエッチングが行われるチャンバは、真空装置を含んでも含まなくてもよいことが理解される。ドライエッチングにおいて金属含有EUVレジストを真空環境に曝露するのではなく、金属含有EUVレジストを大気条件に曝露してもよい。ここで、大気条件は、空気への曝露または制御環境(例えば、大気圧を超える圧力)への曝露を含んでもよい。本開示のドライエッチングは、フォトパターニングされた金属含有EUVレジストのドライ現像、堆積した金属含有EUVレジストのベベルエッジ洗浄、またはドライエッチングを伴う他のフォトレジストプロセスに適用できる。ウェット洗浄/現像の対応する工程を省くことで、コストを削減することができる。さらに、ドライ洗浄/現像の対応する工程における真空装置およびその運用を回避することで、コストを削減することができる。
EUV感光性金属または金属酸化物含有膜(例えば、有機スズ酸化物)は、半導体基板上に配置される。不要なEUV感光性金属または金属酸化物含有膜は、ベベルエッジ洗浄によって任意で除去される。EUV感光性金属または金属酸化物含有膜は、EUV露光によって直接パターニングされる。そして、現像化学物質を用いてパターンを現像し、レジストマスクを形成する。いくつかの実施形態において、現像化学物質は、ハロゲン含有化合物を含む。現像および/またはベベルエッジ洗浄中にEUVレジストを除去するために、エッチングガスを大気条件下で半導体基板に供給してもよい。
ドライエッチング技術は、エッチングガスを流しながら、穏やかなプラズマ(低電力)または熱プロセスのいずれかを用いてもよい。大気条件下でのドライエッチングは、エッチングガスを供給するためのガス分配器と、半導体基板に面し、1つ以上の加熱素子を有する加熱アセンブリとを有するプロセスチャンバ内で行ってもよい。いくつかの実施形態において、ガス分配器は、基板支持台の上方に配置されたエッチングガス供給ノズルを含んでもよい。いくつかの実施形態において、エッチングガス供給ノズルは、半導体基板の特定の領域の上にエッチングガス供給ノズルを位置決めするための可動スイングアームに接続される。いくつかの実施形態において、加熱アセンブリは、独立して制御可能な複数の加熱ゾーンを含む。例えば、加熱アセンブリは、半導体基板の下方に配置されてもよく、発光ダイオード(LED)などの複数の加熱素子を含んでもよい。また、EUVレジストのドライエッチングを大気条件下で行うためのプロセスチャンバは、ウエハ洗浄チャンバ、ベークチャンバ、または処理チャンバであってもよい。具体的には、EUVレジストのドライエッチングを行うためのプロセスチャンバは、ウエハスピン洗浄チャンバ、ベベルエッジ洗浄チャンバ、塗布後ベークチャンバ、露光後ベークチャンバ、バッチ式炉反応器、バッファモジュール、現像チャンバ、またはヘキサメチルジシラザン(HMDS:Hexamethyldisilazane)処理チャンバであってもよい。このようなチャンバは、フォトレジスト処理用のトラックシステムまたはクラスタツールの一部であってもよい。このようにして、別のステーション、モジュール、またはチャンバに搬送することなく、ドライエッチングプロセス(例えば、ドライ現像)を、フォトレジスト処理における他のプロセスと同じステーション、モジュール、またはチャンバに統合してもよい。ツール/チャンバの統合により、スループットが向上するとともに、コストが削減される。
図1は、いくつかの実施形態に係る、フォトレジストを堆積および現像する例示的な方法のフローチャートである。プロセス100の工程は、異なる順序で行ってもよいし、かつ/または、異なる工程、これより少ない工程、もしくは追加の工程を伴って行ってもよい。プロセス100の態様を、図2A~図2Cを参照して説明する場合がある。プロセス100の1つ以上の工程は、図3A、3B、4~6、7A、7B、8A、8B、および9~14に記載の装置またはチャンバを用いて行ってもよい。いくつかの実施形態において、プロセス100の工程は、少なくとも部分的に、1つ以上の非一時的コンピュータ可読媒体に記憶されたソフトウェアに従って実施してもよい。
プロセス100のブロック102にて、フォトレジスト層が堆積される。これは、蒸着プロセスなどのドライ堆積プロセスであってもよいし、スピンオン堆積プロセスなどのウェットプロセスであってもよい。
フォトレジストは、金属含有EUVレジストであってもよい。EUV感光性金属または金属酸化物含有膜は、ウェット(例えば、スピンオン)堆積技術またはドライ(例えば、CVD)堆積技術を含むいずれの適切な技術を用いて半導体基板上に堆積してもよい。例えば、ここに記載するプロセスは、有機スズ酸化物系のEUVフォトレジスト組成物について実証されており、商業的にスピンコート可能な配合物(例えば、Inpria Corp(オレゴン州コーバリス)製のものなど)、および以下にさらに記載するドライ真空堆積技術を用いて塗布される配合物の両方に適用可能である。
半導体基板は、フォトリソグラフィ処理、特に集積回路および他の半導体装置の製造に適した任意の材料構成物を含んでもよい。いくつかの実施形態において、半導体基板は、シリコンウエハである。半導体基板は、フィーチャ(下地フィーチャ)が形成され、不規則な表面トポグラフィを有するシリコンウエハであってもよい。本明細書において「表面」とは、本開示の膜が堆積される、または処理中にEUVに露光される表面である。下地フィーチャは、本開示の方法を実施する前の処理において(例えば、エッチングによって)材料が除去された領域、または(例えば、堆積によって)材料が追加された領域を含んでもよい。このような前処理は、2つ以上のフィーチャの層が基板上に形成される反復プロセスにおいて、本開示の方法または他の処理方法を含んでもよい。
EUV感光性薄膜を、半導体基板上に堆積してもよく、このような薄膜は、後続のEUVリソグラフィおよび処理用のレジストとして機能することができる。このようなEUV感光性薄膜は、EUVに露光された際に、低密度のM-OHリッチ材料中の金属原子に結合した嵩高いペンダント置換基の損失などの変化が起き、より高密度のM-O-M結合金属酸化物材料への架橋を可能にする材料を含む。EUVパターニングにより、非露光領域と比較して物理的または化学的特性が変化した膜領域が形成される。これらの特性は、非露光領域または露光領域のいずれかを溶解させる、または露光領域もしくは非露光領域のいずれかに選択的に材料を堆積するなど、後続の処理において利用可能である。いくつかの実施形態において、非露光膜は、このような後続の処理が実行される条件下において、露光膜よりも疎水性の高い表面を有する。例えば、材料の除去は、膜の化学組成、密度、および架橋の違いを利用して行ってもよい。以下で詳述するように、除去はドライ処理により行ってもよい。
薄膜は、種々の実施形態において、有機金属材料(例えば、スズ酸化物を含む有機スズ材料)、または他の金属酸化物材料/部分である。有機金属化合物は、有機金属前駆体とカウンター反応物との気相反応において生成されてもよい。種々の実施形態において、有機金属化合物は、嵩高いアルキル基またはフルオロアルキルを有する有機金属前駆体とカウンター反応物との特定の組み合わせを混合させ、この混合物を気相で重合させて、半導体基板上に堆積される低密度のEUV感光性材料を生成することによって形成される。
種々の実施形態において、有機金属前駆体は、気相反応に耐えられる少なくとも1つのアルキル基を各金属原子に含むが、金属原子に配位した他の配位子またはイオンは、カウンター反応物に置換可能である。有機金属前駆体は、式1のものを含む。
abc ・・・(式1)
ここで、Mは、高いパターニング照射吸収断面積を有する金属、Rは、Cn2n+1(好ましくは、n≧2)などのアルキル、Lは、カウンター反応物と反応する配位子、イオン、または他の部分であり、a≧1、b≧1、c≧1である。
種々の実施形態において、Mは、1×107cm2/mol以上の原子吸収断面積を有する。Mは例えば、スズ、ハフニウム、テルル、ビスマス、インジウム、アンチモン、ヨウ素、ゲルマニウム、およびこれらの組み合わせからなる群より選択されてもよい。いくつかの実施形態において、Mはスズである。Rは、フッ素化されてもよい(例えば、式:Cnx(2n+1)を有する)。種々の実施形態において、Rは、少なくとも1つのベータ水素またはベータフッ素を有する。Rは例えば、エチル、i-プロピル、n-プロピル、t-ブチル、i-ブチル、n-ブチル、sec-ブチル、n-ペンチル、i-ペンチル、t-ペンチル、sec-ペンチル、およびこれらの混合物からなる群より選択されてもよい。Lは、カウンター反応物で容易に置換されてM-OH部分を生成する任意の部分であってもよく、例えば、アミン(ジアルキルアミノ、モノアルキルアミノなど)、アルコキシ、カルボン酸塩、ハロゲン、およびこれらの混合物からなる群より選択される部分である。
有機金属前駆体は、様々な有機金属前駆体の候補のいずれであってもよい。例えば、Mがスズの場合、このような前駆体は、t-ブチルトリス(ジメチルアミノ)スズ、i-ブチルトリス(ジメチルアミノ)スズ、n-ブチルトリス(ジメチルアミノ)スズ、sec-ブチルトリス(ジメチルアミノ)スズ、i-プロピル(トリス)ジメチルアミノスズ、n-プロピルトリス(ジメチルアミノ)スズ、エチルトリス(ジメチルアミノ)スズ、および類似アルキル(トリス)(t-ブトキシ)スズ化合物(例えば、t-ブチルトリス(t-ブトキシ)スズ)を含む。いくつかの実施形態において、有機金属前駆体は、部分的にフッ素化されている。
カウンター反応物は、化学結合によって少なくとも2つの金属原子を結合するように、反応性の部分、配位子、またはイオン(例えば、上記式1のL)を置換する能力を有する。カウンター反応物は、水、過酸化物(例えば、過酸化水素)、ジヒドロキシアルコールまたはポリヒドロキシアルコール、フッ化ジヒドロキシアルコールまたはフッ化ポリヒドロキシアルコール、フッ化グリコール、およびその他のヒドロキシル部分の供給源を含むことができる。種々の実施形態において、カウンター反応物は、隣接する金属原子間に酸素架橋を形成することによって、有機金属前駆体と反応する。他に使用可能なカウンター反応物としては、硫黄架橋を介して金属原子を架橋可能な硫化水素および二硫化水素などが挙げられる。
薄膜は、膜の化学的または物理的特性を変更する(例えば、EUVに対する膜の感度を変更する、またはエッチング耐性を増加させる)ために、有機金属前駆体およびカウンター反応物に加えて、任意の材料を含んでもよい。このような任意の材料は、半導体基板への堆積前、薄膜の堆積後、またはその両方における気相形成中のドープなどによって導入されてもよい。いくつかの実施形態において、穏やかなリモートH2プラズマを導入して一部のSn-L結合をSn-Hに置換してもよく、これにより、EUV下でのレジストの反応性を高めることができる。
種々の実施形態において、EUVパターニング可能膜は、当技術分野で公知の気相堆積装置およびプロセスを用いて半導体基板上に形成および堆積される。このようなプロセスにおいて、重合有機金属材料は、半導体基板の表面上に気相で、またはインサイチュで形成される。好適なプロセスとしては、例えば、化学気相堆積(CVD:Chemical Vapor Deposition)、原子層堆積(ALD:Atomic Layer Deposition)、およびCVD要素を含むALD(金属前駆体およびカウンター反応物が時間的または空間的に分離される、不連続なALD的プロセスなど)が挙げられる。
方法は一般に、有機金属前駆体の蒸気流をカウンター反応物の蒸気流と混合して重合有機金属材料を形成することと、この有機金属材料を半導体基板の表面に堆積することとを含む。いくつかの実施形態において、2つ以上の有機金属前駆体が蒸気流に含まれる。いくつかの実施形態において、2つ以上のカウンター反応物が蒸気流に含まれる。当業者であれば理解できるように、プロセスの混合態様および堆積態様は、実質的に連続したプロセスにおいて同時であってもよい。
例示的な連続CVDプロセスでは、有機金属前駆体およびカウンター反応物源の2つ以上のガス流が、別々の流入路でCVD装置の堆積チャンバに導入され、堆積チャンバ内でこれらのガス流が気相状態で混合および反応して凝集高分子材料を(例えば、金属-酸素-金属結合の形成によって)形成する。これらのガス流は、例えば、別々の注入口またはデュアルプレナムシャワーヘッドを用いて導入されてもよい。装置は、有機金属前駆体流とカウンター反応物の流れがチャンバ内で混合されるように構成されるため、有機金属前駆体とカウンター反応物が反応して重合有機金属材料を形成することを可能にする。本技術の機構、機能、または実用性を限定することなく、このような気相反応による生成物は、金属原子がカウンター反応物によって架橋されているため分子量が重くなり、半導体基板上に凝結、または他の形で堆積されると考えられる。種々の実施形態において、嵩高いアルキル基の立体障害が、高密度に詰まったネットワークの形成を防ぎ、滑らかな非晶質の低密度膜を形成する。
CVDプロセスは、一般に、10ミリTorr~10Torrなどの低圧で実行される。いくつかの実施形態において、このプロセスは、0.5Torr~2Torrで実行される。いくつかの実施形態において、半導体基板の温度は、反応物流の温度以下である。例えば、基板の温度は、0℃~250℃、または大気温度(例えば、23℃)~150℃であってもよい。種々のプロセスにおいて、基板への重合有機金属材料の堆積は、表面温度に反比例する速度で生じる。
いくつかの実施形態において、EUVパターニング可能膜は、当技術分野で公知のウェット堆積装置およびプロセスを用いて、半導体基板上に形成および堆積される。例えば、有機金属材料は、半導体基板の表面上にスピンコーティングによって形成される。
半導体基板の表面に形成されるEUVパターニング可能膜の厚さは、表面特性、使用材料、および処理条件に応じて異なってもよい。種々の実施形態において、膜厚は、0.5nm~100nmの範囲であってもよく、EUVパターニングの条件下でEUV光のほとんどを吸収するのに十分な厚さであればよい。EUVパターニング可能膜は、30%以上の吸収が可能であってもよい。それによって、EUVパターニング可能膜の底部に向かって利用可能なEUV光子が大幅に少なくなる。EUV吸収率が高いと、EUV露光膜の底部よりもEUV露光膜の上部付近の方で、より架橋および高密度化が進む。架橋が不十分な場合、ウェット現像ではレジストの浮き上がりや倒れが発生しやすくなる可能性があるが、ドライ現像ではそのようなリスクはない。完全ドライリソグラフィ方式では、透過性の低いレジスト膜を用いて、EUV光子のより効率的な利用が容易になる。EUV光子の効率的な利用は、高い全体吸収率を有するEUVパターニング可能膜によって達成可能であるものの、いくつかの場合、EUVパターニング可能膜は約30%未満であってもよいことが理解される。比較として、他のほとんどのレジスト膜では、レジスト膜底部のレジスト材料が十分に露光されるように、最大の全体吸収率が30%未満(例えば、10%以下または5%以下)である。いくつかの実施形態において、膜厚は10nm~40nm、または10nm~20nmである。本開示の機構、機能、または実用性を限定することなく、本開示のプロセスは、当技術分野のウェットスピンコーティングプロセスとは異なり、基板の表面接着特性に対する制約がほとんどないため、様々な種類の基板に適用可能であると考えられる。さらに、上述したように、堆積された膜は表面のフィーチャに密接に適合可能であるため、下地フィーチャを有する基板などの基板上に、これらのフィーチャを「充填」または他の方法で平坦化することなくマスクを形成する際に有利となる。
ブロック104にて、任意で洗浄プロセスを行い、半導体基板の裏面および/またはベベルエッジを洗浄する。裏面および/またはベベルエッジ洗浄では、EUVレジスト膜を非選択的にエッチングして、基板の裏面およびベベルエッジ上の様々な酸化または架橋レベルを有する膜を等しく除去してもよい。EUVパターニング可能膜をウェット堆積処理またはドライ堆積処理で塗布する際、基板のベベルエッジおよび/または裏面にレジスト材料が意図せず堆積することがある。この意図しない堆積により、好ましくない粒子が後に半導体基板の上面に移動して、粒子欠陥となる可能性がある。さらに、このベベルエッジや裏面への堆積は、パターニング(スキャナ)ツールや現像ツールの汚染など、下流の処理で問題を引き起こす可能性がある。従来、このベベルエッジや裏面における堆積物の除去は、ウェット洗浄技術によって行われている。スピンコートされたフォトレジスト材料の場合、このプロセスはエッジビード除去(EBR:Edge Bead Removal)と呼ばれ、基板をスピンさせながらベベルエッジの上方および下方から溶剤流を向けることによって行われる。同じプロセスを、蒸着技術によって堆積された可溶性有機スズ酸化物系レジストに適用可能である。しかし、いくつかの実施形態において、ベベルエッジおよび裏面の洗浄は、ドライ洗浄技術によって行ってもよい。
基板のベベルエッジおよび/または裏面洗浄は、ドライ洗浄プロセスであってもよい。いくつかの実施形態において、ドライ洗浄プロセスは、以下のガスのうちの1つ以上を有する蒸気および/またはプラズマを含む:HBr、HCl、BCl3、SOCl2、Cl2、BBr3、H2、O2、PCl3、CH4、メタノール、アンモニア、ギ酸、NF3、HF。いくつかの実施形態において、ドライ洗浄プロセスは、本明細書に記載のドライ現像プロセスと同じ化学物質を用いてもよい。例えば、ベベルエッジおよび/または裏面洗浄は、ハロゲン含有現像化学物質を用いてもよい。ベベルエッジおよび/または裏面洗浄プロセスの場合、基板の表側に膜劣化がなく、裏側およびベベルのみが除去されるように、蒸気および/またはプラズマを基板の特定の領域に制限する必要がある。
プロセス条件は、ベベルエッジおよび/または裏面洗浄用に最適化されてもよい。いくつかの実施形態において、より高温、より高圧力、および/または反応物をより高流量にすることで、エッチング速度が増加する場合がある。ベベルエッジおよび裏面のドライ洗浄に好適なプロセス条件は、以下の通りであってもよい:100~10000sccmの反応物流量(例えば、500sccmのHCl、HBr、HI、もしくはH2およびCl2もしくはBr2、BCl3もしくはH2、または他のハロゲン含有化合物)、20℃~140℃の温度(例えば、80℃)、20~1000mTorrの圧力(例えば、100mTorr)、または50~765Torrの圧力(例えば、760Torr)、高周波数(例えば、13.56MHz)の0~500Wのプラズマ電力、および約10~20秒の時間(フォトレジスト膜の組成および特性に依存する)。ベベルおよび/または裏面洗浄は、Lam Research Corporation(カリフォルニア州フリーモント)製のCoronus(登録商標)ツールを用いて行ってもよい。
あるいは、ドライ洗浄工程を完全なフォトレジスト除去、またはフォトレジスト「リワーク」に拡張してもよい。「リワーク」とは、元のフォトレジストに損傷または他の欠陥がある場合などに、塗布したEUVフォトレジストを除去して、半導体基板をフォトレジストの再塗付用に準備する工程である。フォトレジストのリワークは、下の半導体基板を損傷することなく行う必要があるため、酸素ベースのエッチングは避ける必要がある。その代わりに、本明細書に記載するようなハロゲン化物含有化学物質の変種を用いてもよい。フォトレジストのリワーク工程は、プロセス100中の任意の段階で適用してもよいことが理解される。したがって、フォトレジストのリワーク工程は、フォトレジスト堆積後、ベベルエッジおよび/もしくは裏面洗浄後、PAB処理後、EUV露光後、PEB処理後、現像後、またはハードベーク後に適用してもよい。いくつかの実施形態において、フォトレジストのリワークは、フォトレジストの露光領域および非露光領域は非選択的に除去するが、下地層に対しては選択的であるように行ってもよい。
いくつかの実施形態において、フォトレジストのリワークプロセスは、以下のガスのうちの1つ以上を有する蒸気および/またはプラズマを含む:HBr、HCl、HI、BCl3、Cl2、BBr3、H2、PCl3、CH4、メタノール、アンモニア、ギ酸、NF3、HF。
プロセス条件は、フォトレジストのリワーク用に最適化されてもよい。いくつかの実施形態において、より高温、より高圧力、および/または反応物をより高流量にすることで、エッチング速度が増加する場合がある。フォトレジストのリワークに好適なプロセス条件は、以下の通りであってもよい:100~500sccmの反応物流量(例えば、500sccmのHCl、HBr、HI、BCl3またはH2およびCl2もしくはBr2)、20℃~140℃の温度(例えば、80℃)、20~1000mTorrの圧力(例えば、300mTorr)、または50~765Torrの圧力(例えば、760Torr)、高周波数(例えば、13.56MHz)の0~800Wのプラズマ電力(例えば、500W)、0~200Vbのウエハバイアス(下の基板材料が硬いほど、より高いバイアスを用いてもよい)、およびEUVフォトレジストを完全に除去するのに十分な約20秒~3分の時間(フォトレジスト膜の組成および特性に依存する)。なお、これらの条件は、いくつかの処理反応器(例えば、Lam Research Corporation(カリフォルニア州フリーモント)製のKiyoエッチングツール)に適しているが、処理反応器の能力に応じて、より広い範囲の処理条件を用いてもよいことを理解されたい。
いくつかの実施形態において、ベベルエッジ洗浄は、大気条件下でエッチングガスを用いて行ってもよい。プロセスチャンバ内に真空環境を生成するための真空装置を必要とするのではなく、ベベルエッジ洗浄用プロセスチャンバは、大気条件に曝露されてもよい。いくつかの実施形態において、ベベルエッジ洗浄用プロセスチャンバは、金属含有EUVレジストを非真空圧力に曝してもよい。ここで、非真空圧力は、約50Torr~約765Torr、約100Torr~約765Torr、または約300Torr~約765Torrである。いくつかの実施形態において、ベベルエッジ洗浄用プロセスチャンバは、金属含有EUVレジストを大気圧を超える圧力に曝露してもよい。ここで、チャンバ圧力は約760Torrよりも大きい。いくつかの実施形態において、ベベルエッジ洗浄用プロセスチャンバは、金属含有EUVレジストを空気または周囲ガスに曝露してもよい。周囲ガスは、酸素、窒素、二酸化炭素、水蒸気、またはこれらの組み合わせを含んでもよい。いくつかの実施形態において、ベベルエッジ洗浄用プロセスチャンバは、金属含有EUVレジストを制御雰囲気に曝露してもよい。ここで、制御雰囲気は、窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、またはこれらの組み合わせへの曝露を含む。
プロセスチャンバは、温度制御用の1つ以上のヒータを含んでもよい。1つ以上のヒータは、基板の温度制御のために、プロセスチャンバ内の半導体基板に面する加熱アセンブリに結合されてもよい。例えば、加熱アセンブリは、基板支持台の下方、または基板支持台と半導体基板との間に配置されてもよい。いくつかの実施形態において、基板温度は、IRランプまたは1つ以上のLEDなどの放射加熱アセンブリを用いて制御されてもよい。EUVレジストのベベルエッジ洗浄中、半導体基板は昇温温度に供されてもよい。温度の調整を行ってもよく、温度は、エッチング速度などのエッチングの種々の側面に影響を与える場合がある。いくつかの実施形態において、ベベルエッジ洗浄は、半導体基板を約50℃以上、約100℃以上、約120℃以上、または約100℃~約230℃の温度に加熱することを含む。
いくつかの実施形態において、ベベルエッジおよび/または裏面洗浄用プロセスチャンバは、プラズマを使用しない熱プロセスチャンバであってもよい。プラズマを使用しない熱プロセスチャンバは、直接プラズマまたは遠隔プラズマを生成できないものであってもよい。いくつかの他の実施形態において、ベベルエッジおよび/または裏面洗浄用プロセスチャンバは、直接プラズマ源または遠隔プラズマ源に結合されてもよい。プラズマ源は、ベベルおよび/もしくは裏面洗浄、またはその後の脱着、スカム除去、および平滑化工程用のプラズマを生成するのに有用なものであってもよい。
いくつかの実施形態において、プロセスチャンバ内でのベベルエッジ洗浄は、ブロック106にてPAB処理を行うためのベークチャンバ、またはブロック110にてPEB処理を行うためのベークチャンバなどのベークチャンバ内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのベベルエッジ洗浄は、ブロック112にて現像を行うための現像チャンバ内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのベベルエッジ洗浄は、スピン洗浄チャンバなどのウエハ洗浄チャンバ内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのベベルエッジ洗浄は、HMDS処理チャンバなどの処理チャンバ内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのベベルエッジ洗浄は、バッチ式炉反応器内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのベベルエッジ洗浄は、枚葉式またはミニバッチ式のバッファモジュール内で行ってもよい。
プロセス100のブロック106にて、EUVパターニング可能膜の堆積後であってEUV露光前に、任意での塗布後ベーク(PAB:Post-application Bake)が行われる。PAB処理では、熱処理、化学曝露、および水分の組み合わせによりEUVパターニング可能膜のEUV感度を高めてもよく、これによりEUVパターニング可能膜のパターンを現像するためのEUV照射量が低減される。PAB処理温度は、EUVパターニング可能膜の感度を高めるように調整および最適化されてもよい。例えば、処理温度は、約90℃~約200℃、または約150℃~約190℃であってもよい。いくつかの実施形態において、PAB処理は、大気圧~真空の圧力、および約1分~15分の処理時間(例えば、約2分)で行ってもよい。いくつかの実施形態において、PAB処理は、約100℃~約230℃の温度で大気圧下で行われる。
プロセス100のブロック108にて、金属含有EUVレジスト膜を、パターン現像のためのEUV照射に露光する。一般に、EUV露光が、金属含有EUVレジスト膜内の化学組成および架橋を変化させ、エッチング選択比にコントラストを生じさせる。このコントラストを、後続の現像に利用できる。
その後、膜の一領域を(通常は比較的高い真空下で)EUV光に露光することによって、金属含有EUVレジスト膜をパターニングしてもよい。本明細書において有用なEUV装置および像形成方法は、当技術分野で公知の方法を含む。特に、上述したように、EUVパターニングにより、非露光領域と比較して物理的または化学的特性が変化した膜の露光領域が形成される。例えば、露光領域では、β-水素化物脱離などによって金属-炭素結合の開裂が起こることがあり、反応性で利用しやすい金属水素化物の官能基が残る。官能基は、後続の露光後ベーク(PEB:Post-exposure Bake)工程において金属-酸素架橋を介して水酸化物および架橋金属酸化物部分に変換することができる。このプロセスを用いて、ネガ型レジストとして現像するための化学的コントラストを形成することができる。一般に、アルキル基のβ-Hの数が多いほど高感度な膜となる。これは、分岐が多いほどSn-C結合が弱くなると説明することもできる。露光後、金属含有EUVレジスト膜をベークしてもよい。これにより、金属酸化物膜の架橋をさらに進めることができる。露光領域と非露光領域の特性の違いを後続の処理に利用して、非露光領域を溶解したり、露光領域に材料を堆積したりするなどしてもよい。例えば、ドライ法を用いてパターンを現像し、金属酸化物含有マスクを形成することができる。
特に、種々の実施形態において、表面に存在するヒドロカルビル末端スズ酸化物は、特に露光がEUVを用いて真空中で行われる場合、像形成層の露光領域において水素末端スズ酸化物に変換される。しかし、露光された像形成層を真空から空気中に取り出すか、または酸素、オゾン、H22、もしくは水を制御して導入すると、表面のSn-Hが酸化されてSn-OHになる可能性がある。露光領域と非露光領域の特性の違いを後続の処理に利用して、例えば、照射領域、非照射領域、またはその両方を、1つ以上の試薬と反応させて、選択的に像形成層に材料を追加したり、像形成層から材料を除去したりするなどしてもよい。
本技術の機構、機能、または実用性を限定することなく、例えば10mJ/cm2~100mJ/cm2の照射量のEUV露光で、Sn-C結合の開裂が生じ、それによってアルキル置換基が失われ、立体障害が緩和されて低密度膜の崩壊が可能になる。さらに、β水素化物の脱離反応において生成された反応性金属-H結合が、膜中のヒドロキシル基などの隣接する活性基と反応することで、さらなる架橋と高密度化をもたらし、露光領域と非露光領域との化学的コントラストを形成することができる。
金属含有EUVレジスト膜をEUV光に露光することで、フォトパターニングされた金属含有EUVレジストが得られる。フォトパターニングされた金属含有EUVレジストは、EUV露光領域と非露光領域とを含む。
プロセス100のブロック110にて、フォトパターニングされた金属含有EUVレジストのエッチング選択比のコントラストをさらに高めるために、任意で露光後ベーク(PEB)を行う。フォトパターニングされた金属含有EUVレジストは、種々の化学種が存在する状態で熱処理してEUV露光領域の架橋を促進してもよいし、単に周囲空気中においてホットプレート上で、例えば100℃~250℃で1~5分間ベークしてもよい(例えば、190℃で2分間)。
種々の実施形態において、ベーク方法は、ベーク周囲の慎重な制御、反応ガスの導入、および/またはベーク温度の上昇速度の慎重な制御を含む。有用な反応ガスの例としては、空気、H2O、H22蒸気、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、アルコール、アセチルアセトン、ギ酸、Ar、He、またはこれらの混合物が挙げられる。PEB処理は、(1)EUV露光中に発生する有機フラグメントの完全な蒸発を促進し、(2)EUV露光により発生するSn-H、Sn-Sn、またはSnラジカル種を酸化させて金属水酸化物にし、(3)隣接するSn-OH基間の架橋を促進してより密に架橋したSnO2様ネットワークを形成するように設計される。ベーク温度は、最適なEUVリソグラフィ性能を達成するために慎重に選択される。PEB温度が低すぎると、架橋が不十分となり、その結果、所与の照射量にて現像する際の化学的コントラストが低くなる。また、PEB温度が高すぎても、非露光領域(この例では、マスクを形成するためのパターン膜の現像によって除去される領域)における激しい酸化や膜収縮、およびフォトパターニングされた金属含有EUVレジストと下部層との界面における望ましくない相互拡散などの悪影響が生じる。これらはいずれも、化学的コントラストの喪失や、不溶性スカムによる欠陥密度の増加の一因となる可能性がある。PEB処理温度は、約100℃~約300℃、約170℃~約290℃、または約200℃~約240℃であってもよい。いくつかの実施形態において、PEB処理は、大気圧~真空の圧力、および約1分~15分の処理時間(例えば、約2分)で行ってもよい。いくつかの実施形態において、PEB熱処理を繰り返して、エッチング選択比をさらに高めてもよい。
プロセス100のブロック112にて、フォトパターニングされた金属含有EUVレジストを現像して、レジストマスクを形成する。種々の実施形態において、露光領域が除去される(ポジ型)か、または非露光領域が除去される(ネガ型)。いくつかの実施形態において、現像は、フォトパターニングされた金属含有EUVレジストの露光領域または非露光領域のいずれかに選択的に堆積させ、その後、エッチング工程を行うことを含んでもよい。種々の実施形態において、これらのプロセスは、ドライプロセスであってもよいし、ウェットプロセスであってもよい。いくつかの実施形態において、現像は、プラズマを点火することなく、ハロゲン含有ガス流によって行われてもよい。あるいは、現像は、遠隔プラズマ源において活性化された、または遠隔UV照射への露光によって活性化されたハロゲン含有ガス流によって行われてもよい。現像用フォトレジストは、スズ、ハフニウム、テルル、ビスマス、インジウム、アンチモン、ヨウ素、およびゲルマニウムからなる群より選択される元素を含んでもよい。この元素は、高いパターニング照射吸収断面積を有してもよい。いくつかの実施形態において、この元素は、高いEUV吸収断面積を有してもよい。いくつかの実施形態では、金属含有EUVレジストは、30%を超える全体吸収率を有してもよい。この場合、完全ドライリソグラフィプロセスにおいて、EUV光子がより効率的に利用でき、より厚く、よりEUV透過性の低いレジストの開発が可能になる。
現像プロセスの例としては、有機スズ酸化物含有EUV感光性フォトレジスト薄膜(例えば、厚さ10~30nm(20nmなど))を、EUV照射に露光し、露光後ベークを行い、現像することが含まれる。フォトレジスト膜は、例えば、イソプロピル(トリス)(ジメチルアミノ)スズなどの有機スズ前駆体と水蒸気との気相反応に基づいて堆積されてもよいし、有機マトリクス中にスズクラスタを含むスピンオン膜であってもよい。
図2A~2Cは、いくつかの実施形態に係る、ドライ現像の各処理段階の概略断面図である。図2A~2Cに示す例は、ネガ型ドライ現像を例示している。図2Aに示すように、ウエハ200は、基板202と、エッチング対象の基板層204とを含む。いくつかの実施形態において、基板層204は、スピンオンカーボン(SoC:Spin-on Carbon)などのアッシャブルハードマスク、または他の材料(例えば、シリコン、シリコン酸化物、シリコン窒化物、シリコン炭化物など)を含む。いくつかの実施形態において、基板層204は、基板202上に配置された層スタックであってもよい。ウエハ200はさらに、フォトパターニングされた金属含有EUVレジスト膜206を含む。例えば、フォトパターニングされた金属含有EUVレジスト膜206は、エッチング対象の基板層204上に配置された有機金属含有層であってもよい。フォトパターニングされた金属含有EUVレジスト膜206の厚さは、約5nm~約50nm、または約10nm~約30nmであってもよい。フォトパターニングされた金属含有EUVレジスト膜206は、上述したEUVスキャナでのフォトパターニング後および/またはPEB処理後に、プロセスチャンバ内に設けられてもよい。フォトパターニングされた金属含有EUVレジスト膜206は、非EUV露光領域206aおよびEUV露光領域206bを含む。図2Bに示すように、フォトパターニングされた金属含有EUVレジスト膜206の非EUV露光領域206aは、プラズマを点火することなくドライ現像化学物質の流れに曝露することにより、ドライ現像プロセスにて除去することができる。ドライ現像化学物質は、ハロゲン含有化学物質を含んでもよい。非EUV露光領域206aの除去による現像後、レジストマスク208が形成される。その後、エッチング対象の基板層204は、レジストマスク208を用いてエッチングすることができ、図2Cに示す構造が得られる。
図1に戻り、フォトパターニングされた金属含有EUVレジストは、ブロック112にて、ドライ現像化学物質への曝露によって現像される。ここで、ドライ現像化学物質は、ハロゲン含有化学物質である。いくつかの実施形態において、EUVレジストのドライ現像は、金属含有EUVレジスト膜のドライ堆積(例えば、CVD)などの他のドライ処理工程と組み合わされる。
いくつかの実施形態において、半導体基板のフォトレジスト処理は、蒸着による膜形成、裏面および/またはベベルエッジのドライ洗浄、PAB処理、EUVリソグラフィパターニング、PEB処理、ならびにドライ現像を含むすべてのドライ工程を組み合わせてもよい。プロセス100のブロック102~114における工程の各々は、ドライ処理工程であってもよい。このような処理工程により、ウェット現像などのウェット処理工程に伴う材料および生産コストを回避してもよい。ドライ処理の場合、調整可能度が増すとともに、限界寸法(CD)制御およびスカム除去がさらに可能になる。ウェット現像は、溶解性およびクラスタサイズによって制限されるが、ドライ現像は溶解性およびクラスタサイズによって制限されない。ウェット現像は、ドライ現像では回避できるパターン崩壊や層間剥離の問題がより発生しやすい。
いくつかの実施形態において、ドライ現像プロセスは、ドライ現像化学物質を流しながら、穏やかなプラズマ(高圧、低電力)または熱プロセスのいずれかを用いて行うことができる。例えば、ドライ現像は、ドライ現像化学物質を用いた熱プロセスにて行うことができる。いくつかの実施形態において、ドライ現像は、非露光材料を迅速に除去することができ、例えば従来のエッチングプロセスなどのプラズマベースのエッチングプロセスによって下層に転写可能な露光膜のパターンを残すことができる。
熱現像プロセスでは、基板はプロセスチャンバ(例えば、オーブン)内で現像化学物質(例えば、ルイス酸)に曝露される。プロセスチャンバ内に真空環境を生成するための真空装置を必要とする代わりに、プロセスチャンバは大気条件に曝露されてもよい。すなわち、熱現像用プロセスチャンバは、フォトパターニングされた金属含有EUVレジストを非真空圧力に曝露することができる。ここで、非真空圧力は、約50Torr~約765Torr、約100Torr~約765Torr、または約300Torr~約765Torrである。いくつかの実施形態において、熱現像用プロセスチャンバは、金属含有EUVレジストを大気圧を超える圧力に曝露してもよい。ここで、チャンバ圧力は約760Torrよりも大きい。いくつかの実施形態において、熱現像用プロセスチャンバは、フォトパターニングされた金属含有EUVレジストを空気または周囲ガスに曝露してもよい。周囲ガスは、酸素、窒素、二酸化炭素、水蒸気、またはこれらの組み合わせを含んでもよい。いくつかの実施形態において、熱現像用プロセスチャンバは、フォトパターニングされた金属含有EUVレジストを制御雰囲気に曝露してもよい。ここで、制御雰囲気は、窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、またはこれらの組み合わせへの曝露を含む。
熱現像用プロセスチャンバは、温度制御用の1つ以上の加熱素子を含んでもよい。1つ以上の加熱素子は、基板の温度制御のために、プロセスチャンバ内の半導体基板に面する加熱アセンブリに結合されてもよい。いくつかの実施形態において、1つ以上の加熱素子は、1つ以上のLEDであってもよい。LEDは、独立して制御可能な複数の加熱ゾーンに配置されてもよい。EUVレジストのドライ現像中、半導体基板は昇温温度に供されてもよい。温度の調整を行ってもよく、温度は、エッチング選択比などの現像の種々の側面に影響を与える場合がある。いくつかの実施形態において、ドライ現像は、半導体基板を約50℃以上、約100℃以上、約120℃以上、または約100℃~約230℃の温度に加熱することを含む。
熱現像用プロセスチャンバは、基板支持台の上方に配置されたエッチングガス供給ノズルまたはエッチングガス分配器を含んでもよい。エッチングガス流量の調整を行ってもよく、ガス流量は、現像時の露出領域と非露出領域との間のエッチング選択比に影響を与える場合がある。いくつかの実施形態において、ガス流量は、0.5SLM~約30SLM、約1SLM~約20SLM、または約2SLM~約15SLMである。ガス流量は、エッチングガスおよびキャリアガス(窒素、アルゴンなど)を含む、流されるガスの総流量を含む。エッチングガスの流量は、総流量のごく一部であってもよい。ここで、エッチングガスの流量は、約0.01SLM~約1SLMであってもよい。熱現像プロセスにおいて、露光時間の調整を行ってもよい。露光時間は、特に、所望のレジスト除去量、現像化学物質、レジスト中の架橋量、ならびにレジストの組成および性質に依存する場合がある。いくつかの実施形態において、露光時間は、約1秒~約50分、約3秒~約20分、または約10秒~約6分であってもよい。
ドライ現像中のエッチング選択比は、調整可能なプロセス条件の中でも特に温度、圧力、ガス流、ガス組成、およびプラズマ電力などのプロセス条件を制御することによって調整可能である。単一の工程または複数の工程でエッチング選択比を調整することで、所望のパターン化特性を達成してもよい。いくつかの実施形態において、EUVレジストプロファイルに影響を与えるように、ドライ現像中のエッチング選択比が1つ以上の工程にわたって調整される。より具体的には、エッチング選択比の異なる現像化学物質を1つ以上の工程にわたって適用することにより、EUVレジストプロファイルにおけるテーパ量またはリエントラント角を制御することができる。また、調整可能なエッチング選択比に応じて、スカム除去、フォトレジストリワーク、硬化、平滑化、および洗浄工程も調整することができる。
いくつかの実施形態において、ドライ現像用プロセスチャンバは、プラズマを使用しない熱プロセスチャンバであってもよい。プラズマを使用しない熱プロセスチャンバは、直接プラズマまたは遠隔プラズマを生成できないものであってもよい。いくつかの他の実施形態では、ドライ現像用プロセスチャンバは、直接プラズマ源または遠隔プラズマ源に結合されてもよい。プラズマ源は、ドライ現像またはその後の脱着、スカム除去、および平滑化工程用のプラズマを生成するのに有用なものであってもよい。
いくつかの実施形態において、プロセスチャンバ内でのドライ現像は、ブロック106にてPAB処理を行うためのベークチャンバ、またはブロック110にてPEB処理を行うためのベークチャンバなどのベークチャンバ内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのドライ現像は、ブロック104にてベベルエッジ洗浄を行うためのベベルエッジ洗浄チャンバなどのウエハ洗浄チャンバ内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのドライ現像は、スピン洗浄チャンバなどのウエハ洗浄チャンバ内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのドライ現像は、HMDS処理チャンバなどの処理チャンバ内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのドライ現像は、バッチ式炉反応器内で行ってもよい。いくつかの実施形態において、プロセスチャンバ内でのドライ現像は、枚葉式またはミニバッチ式のバッファモジュール内で行ってもよい。
プロセス100のブロック114にて、半導体基板のハードベークが任意で行われる。ハードベークプロセス中、半導体基板は昇温温度に供される。例えば、半導体基板は、約50℃以上、約100℃~約300℃、または約170℃~約290℃の昇温温度に供されてもよい。ハードベークプロセスにより、残存する溶媒またはエッチングガスをドライ現像から除去してもよい。
図3Aおよび図3Bは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うための例示的なプロセスチャンバの概略図である。図3Aおよび図3Bにおいて、プロセスチャンバ300は大気と連通している。プロセスチャンバ300は、半導体基板320が支持されるプラテンまたは基板支持台310を含む。いくつかの実施形態において、基板支持台310は、半導体基板320の基板温度を制御するように構成された1つ以上のヒータ(図示しない)に結合されてもよい。いくつかの実施形態において、基板支持台310は、半導体基板320が回転するように、半導体基板320をスピンさせるように構成されてもよい。いくつかの実施形態において、基板支持台310は、PABまたはPEB処理に用いられるベークプレートであってもよい。驚くべきことに、プロセスチャンバ300を真空状態にすることなく、EUVレジストのドライエッチング(例えば、ドライ現像)を開放空気環境の大気圧下で、または大気圧を超える制御雰囲気下で行うことができる。いくつかの実施形態において、ドライエッチングを行うためのプロセスチャンバは、非プラズマベースの熱プロセスチャンバであってもよい。言い換えれば、非プラズマベースの熱プロセスチャンバは、直接プラズマまたは遠隔プラズマを生成できないものであってもよい。いくつかの他の実施形態において、ドライエッチングを行うためのプロセスチャンバは、プラズマベースのプロセスチャンバであってもよい。
図3Aにおいて、装置は、プロセスチャンバ300を含む。プロセスチャンバ300は、大気条件に曝露される。いくつかの実施形態において、プロセスチャンバ300は、ベークチャンバである。いくつかの実施形態において、装置は、プロセスチャンバ300内で半導体基板320を支持するためのベークプレート310を含む。いくつかの実施形態において、ベークプレート310は、上面と、ベークプレート310の上面よりも高い位置で半導体基板を支持するための複数の最小接触面積(MCA:Minimum Contact Area)支持部(図示しない)とを含む。ベークプレート310は、各々が1つ以上の加熱素子を含む複数の加熱ゾーン(図示しない)を含んでもよい。装置は、ベークプレート310の上方に配置されたエッチングガス分配器330をさらに含む。エッチングガス分配器330は、半導体基板320に面するフェースプレートを有するシャワーヘッドであってもよい。ここで、フェースプレートは、半導体基板320にエッチングガスを供給するための複数の貫通孔を含む。シャワーヘッドは、ドライ現像、ドライベベルエッジ洗浄、または他のドライフォトレジストプロセス中にエッチングガスを導入する機能を果たしてもよい。いくつかの実施形態において、シャワーヘッドは、半導体基板320全体に、または半導体基板320の特定の領域に局所的にエッチングガスを供給してもよい。プロセスチャンバ300は必ずしも真空状態にはされないが、エッチング副生成物および他の残留ガスを除去するために、排気ファンまたはポンプがプロセスチャンバ300に結合されてもよい。
図3Bにおいて、装置は、プロセスチャンバ300を含む。プロセスチャンバ300は、大気条件に曝露される。プロセスチャンバ300は、必ずしも真空ポンプまたは他の真空機器に接続されないことが理解される。しかしながら、プロセスチャンバ300は、エッチング副生成物および他の残留ガスを除去するために、排気ファンまたはポンプに結合されてもよい。いくつかの実施形態において、プロセスチャンバ300は、ウエハスピン洗浄チャンバである。いくつかの実施形態において、装置は、プロセスチャンバ300内で半導体基板320を保持するための基板支持台310を含む。基板支持台310は、半導体基板320を回転させるように構成されてもよい。装置は、プロセスチャンバ300内で半導体基板320に面する加熱アセンブリ(図示しない)をさらに含む。加熱アセンブリは、複数の加熱素子を含む。複数の加熱素子は、複数のLEDを含んでもよい。複数のLEDは、独立して制御可能な複数の加熱ゾーンに配置されてもよい。装置は、基板支持台310の上方に配置されたエッチングガス供給ノズル340をさらに含む。エッチングガス供給ノズル340は、エッチングガスの供給位置が半導体基板320の上方に位置するように移動可能である。いくつかの実施形態において、エッチングガス供給ノズル340は、半導体基板320の特定の領域上に局所的にエッチングガス供給ノズル340を位置決めするための可動スイングアームに結合される。例えば、可動スイングアームは、半導体基板320の中心から端部まで、エッチングガス供給ノズル340を半径方向に位置決めしてもよい。いくつかの実施形態において、エッチングガス供給ノズル340は、半導体基板320の表面に対して傾斜または垂直に配置される。
フォトレジストのドライ現像またはベベルエッジ洗浄用プロセスチャンバは、トラックリソグラフィシステム内に実装されてもよい。フォトリソグラフィに関連する多くの工程は、制御された方法で半導体基板を順次処理する能力を有するマルチチャンバシステムまたはクラスタツール内で行われることが一般的である。フォトレジスト材料を堆積および現像するためのクラスタツールは、トラックリソグラフィツールと呼ばれることもある。トラックリソグラフィツールは一般的に、フォトリソグラフィの各種要素を実行するための複数の専用プロセスチャンバを収容するメインフレームを含む。プロセスチャンバのうち、一部はウェットプロセスチャンバであってもよいし、一部はドライプロセスチャンバであってもよい。プロセスチャンバのうち、一部は開放空気環境に曝露されてもよいし、一部は真空環境に置かれてもよい。ドライ除去用プロセスチャンバは、トラックリソグラフィツール内で、開放空気環境に曝露されてもよいし、大気圧を超える制御雰囲気に曝露されてもよい。トラックリソグラフィツールは、半導体基板を受け取り、クリーンルームに戻すためのポッド/カセット搭載装置を含むことが多い。また、トラックリソグラフィツールは、半導体基板を各種のチャンバ/ステーション間で搬送するための搬送ロボットを含むことが多い。
図4は、いくつかの実施形態に係る、フォトレジスト処理用の例示的なシステム構造またはトラックシステムの概略図である。トラックシステム400は、カセット搭載部410と、処理部420と、インタフェース部430と、露光部440とを含む。半導体ウエハWは、カセット402にて受け取られ、カセット404に戻されてもよい。処理部420は、複数のプロセスチャンバまたはステーション421~426を有してもよい。搬送ロボット455が、チャンバ間で半導体ウエハを搬送するために、中央通路450に沿って移動するように構成されてもよい。本開示では、複数のプロセスチャンバまたはステーション421~426のうちの少なくとも1つは、大気条件に曝露され、半導体ウエハWから金属含有EUVレジストの1つ以上の部分をドライ除去するように構成される。
露光部440は、インタフェース部430を介して処理部420に接続される。露光部440は、半導体ウエハW上の感光材料を電磁照射(例えば、EUV照射)に露光するためのスキャナを含んでもよい。
処理部420は、半導体ウエハWにフォトレジスト材料を堆積するための1つ以上の堆積チャンバ421を含んでもよい。堆積チャンバ421のうちの1つは、反射防止被膜などのレジスト被膜を堆積するように構成されてもよく、堆積チャンバ421のうちの別の1つは、フォトレジスト材料を堆積するように構成されてもよい。処理部420は、ウエハ洗浄チャンバ422をさらに含んでもよい。例えば、ウエハ洗浄チャンバ422は、純水ジェット流で半導体ウエハWを洗浄してもよい。処理部420は、処理チャンバ423をさらに含んでもよい。例えば、処理チャンバ423は、半導体ウエハWの表面にHMDSを塗布するための付着処理チャンバを含んでもよい。いくつかの実施形態において、処理部420は、1つ以上のベークチャンバ424をさらに含む。例えば、各ベークチャンバ424は、半導体ウエハWを加熱するための1つ以上のベークプレートを有してもよい。ベークチャンバ424は、フォトレジスト処理におけるPAB処理またはPEB処理を実行してもよい。いくつかの実施形態において、処理部420は、回転ブラシで半導体ウエハWをスクラブするためのスクラブステーション425をさらに含む。いくつかの実施形態において、処理部420は任意の構成として、現像化学物質を用いてフォトレジストを現像するための現像チャンバ426を含む。
本開示において、ドライ除去用プロセスチャンバは、トラックリソグラフィシステム400内のプロセスチャンバ421~426のいずれかであってもよいことが理解される。したがって、ドライ除去用の専用ステーションではなく、トラックリソグラフィシステム400内のプロセスチャンバは、ドライ除去を行うように変更されてもよいし、ドライ除去をベーキング、洗浄、スクラブ、処理、堆積、または他のフォトレジスト処理機能とともに行うように統合されてもよい。一例として、金属含有EUVを堆積させるための堆積チャンバ421は、半導体ウエハWから金属含有EUVレジストの1つ以上の部分をドライ除去するように構成されてもよい。別の例として、ベークチャンバ424は、半導体ウエハWから金属含有EUVレジストの1つ以上の部分をドライ除去するように構成されてもよい。さらに別の例として、ウエハ洗浄チャンバ422は、半導体ウエハWから金属含有EUVレジストの1つ以上の部分をドライ除去するように構成されてもよい。さらに別の例として、半導体ウエハWの表面を処理するためのHMDS処理チャンバは、半導体ウエハWから金属含有EUVレジストの1つ以上の部分をドライ除去するように構成されてもよい。あるいは、現像チャンバ426が、ドライ除去とともにベーク、洗浄、スクラブ、処理、堆積、または他のフォトレジスト処理機能を実行するように変更されてもよい。ドライ除去は、大気条件下で行われてもよい。
図5は、いくつかの実施形態に係る、フォトレジスト処理用の別の例示的なシステム構造またはトラックシステムの概略図である。トラックリソグラフィシステム500は、フロントエンドモジュール510(工場インタフェースとも呼ばれる)と、中央モジュール520と、リアモジュール530(スキャナインターフェースとも呼ばれる)とを含む。フロントエンドモジュール510は、1つ以上のポッドまたは前開き一体型ポッド(FOUP:Front Opening Unified Pod)512を含む。FOUP512は、トラックリソグラフィシステム500において処理される1つ以上の半導体ウエハWを受け取るように構成される。
フロントエンドモジュール510は、フロントエンドロボット518とともに第1の処理ラック514および第2の処理ラック516を含む。中央モジュール520は、中央ロボット528とともに第1の処理ラック524および第2の処理ラック526を含む。リアモジュール530は、バックエンドロボット538とともに第1の処理ラック534および第2の処理ラック536を含む。いくつかの実施形態において、リアモジュール530の処理ラック534および536は、ステッパまたはスキャナ540を用いて半導体ウエハWを交換するように構成されてもよい。スキャナ540は、半導体ウエハに堆積されたフォトレジスト材料を何らかの形態の電磁照射(例えば、EUV照射)に露光する。
処理ラック514、516、524、526、534、536の各々は、垂直に積層された配置で複数の処理モジュールを含んでもよい。すなわち、処理ラック514、516、524、526、534、536の各々は、積層された複数の被覆モジュール、熱もしくはベークモジュール、洗浄モジュール、現像モジュール、またはフォトレジスト処理工程を実行するように適合された他のモジュールを含んでもよい。一例として、被覆モジュールは、反射防止被覆またはフォトレジスト層を堆積してもよく、熱およびベークモジュールは、ベーク/冷却工程を実行してもよく、現像モジュールは、現像工程を実行してもよい。トラックリソグラフィシステム500における処理ラックは、ドライ除去を行うように変更されてもよいし、ドライ除去を被覆、熱もしくはベーク工程、洗浄、または他のフォトレジスト処理機能とともに行うように統合されてもよい。処理ラックは、ドライ除去を行うために大気条件に曝露されてもよい。
いくつかの実施形態において、トラックリソグラフィシステム500は、スキャナ540と通信し、フロントエンドモジュール510、中央モジュール520、およびリアモジュール530で行われるプロセスの態様を監視および制御するように構成されたコントローラ550をさらに含む。コントローラ550の態様は、以下でさらに詳細に説明する。
大気条件下でのドライエッチプロセス(例えば、ドライ現像)は、ベークプレートまたはオーブンなどの熱プロセスチャンバにおいて行われてもよい。いくつかの実施形態において、熱プロセスチャンバは、フォトレジスト処理においてPABまたはPEB処理を追加で行ってもよい。いくつかの実施形態において、フォトレジストを堆積および現像する方法は、金属含有EUVレジストをドライ現像するのと同じプロセスチャンバ内で、金属含有EUVレジストを昇温温度でベークすることを含む。いくつかの実施形態において、フォトレジストを堆積および現像する方法は、半導体基板から金属含有EUVレジストをベベルエッジ洗浄するのと同じプロセスチャンバ内で、金属含有EUVレジストを昇温温度でベークすることを含む。
PABまたはPEB熱処理は、基板温度を約100℃~約170℃または約120℃~約150℃などの昇温温度に上昇させる。いくつかの実施形態において、基板温度は、IRランプまたは1つ以上のLEDなどの放射性熱源を用いて制御されてもよい。放射性熱源は、基板の下方に配置されてもよい。あるいは、放射性熱源は、基板の上方に配置されてもよい。基板温度は、放射熱源との間で確立されたフィードバック制御ループにおいて、パイロメータによって能動的に制御されてもよい。PABまたはPEB熱処理中の雰囲気は、N2、Ar、He、Xe、またはNeなどの不活性ガスを流すことによって制御されてもよい。ここで、不活性ガスは、O2および/またはH2Oと混合されてもよい。いくつかの実施形態において、PABまたはPEB熱処理中の圧力は、大気圧以下の圧力に制御されてもよい。いくつかの実施形態において、PABまたはPEB処理中の圧力は、大気圧を超える圧力に制御されてもよい。
いくつかの実施形態において、熱プロセスチャンバは、ソースからの熱を拡散して半導体基板を加熱するのに使用可能な、アルミニウムなどの高導電性金属で構成された基板支持台を含む。いくつかの実施形態において、熱プロセスチャンバは、1つ以上の抵抗加熱素子が埋め込まれているか、または1つ以上の抵抗加熱素子と熱連通しているベークプレートを含む。ベークプレートは、種々のゾーン温度を制御するために複数の加熱ゾーンを含んでもよい。いくつかの実施形態において、1つ以上のプロキシミティピンまたはMCA支持部は、ベークプレートの上面上方の半導体基板の高さを調整して、基板温度を制御するように構成されてもよい。いくつかの実施形態において、フェースプレートがベークプレートの上方に配置されてもよい。フェースプレートの温度によって、基板温度の制御をさらに実現してもよい。
大気条件下でのドライエッチングプロセス(例えば、ドライ現像)は、バッチ式炉反応器において行われてもよい。バッチ式炉反応器は、一度に複数の基板を処理するための縦型オーブンであってもよく、大きな圧力範囲にわたって動作してもよい。例えば、このような縦型オーブンは、約50Torr~約765Torr、または約760Torr以上の圧力で動作してもよい。大気圧を超える圧力で動作させることは、酸素や空気によるリークイン汚染の回避に有用な場合がある。
図6は、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うための例示的なバッチ式縦型炉の概略図である。バッチ式縦型炉600は、基板606をバッチ処理するための縦型オーブンであってもよい。バッチ式縦型炉600は、複数の基板606を保持するための基板支持台620を含んでもよい。図6に示すように、複数の基板606は、支持されるとともに互いに積層されてもよい。各基板606は、基板支持台620に支持され、重力によって保持されてもよい。これにより、バッチ式縦型炉600に1回通すことで、数十枚または数百枚のウエハ606をバッチ処理できる。バッチ式縦型炉600は、複数の基板606を昇温温度に供するための複数の加熱素子610を含む。図6に示すように、複数の加熱素子610は、バッチ式縦型炉600のチャンバ壁602を取り囲んでもよい。ただし、複数の加熱素子610は、基板支持台620に組み込まれてもよいことが理解される。いくつかの実施形態において、基板支持台620は、複数の基板606の各々を保持するための複数のプレートであってもよい。いくつかの実施形態において、基板支持台620は、複数の基板606の各々をスロット付きの支持枠のように端部で支持してもよい。
バッチ式縦型炉600は、バッチ式縦型炉600のチャンバ壁602によって囲まれた炉反応器にエッチングガスを供給するための1つ以上のエッチングガス入口630をさらに含む。1つ以上のエッチングガス入口630は、エッチングガスに加えて、またはこれに代えて、堆積工程用の前駆体ガスまたはパージ工程用のパージガスを供給してもよい。1つ以上のエッチングガス入口630は、複数の基板606の基板表面にわたって左右に、または平行にガス流632を供給してもよい。これにより、複数の基板606にわたるガス流の均一性が促進される。複数の基板606の各々は、金属含有EUVレジストを含んでもよい。エッチングガスは、金属含有EUVレジストと反応して、複数の基板から金属含有EUVレジストの1つ以上の部分を除去してもよい。これは、ベベルエッジ洗浄プロセス、ドライ現像、または他のフォトレジスト処理工程において行われてもよい。
いくつかの実施形態において、バッチ式縦型炉600は、基板支持台620を回転させるように構成されたモータ650をさらに含む。このようにして、複数の基板606は、昇温温度に供され、かつ/またはエッチングガスに曝露されている間、回転させられてもよい。これにより、ガス入口および/またはガス出口の位置に起因する差異の可能性が低減される。いくつかの実施形態において、バッチ式縦型炉600は、1つ以上のガス出口640をさらに含む。1つ以上のガス出口640は、バッチ式縦型炉600からエッチング副生成物および残留ガスを除去するように構成されてもよい。いくつかの実施形態において、1つ以上のガス出口640は、真空ポンプまたは排気ファンに結合されてもよい。
いくつかの実施形態において、バッチ式縦型炉600のチャンバ壁602は、エッチングガス(特に、HBr、HCl、またはBCl3などのハロゲン系化合物を含むエッチングガス)に対して耐性を有する材料を含む。例えば、チャンバ壁602の材料は、腐食が比較的生じやすいアルミニウム系材料ではなく、石英、セラミック材料、または低温ポリマー材料を含んでもよい。いくつかの実施形態において、低温ポリマー材料は、ポリテトラフルオロエチレン(PTFE)であってもよい。バッチ式縦型炉600は、低温、エッチング反応物の化学量論の厳密な制御、または複数の基板表面にわたるガス流の均一性を必要としないドライ現像または他のフォトレジストプロセスにおいて有用な場合がある。
大気条件下でのドライエッチングプロセス(例えば、ドライ現像)は、枚葉式またはミニバッチ式のバッファモジュールにおいて行われてもよい。このようなバッファモジュールは、制御雰囲気を提供してもよい。制御雰囲気は、加熱工程または冷却工程で使用されてもよい。制御雰囲気は、空気または周囲ガスへの曝露を含んでもよい。あるいは、制御雰囲気は、窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、またはこれらの組み合わせへの曝露を含んでもよい。いくつかの実施形態において、制御雰囲気は、大気圧または大気圧を超える圧力で運用されてもよい。
バッファモジュールは、1つ以上の基板を保持してもよく、基板は金属含有EUVレジストを含んでもよい。枚葉式またはミニバッチ式のバッファモジュールは、1つ以上の基板にエッチングガスを供給するための1つ以上のエッチングガス入口を含んでもよい。エッチングガスは、金属含有EUVレジストの1つ以上の部分を除去してもよい。いくつかの実施形態において、バッファモジュールは、300mmウエハのFOUPまたは収納ケース内で使用されてもよい。バッファモジュールは、揮発性スズ含有副生成物などのエッチング副生物を除去するための低速および/または高温の脱ガス工程に直接適用されてもよい。
大気条件下でのドライエッチングプロセス(例えば、ドライ現像)は、ウエハ洗浄チャンバにおいて行われてもよい。ウエハ洗浄チャンバは、半導体基板にガスまたは液体を供給するための1つ以上の吐出ノズルを備えてもよい。いくつかの実施形態において、ウエハ洗浄チャンバは、回転する基板の端部から外側へと処理流体が移動されるような回転を行うように構成された基板支持台またはチャックを有してもよい。いくつかの実施形態において、基板支持台またはチャックは、半導体基板を加熱するための1つ以上の加熱素子を含んでもよく、または1つ以上の加熱素子に結合されてもよい。半導体基板を加熱することにより、一般的には、リンス液の蒸発を促進してもよい。いくつかの実施形態において、ウエハ洗浄ステーションは、半導体基板のベベルエッジ洗浄を行うように構成されてもよい。いくつかの実施形態において、ウエハ洗浄ステーションは、プラズマを使用しない熱プロセスステーションまたはチャンバであってもよい。いくつかの他の実施形態において、ウエハ洗浄ステーションは、脱着、スカム除去、および平滑化工程を行うために直接プラズマまたは遠隔プラズマを生成するように設けられてもよい。
いくつかの実施形態において、フォトレジストを堆積および現像する方法は、金属含有EUVレジストをドライ現像するのと同じプロセスチャンバ内で、半導体基板のベベルエッジ洗浄を行うことを含む。いくつかの実施形態において、フォトレジストを堆積および現像する方法は、半導体基板のベベルエッジ洗浄を行うのと同じプロセスチャンバ内で、金属含有EUVレジストをドライ現像することを含む。
図7Aおよび7Bは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うように構成されたウエハ洗浄ステーションの概略図である。いくつかの実施形態において、ウエハ洗浄ステーション700は、スピンリンスドライ洗浄ステーションであってもよい。ウエハ洗浄ステーション700は、基板支持台710と、液体ノズル714と、ガスノズル716とを含む。液体ノズル714は、液体供給スイングアーム704の遠端部に取り付けられるように、液体供給アームまたは可動スイングアーム704に取り付けられてもよい。ガスノズル716は、ガス供給スイングアーム706の遠端部に取り付けられるように、ガス供給アームまたは可動スイングアーム706に取り付けられてもよい。図7Aおよび7Bに示されるように、両ノズル714、716は、液体またはガスが半導体基板702の中心に向けて供給されるように位置決めされる。処理流体は、液体ノズル714から半導体基板702に吐出されてもよい。いくつかの実施形態において、処理流体は、水ジェットとして吐出されてもよい。洗浄工程中、半導体基板702は回転していてもよく、また液体ノズル714は、処理流体に作用する遠心力によって処理流体が半導体基板702の端部から飛び出すように、処理流体を半導体基板702に供給していてもよい。液体ノズル714は、半導体基板702の端部に向かって回動させることができる。ガスノズル716は、半導体基板702の表面の乾燥を補助してもよい。ガスノズル716は、半導体基板702の中心から離れるように回動可能な軸に沿って回転するように回動されてもよい。言い換えると、ガスノズル716は、半導体基板702の端部に向かって半径方向外側に移動することができる。ガスノズル716は、平行移動または回転などの他の移動態様を行うように構成されてもよい。液体ノズル714および/またはガスノズル716の位置決めは、コントローラおよびフィードバックループを介して制御されてもよい。
ウエハ洗浄ステーション700は、大気条件下でのドライ現像および/またはドライベベルエッジ洗浄を行うように変更または統合されてもよい。具体的には、ガスノズル716およびガス供給アーム706は、フォトレジストの現像またはフォトレジストのベベルエッジ洗浄用にエッチングガスを供給する機能を果たしてもよい。ガス供給アーム706は、半導体基板602における特定の局所領域上にガスノズル616を正確に位置決めするように移動可能である。半導体基板702上への局所的なガス供給により、フォトレジストのドライ現像またはベベルエッジ洗浄における均一性を高めることを可能にしてもよい。
図8Aおよび図8Bは、いくつかの実施形態に係る、フォトレジストのドライエッチングを行うように構成されたウエハ洗浄・加熱ステーションの概略図である。ウエハ洗浄・加熱ステーション800は、半導体基板802の局所加熱、および半導体基板802への流体(例えば、ガスまたは液体)供給を行うように構成されてもよい。回転チャック810は、半導体基板802を保持し回転するように設計されてもよい。いくつかの実施形態において、半導体基板802は、一連の把持ピン816によって保持される。把持ピン816は、プレート825の開口部を通過してもよい。プレート825は、石英またはサファイアで構成されてもよい。プレート825は、回転チャック810に固定されてもよい。半導体基板802が回転チャック810に配置される際、半導体基板802は、その下面がプレート825と平行になり、かつわずかな隙間だけプレート825から離間するように、プレート825の上方に保持される。いくつかの実施形態において、ウエハ洗浄・加熱ステーション800は、ウエハ洗浄・加熱ステーション800からエッチング副生成物および他の残留ガスを除去するための排気ファン(図示しない)をさらに含む。いくつかの実施形態において、ウエハ洗浄・加熱ステーション800は、不活性ガスまたは希釈ガスをウエハ洗浄・加熱ステーション800内に供給するためのガス源(図示しない)をさらに含む。ガス源は、圧力を大気圧超に上昇させ、かつ/または、空気以外の有用なガスを半導体基板802に供給してもよい。
回転チャック810に隣接して、可動スイングアーム830が設けられる。可動スイングアーム830は、その駆動モータ834を中心に回動運動を行うように取り付けられる。可動スイングアーム830には吐出流体が供給される。吐出流体は、可動スイングアーム830の吐出ノズル832を介して下方に吐出される。可動スイングアーム830は、実線で示す待機位置と破線で示す中央位置との間で移動可能である。したがって、吐出ノズル832は、半導体基板802の全半径にわたってスキャン可能であり、半導体基板802が回転チャック810によって回転されると、その上面全体に吐出流体を吐出することができる。本開示のいくつかの実施形態において、吐出流体は、金属含有EUVレジストのドライ現像または金属含有EUVレジストのベベルエッジ洗浄用のエッチングガスを含む。本開示のいくつかの実施形態において、ウエハ洗浄・加熱ステーション800は、開放空気環境に曝露されてもよい。例えば、ウエハ洗浄・加熱ステーション800内の圧力は、約50Torr~約765Torrであってもよい。本開示のいくつかの実施形態において、ウエハ洗浄・加熱ステーション800は、制御雰囲気下に置かれてもよい。例えば、制御雰囲気は、大気圧または大気圧を超える圧力への曝露を含んでもよい。制御雰囲気は、窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、もしくはこれらの組み合わせなどの不活性ガスまたは希釈ガスへの曝露を含んでもよい。
プレート825の下には、加熱アセンブリ850が設けられている。加熱アセンブリ850は、固定ポスト820に取り付けられているため、回転しない。加熱アセンブリ850は、独立して制御可能な複数の加熱ゾーンに対する複数の加熱素子852を含んでもよい。いくつかの実施形態において、複数の加熱素子852は、青色LEDなどの複数のLEDを含む。したがって、加熱アセンブリ850は、放射加熱アセンブリであってもよい。複数の加熱素子852は、コントローラ854に接続されてもよい。コントローラ854は、複数の加熱素子852のオンオフ、および電力を制御してもよい。いくつかの実施形態において、コントローラ854はさらに、可動スイングアーム830の位置決めのために駆動モータ834と通信する。
図8Aに示すように、複数の加熱素子852は、複数の同心円状に配置された複数のLEDであってもよい。例えば、複数のLEDは、20個の同心円に配置されてもよく、各円は16の倍数である。したがって、このような配置により、各同心円を別々の加熱ゾーンとして個別に制御することができる。半導体基板802は、加熱アセンブリ850における独立して制御可能な加熱ゾーンの数に対応するN個(1、2、3、4、...N)のゾーンに分割されると考えてもよい。この配置により、半導体基板802の迅速な局所加熱が可能になる。
加熱アセンブリ850における独立して制御可能な加熱ゾーンへの電力供給の制御は、吐出ノズル832の半径方向位置に対応してもよい。したがって、コントローラ854は、吐出ノズル832の半径方向位置に基づいて、関連する加熱ゾーンの加熱素子852への電力供給を制御してもよい。
いくつかの実施形態において、吐出ノズル852は、金属含有EUVレジストのドライ現像を行うために半導体基板802の領域上に位置決めされてもよい。さらに、加熱アセンブリ850は、半導体基板802における領域の局所加熱を行って、金属含有EUVレジストのドライ現像を促進してもよい。いくつかの実施形態において、ウエハ洗浄・加熱ステーション800における金属含有EUVレジストのドライ現像は、大気条件下で行われてもよい。
いくつかの実施形態において、吐出ノズル852は、半導体基板802のベベルエッジ洗浄を行うために半導体基板802のベベルエッジ領域上に配置されてもよい。具体的には、ベベルエッジ洗浄は、半導体基板802のベベルエッジを、金属含有EUVレジスト除去用のエッチングガスに曝露する。金属含有EUVレジストは、様々な温度のエッチングガスを用いて除去できるが、温度が高いほど、エッチング速度が上昇する場合がある。いくつかの実施形態において、加熱アセンブリ850は、半導体基板802のベベルエッジにおいて局所加熱を行って、金属含有EUVレジストの除去を促進してもよい。いくつかの実施形態において、ウエハ洗浄・加熱ステーション800における金属含有EUVレジストのベベルエッジ洗浄は、大気条件下で行われてもよい。
本開示の装置は、EUVレジストのドライエッチングを行うように構成されている。ドライエッチングは、大気条件下で行われてもよいし、真空装置の有無を問わずプロセスチャンバ内で行われてもよい。いくつかの実施形態において、プロセスチャンバは、プラズマを使用しない熱プロセスチャンバである。装置は、堆積、ベベルおよび裏面洗浄、塗布後ベーク、EUVスキャン、露光後ベーク、フォトレジストリワーク、スカム除去、平滑化、硬化、ならびに他の工程などの他の処理工程を行うように構成されてもよい。いくつかの実施形態において、装置は、完全ドライ工程を行うように構成される。いくつかの実施形態において、装置は、完全ウェット工程を行うように構成される。いくつかの実施形態において、装置は、ウェット工程とドライ工程の組み合わせを行うように構成される。
図9は、いくつかの実施形態に係る、ドライエッチングを行うための例示的なプロセスステーションの概略図である。複数のプロセスステーション900が、共通のプロセスツール環境内に含まれてもよい。例えば、図10は、Lam Research Corporation(カリフォルニア州フリーモント)製のVECTOR(登録商標)処理ツールなどのマルチステーション処理ツール1000の一実施形態を示している。いくつかの実施形態において、以下で詳述するものを含む、プロセスステーション1000における1つ以上のハードウェアパラメータは、1つ以上のコンピュータコントローラ1050によってプログラム的に調整されてもよい。
プロセスステーションは、クラスタツール内のモジュールとして構成されてもよい。図9は、本明細書に記載の実施形態の実装に適した堆積およびパターニングモジュールを有する半導体プロセスクラスタツール構造を示している。このようなクラスタプロセスツール構造は、図4および図5を参照して上述し、かつ図10を参照してさらに後述するように、レジスト堆積、レジスト処理、レジストベベルエッジ洗浄、レジストベーク、レジスト露光(EUVスキャナ)、レジストドライ現像、およびエッチングモジュールを含むことができる。
いくつかの実施形態において、処理機能のうちの一部は、同じモジュール内で連続して行うことができる。例えば、ドライ現像およびベーク、またはドライ現像およびベベルエッジ洗浄は、同じモジュール内で行ってもよい。
図9に戻り、プロセスステーション900は、プロセスガスを分配シャワーヘッド906に供給するための反応物供給システム901と流体連通している。反応物供給システム901は、任意の構成として、プロセスガスをシャワーヘッド906への供給用に配合および/または調整するための混合容器904を含む。1つ以上の混合容器入口弁920によって、混合容器904へのプロセスガスの導入を制御してもよい。プラズマ曝露を用いる場合、プラズマもシャワーヘッド906に供給されてもよいし、プロセスステーション900内で生成されてもよい。上述したように、少なくともいくつかの実施形態では、プラズマを使用しない熱曝露が好ましい。
図9は、混合容器904に供給される液体反応物を気化させるための任意の構成としての気化ポイント903を含む。いくつかの実施形態において、気化ポイント903の上流に、気化されてプロセスステーション900に供給される液体の質量流量を制御するための液体流量コントローラ(LFC:Liquid Flow Controller)が設けられてもよい。例えば、LFCは、LFCの下流に位置する熱式質量流量計(MFM:Mass Flow Meter)を含んでもよい。MFMと電気通信する比例積分微分(PID:Proportional-Integral-Derivative)コントローラによって提供されるフィードバック制御信号に応じて、LFCのプランジャバルブが調整されてもよい。
シャワーヘッド906は、基板912に向かってプロセスガスを分配する。図9に示す実施形態では、基板912は、シャワーヘッド906の下方に位置し、台座908に載置されている。シャワーヘッド906は、任意の適切な形状を有してもよく、基板912にプロセスガスを分配するために任意の適切な数のポートを任意の適切な配置で有してもよい。
いくつかの実施形態において、基板912とシャワーヘッド906との間の空間に基板912を露出させるために、台座908を昇降させてもよい。いくつかの実施形態において、台座の高さは、適切なコンピュータコントローラ950によってプログラム的に調整されてもよいことが認識される。
いくつかの実施形態において、台座908は、ヒータ910を介して温度制御されてもよい。いくつかの実施形態において、台座908は、EUVレジストをドライ現像化学物質またはドライ洗浄化学物質に対して非プラズマ熱曝露している間、50℃超~300℃以上の温度、例えば50℃~230℃(約100℃~200℃など)に加熱されてもよい。
さらに、いくつかの実施形態において、バタフライバルブ918を用いてプロセスステーション900の圧力制御を任意で行ってもよい。図9の実施形態に示すように、バタフライバルブ918は、下流の真空ポンプ(図示しない)により提供される真空をスロットル調整する。ただし、いくつかの実施形態において、プロセスステーション900は、真空装置を用いない開放空気環境に置かれてもよい。プロセスステーション900は、ドライ現像、ベーク、および/またはドライベベルエッジ洗浄などのフォトレジスト処理工程を行ってもよい。
いくつかの実施形態において、シャワーヘッド906の位置を台座908に対して調節して、基板912とシャワーヘッド906との間の空間を変動させてもよい。さらに、台座908および/またはシャワーヘッド906の垂直位置を、本開示の範囲内の任意の適切な機構によって変動させてもよいことが認識される。いくつかの実施形態において、台座908は、基板912の向きを回転させるための回転軸を含んでもよい。なお、いくつかの実施形態において、これらの調整例のうちの1つ以上を、1つ以上の適切なコンピュータコントローラ950によってプログラム的に実行してもよいことが認識される。
例えば、穏やかなプラズマを用いたドライ現像の実施形態および/または同じチャンバ内で行われるエッチング工程においてプラズマを使用してもよい場合、シャワーヘッド906および台座908は、プラズマに電力供給するための無線周波数(RF)電源914および整合ネットワーク916と電気的に通信する。いくつかの実施形態において、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングのうちの1つ以上を制御することによって、プラズマエネルギーを制御してもよい。例えば、RF電源914および整合ネットワーク916は、所望のラジカル種組成を有するプラズマを生成するために、任意の適切な電力で動作してもよい。適切な電力は、例えば最大約500Wである。
いくつかの実装形態において、コントローラ950に対する命令は、入力/出力制御(IOC:Input/Output Control)シーケンス命令によって提供されてもよい。一例として、あるプロセスフェーズの条件を設定するための命令は、プロセスレシピにおける対応するレシピフェーズに含まれてもよい。いくつかの場合、プロセスのレシピフェーズは、逐次的に配置されてもよい。この場合、あるプロセスフェーズに対するすべての命令が、そのプロセスフェーズと同時に実行される。いくつかの実装形態において、1つ以上の反応器パラメータを設定するための命令が、レシピフェーズに含まれてもよい。例えば、あるレシピフェーズは、ハロゲン含有化合物などのエッチングガスの流量を設定するための命令と、そのレシピフェーズに対する時間遅延命令とを含んでもよい。いくつかの実施形態において、コントローラ950は、図10のシステムコントローラ1050に関して後述する特徴のいずれを含んでもよい。
上述したように、1つ以上のプロセスステーションが、マルチステーション処理ツールに含まれてもよい。図10は、本明細書に記載の各種のフォトレジスト処理工程の実施に適した例示的なマルチステーション処理ツール1000の概略図である。図10は、搬入ロードロック1002および搬出ロードロック1004を備えるマルチステーション処理ツール1000の実施形態を示している。搬入ロードロック1002および搬出ロードロック1004の一方または両方は、任意の構成として遠隔プラズマ源を含んでもよい。ロボット1006は、大気圧下で、ポッド1008を通じてロードされたカセットから、大気圧ポート1010を介して搬入ロードロック1002にウエハを移動させるように構成される。ロボット1006によってウエハが搬入ロードロック1002内の台座1012に載置され、大気圧ポート1010が閉じられ、ロードロックが排気される。搬入ロードロック1002が遠隔プラズマ源を含む場合、ウエハを処理チャンバ1014に導入する前に、ロードロック内でウエハに遠隔プラズマ処理を施して基板表面を処理してもよい。さらに、例えば、湿気および吸着ガスを除去するために、搬入ロードロック1002内で基板を加熱してもよい。次に、処理チャンバ1014に通じるチャンバ搬送ポート1016が開き、別のロボット(図示しない)が、基板を反応器内に移動させ、反応器における図示の第1ステーションの台座に載置し、処理に供する。なお、図10に示す実施形態ではロードロックを含んでいるが、いくつかの実施形態では、基板をプロセスステーションに直接進入させる構成であってもよいことが認識される。
図示の処理チャンバ1014は、4つのプロセスステーションを含む。図10に示す実施形態では、プロセスステーションに1~4の番号が付されている。各ステーションは、加熱式台座(ステーション1において符号1018で示す)、およびガスライン入口を有する。なお、いくつかの実施形態において、各プロセスステーションは、異なる目的または複数の目的を有してもよいことが認識される。例えば、いくつかの実施形態において、プロセスステーションは、ドライ現像モードとエッチングプロセスモードとの間で切り替え可能であってもよい。これに加えて、またはこれに代えて、いくつかの実施形態において、処理チャンバ1014は、ドライ現像ステーションとエッチングプロセスステーションとの1つ以上の対応ペアを含んでもよい。図示の処理チャンバ1014は4つのステーションを含むが、本開示に係る処理チャンバは、任意の適切な数のステーションを有してもよいことが理解される。例えば、いくつかの実施形態において、処理チャンバは5つ以上のステーションを有してもよく、他の実施形態において、処理チャンバは3つ以下のステーションを有してもよい。
図10は、処理チャンバ1014内で基板を搬送するための基板ハンドリングシステム1090の一実施形態を示している。いくつかの実施形態において、基板ハンドリングシステム1090は、各種のプロセスステーション間、および/またはプロセスステーションとロードロックとの間で基板を搬送してもよい。任意の適切な基板ハンドリングシステムを採用してもよいことが認識される。非限定的な例としては、基板カルーセルおよび基板ハンドリングロボットが挙げられる。図10はまた、プロセスツール1000のプロセス条件およびハードウェア状態を制御するためのシステムコントローラ1050の実施形態も示している。システムコントローラ1050は、1つ以上のメモリ装置1056、1つ以上の大容量記憶装置1054、および1つ以上のプロセッサ1052を含んでもよい。プロセッサ1052は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータ制御ボードなどを含んでもよい。
いくつかの実施形態において、システムコントローラ1050は、プロセスツール1000のすべての動作を制御する。システムコントローラ1050は、システム制御ソフトウェア1058を実行する。システム制御ソフトウェア1058は、大容量記憶装置1054に記憶され、メモリ装置1056にロードされ、プロセッサ1052上で実行される。あるいは、制御ロジックはコントローラ1050にハードコーディングされてもよい。このために、特定用途向け集積回路やプログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ(FPGA))などを用いてもよい。以下の説明において、「ソフトウェア」または「コード」が用いられる場合、いずれも、機能的に同等のハードコーディングされたロジックで代用されてもよい。システム制御ソフトウェア1058は、タイミング、ガスの混合、ガス流量、チャンバおよび/またはステーション圧力、チャンバおよび/またはステーション温度、ウエハ温度、目標電力レベル、RF電力レベル、基板台座、チャックおよび/またはサセプタ位置、ならびにプロセスツール1000によって実行される特定のプロセスの他のパラメータを制御するための命令を含んでもよい。システム制御ソフトウェア1058は、任意の適切な態様で構成されてもよい。例えば、各種のプロセスツールによるプロセスを実行するのに用いられるプロセスツールコンポーネントの動作を制御するために、各種のプロセスツールコンポーネントサブルーチンまたは制御オブジェクトが記述されてもよい。システム制御ソフトウェア1058は、任意の適切なコンピュータ可読プログラミング言語でコーディングされてもよい。
いくつかの実施形態において、システム制御ソフトウェア1058は、上述した各種パラメータを制御するための入力/出力制御(IOC)シーケンス命令を含んでもよい。いくつかの実施形態において、システムコントローラ1050に関連付けられた大容量記憶装置1054および/またはメモリ装置1056に記憶される他のコンピュータソフトウェアおよび/またはプログラムを用いてもよい。このためのプログラムまたはプログラムの一部の例としては、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座1018上にロードするとともに、基板とプロセスツール1000の他の部品との間の間隔を制御するために用いられるプロセスツールコンポーネント用のプログラムコードを含んでもよい。
プロセスガス制御プログラムは、各種ガス組成(例えば、ハロゲン含有化合物)および流量を制御するためのコードを含んでもよく、さらに任意で、堆積前にプロセスステーション内の圧力を安定させるために、1つ以上のプロセスステーションにガスを流すためのコードを含んでもよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムにおけるスロットルバルブや、プロセスステーションへのガス流などを調節することによってプロセスステーション内の圧力を制御するためのコードを含んでもよい。
ヒータ制御プログラムは、基板の加熱に用いられる加熱ユニットへの電流を制御するためのコードを含んでもよい。あるいは、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の供給を制御してもよい。
プラズマ制御プログラムは、本明細書の実施形態に係る1つ以上のプロセスステーションにおけるプロセス電極に印加されるRF電力レベルを設定するためのコードを含んでもよい。
圧力制御プログラムは、本明細書の実施形態に係る反応チャンバ内の圧力を維持するためのコードを含んでもよい。
いくつかの実施形態において、システムコントローラ1050に関連付けられたユーザインタフェースが設けられてもよい。ユーザインタフェースは、表示画面、装置および/またはプロセス条件のグラフィックソフトウェア表示、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力装置を含んでもよい。
いくつかの実施形態において、システムコントローラ1050が調整するパラメータは、プロセス条件に関連していてもよい。非限定的な例としては、プロセスガスの組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)などが挙げられる。これらのパラメータは、レシピとしてユーザに提供されてもよい。レシピは、ユーザインタフェースを利用して入力されてもよい。
プロセスを監視するための信号は、各種のプロセスツールセンサから、システムコントローラ1050のアナログおよび/またはデジタル入力接続を介して供給されてもよい。プロセスを制御するための信号は、プロセスツール1000のアナログおよびデジタル出力接続に載せて出力されてもよい。監視可能なプロセスツールセンサの非限定的な例としては、マスフローコントローラ、圧力センサ(マノメータなど)、熱電対などが挙げられる。これらのセンサからのデータとともに、適切にプログラムされたフィードバックおよび制御アルゴリズムを用いて、プロセス条件を維持してもよい。
システムコントローラ1050は、上述した堆積プロセスを実行するためのプログラム命令を提供してもよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度などの種々のプロセスパラメータを制御してもよい。これらの命令は、本明細書に記載の種々の実施形態に従って、堆積、ベーク、ベベルエッジ洗浄、露光、現像、エッチング、および他のフォトレジスト処理工程を操作するためのパラメータを制御してもよい。
システムコントローラ1050は、典型的には、1つ以上のメモリ装置と、本開示の実施形態に従った方法を装置に実行させるための命令を実行するように構成された1つ以上のプロセッサとを含む。本開示の実施形態に従ったプロセス工程を制御するための命令を含む機械可読媒体を、システムコントローラ1050に結合してもよい。
いくつかの実装形態において、システムコントローラ1050はシステムの一部であり、システムは上述した例の一部であってもよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、1つ以上の処理用プラットフォーム、および/または特定の処理用コンポーネント(ウエハ台座やガス流量システムなど)を含む半導体処理装置を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、処理後におけるシステムの動作を制御するための電子機器と一体化されてもよい。電子機器は「コントローラ」とも呼ばれてもよく、1つ以上のシステムの様々なコンポーネントまたはサブ部品を制御してもよい。システムコントローラ1050は、処理条件および/またはシステムの種類に応じて、本明細書に開示するプロセスのいずれも制御するようにプログラムされてもよい。プロセスには、プロセスガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対するウエハの搬入出、ならびに、特定のシステムと接続または連携されたその他の搬送ツールおよび/またはロードロックに対するウエハの搬入出が含まれる。
システムコントローラ1050は広義には、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよく、命令の受信、命令の送出、動作の制御、洗浄動作の有効化、エンドポイント測定の有効化などを行う。集積回路は、プログラム命令を記憶するファームウェアとしてのチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つ以上のマイクロプロセッサ、もしくはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個別の設定(またはプログラムファイル)としてシステムコントローラ1050に通信される命令であってもよく、命令は、半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して、特定のプロセスを実施するための動作パラメータを定義する。いくつかの実施形態において、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハダイの製造において1つ以上の処理工程を達成するためにプロセスエンジニアによって定義されるレシピの一部であってもよい。
いくつかの実施形態において、システムコントローラ1050は、半導体基板を処理するための命令を備えて構成される。命令は、プロセスチャンバ内で半導体基板上に金属含有EUVレジストを設ける工程と、エッチングガス分配器またはエッチングガス供給ノズルを介して半導体基板にエッチングガスを供給して、大気条件下で半導体基板から金属含有EUVレジストの1つ以上の部分をドライエッチングする工程とを実行するためのコードを含む。いくつかの実施形態において、大気条件下で金属含有EUVレジストの1つ以上の部分をドライエッチングすることは、金属含有EUVレジストの露光部分に対して金属含有EUVレジストの非露光部分を選択的に除去することによって、金属含有EUVレジストをドライ現像することを含む。いくつかの実施形態において、大気条件下で金属含有EUVレジストの1つ以上の部分をドライエッチングすることは、金属含有EUVレジストを半導体基板のベベルエッジからドライ洗浄することを含む。
いくつかの実装形態において、システムコントローラ1050は、コンピュータの一部であってもよいし、コンピュータに結合されてもよい。ここで、コンピュータは、システムと一体化しているか、システムに結合されているか、その他の形でシステムとネットワーク接続されているか、これらを組み合わせた形態をとる。例えば、システムコントローラ1050は、「クラウド」上に存在してもよいし、工場ホストコンピュータシステムのすべてまたは一部に存在してもよい。これにより、ウエハ処理のリモートアクセスが可能になる。コンピュータは、システムへのリモートアクセスを有効化して、製造工程の進捗状況の監視、過去の製造工程履歴の調査、または複数の製造工程から傾向もしくは性能指標の調査を行い、現在の処理のパラメータを変更したり、現在の処理に続く処理工程を設定したり、新たなプロセスを開始したりしてもよい。いくつかの例において、リモートコンピュータ(例えば、サーバ)からシステムに対して、ネットワークを介してプロセスレシピを提供できる。ここで、ネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定の入力やプログラミングを可能にするユーザインタフェースを含んでもよい。これらのパラメータおよび/または設定はその後、リモートコンピュータからシステムに通信される。いくつかの例において、システムコントローラ1050は、データとして命令を受信する。このデータは、1つ以上の動作において実行される各処理工程のパラメータを指定する。なお、これらのパラメータは、実行するプロセスの種類、およびシステムコントローラ1050が連携または制御するように構成されているツールの種類に対して固有のパラメータであってもよいことを理解されたい。したがって、上述したように、システムコントローラ1050は、1つ以上の個別のコントローラを備えることなどによって分散されてもよい。これらの個別のコントローラはネットワーク化され、本明細書に記載のプロセスおよび制御などの共通の目的に向けて動作する。このような目的のための分散コントローラの一例としては、(例えばプラットフォームレベルで、またはリモートコンピュータの一部として)遠隔設置された1つ以上の集積回路と通信するチャンバに搭載された1つ以上の集積回路が挙げられる。これらの集積回路は協働してチャンバにおけるプロセスを制御する。
システムの非限定的な例としては、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD:Physical Vapor Deposition)チャンバまたはモジュール、化学気相堆積(CVD:Chemical Vapor Deposition)チャンバまたはモジュール、原子層堆積(ALD:Atomic Layer Deposition)チャンバまたはモジュール、原子層エッチング(ALE:Atomic Layer Etch)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、EUVリソグラフィチャンバ(スキャナ)またはモジュール、ドライ現像チャンバまたはモジュール、ならびに半導体ウエハの製造および/または生産に関連もしくは使用することが可能なその他のあらゆる半導体処理システムが挙げられる。
上述した通り、ツールによって実行される1つ以上のプロセス工程に応じて、システムコントローラ1050は、他のツール回路またはモジュール、他のツールコンポーネント、クラスタツール、他のツールインタフェース、隣接するツール、付近のツール、工場内の各所に設置されたツール、メインコンピュータ、別のコントローラ、または半導体生産工場内のツール位置および/もしくはロードポートに対してウエハコンテナの受け渡しを行う材料輸送で使用されるツールのうち、1つ以上と通信してもよい。
次に、誘導結合プラズマ(ICP:Inductively Coupled Plasma)反応器について説明する。ICP反応器は一部の実施形態において、いくつかの実施形態の実装に適したエッチング工程に適切な場合がある。なお、本明細書ではICP反応器について説明するが、いくつかの実施形態では、容量結合プラズマ反応器を用いてもよいことを理解されたい。
図11は、本明細書に記載の一部の実施形態および工程を実施するための例示的な誘導結合プラズマ装置の概略断面図である。この装置は、ドライ現像および/またはベベルエッジ洗浄などの各種のフォトレジスト処理工程に用いてもよい。装置の例としては、Lam Research Corp.(カリフォルニア州フリーモント)製のKiyo(登録商標)反応器が挙げられる。他の実施形態において、ドライ現像および/またはベベルエッジ洗浄を実行する機能を有する他のツールまたはツール種類を用いて実施してもよい。
誘導結合プラズマ装置1100は、チャンバ壁1101および窓1111によって構造が規定された全体プロセスチャンバ1124を含む。チャンバ壁1101は、ステンレス鋼またはアルミニウム製であってもよい。窓1111は、石英または他の誘電体材料製であってもよい。任意の構成としての内部プラズマグリッド1150は、全体プロセスチャンバを上部サブチャンバ1102と下部サブチャンバ1103とに分割する。ほとんどの実施形態において、プラズマグリッド1150を取り除いてもよく、それによってサブチャンバ1102、1103からなるチャンバ空間が活用できる。下部サブチャンバ1103の内部底面付近に、チャック1117が配置される。チャック1117は、エッチングおよび堆積プロセスが実行される半導体ウエハ1119を受け取って保持するように構成される。チャック1117は、ウエハ1119が配置された場合にこれを支持する静電チャックとすることができる。いくつかの本実施形態において、エッジリング(図示しない)がチャック1117を取り囲む。エッジリングの上面は、チャック1117の上に配置された時のウエハ1119の上面とほぼ同一平面上にある。チャック1117は、ウエハ1119をチャックおよびデチャックするための静電電極をさらに含む。このために、フィルタおよびDCクランプ電源(図示しない)が設けられてもよい。ウエハ1119をチャック1117から持ち上げるための他の制御システムを設けることもできる。チャック1117は、RF電源1123によって帯電可能である。RF電源1123は、接続部1127を介して整合回路1121に接続される。整合回路1121は、接続部1125を介してチャック1117に接続される。このようにして、RF電源1123がチャック1117に接続される。種々の実施形態において、静電チャックのバイアス電力は、約50Vに設定されてもよいし、本開示の実施形態に従って実行されるプロセスに応じて異なるバイアス電力に設定されてもよい。例えば、バイアス電力は、約20Vb~約100V、または約30V~約150Vであってもよい。
プラズマ生成用の素子は、窓1111の上方に配置されたコイル1133を含む。いくつかの実施形態では、コイルは、本開示の実施形態において使用されない。コイル1133は導電性材料製であり、少なくとも1回の完全な巻きを含む。図11に示すコイル1133の例では、3回の巻きを含む。コイル1133の断面が記号で示されており、「X」のコイルは、紙面奥に向かって回転して延び、「●」のコイルは、紙面手前に向かって回転して延びる。プラズマ生成用の素子は、RF電力をコイル1133に供給するように構成されたRF電源1141をさらに含む。RF電源1141は一般的に、接続部1145を介して整合回路1139に接続される。整合回路1139は、接続部1143を介してコイル1133に接続される。このようにして、RF電源1141がコイル1133に接続される。任意の構成としてのファラデーシールド1149aが、コイル1133と窓1111との間に配置される。ファラデーシールド1149aは、コイル1133に対して離間した状態に維持されてもよい。いくつかの実施形態において、ファラデーシールド1149aは、窓1111の直上に配置される。いくつかの実施形態において、ファラデーシールド1149bは、窓1111とチャック1117との間に配置される。いくつかの実施形態において、ファラデーシールド1149bは、コイル1133に対して離間した状態に維持されない。例えば、ファラデーシールド1149bは、窓1111の直下に隙間を空けずに配置されてもよい。コイル1133、ファラデーシールド1149a、および窓1111は、互いに略平行になるように構成される。ファラデーシールド1149aによって、金属または他の種がプロセスチャンバ1124の窓1111に堆積するのを防止してもよい。
プロセスガスを、上部サブチャンバ1102に配置された1つ以上のメインガス流入口1160を通じて、かつ/または1つ以上の側方ガス流入口1170を通じて、プロセスチャンバに流してもよい。同様に、明示は省略するが、同様のガス流入口を用いて、プロセスガスを容量結合プラズマ処理チャンバに供給してもよい。真空ポンプ(例えば、1段もしくは2段の機械式ドライポンプおよび/またはターボ分子ポンプ1140)を用いて、プロセスチャンバ1124からプロセスガスを抜き出し、プロセスチャンバ1124内の圧力を維持してもよい。例えば、真空ポンプを用いて、ALDのパージ工程時に下部サブチャンバ1103を真空にしてもよい。バルブ制御された導管を用いて真空ポンプをプロセスチャンバ1124に流体的に接続して、真空ポンプによる真空環境の適用を選択的に制御してもよい。これは、プラズマ工程処理中に、スロットルバルブ(図示しない)や振り子式バルブ(図示しない)などの閉ループ制御式の流量制御装置によって実行してもよい。同様に、容量結合プラズマ処理チャンバに対して真空ポンプおよびバルブ制御流体接続を用いてもよい。
装置1100の動作中に、ガス流入口1160および/または1170を通じて1つ以上のプロセスガスを供給してもよい。特定の実施形態において、プロセスガスは、メインガス流入口1160のみを通じて供給してもよいし、側方ガス流入口1170のみを通じて供給してもよい。場合により、図示のガス流入口をより複雑なガス流入口(例えば、1つ以上のシャワーヘッド)に置き換えてもよい。ファラデーシールド1149aおよび/または任意の構成としてのグリッド1150は、プロセスチャンバ1124へのプロセスガスの供給を可能にする内部チャネルおよび孔を含んでもよい。ファラデーシールド1149aおよび任意の構成としてのグリッド1150の一方または両方が、プロセスガスを供給するためのシャワーヘッドとして機能してもよい。いくつかの実施形態において、液体気化供給システムがプロセスチャンバ1124の上流に配置されてもよい。この場合、液体反応物または前駆体が気化されると、気化された反応物または前駆体がガス流入口1160および/または1170を通じてプロセスチャンバ1124内に導入されるようになる。
RF電源1141からコイル1133に無線周波数電力が供給され、RF電流がコイル1133に流れる。コイル1133を流れるRF電流によって、コイル1133の周囲に電磁場が発生する。この電磁場が、上部サブチャンバ1102内に誘導電流を発生させる。発生した種々のイオンおよびラジカルとウエハ1119との物理的および化学的相互作用により、ウエハ1119のフィーチャがエッチングされ、ウエハ1119に層が選択的に堆積される。
上部サブチャンバ1102および下部サブチャンバ1103の両方が設けられるようにプラズマグリッド1150を用いた場合、誘導電流は上部サブチャンバ1102内のガスに作用して、上部サブチャンバ1102内に電子-イオンプラズマを発生させる。任意の構成としての内部プラズマグリッド650は、下部サブチャンバ1103内のホットエレクトロンの数を制限する。いくつかの実施形態において、装置1100は、下部サブチャンバ1103内のプラズマがイオン-イオンプラズマとなるように設計および動作される。
上部の電子-イオンプラズマと下部のイオン-イオンプラズマは、いずれも正イオンおよび負イオンを含んでもよいが、イオン-イオンプラズマでは負イオンの割合が正イオンよりも大きくなる。揮発性のエッチング副生成物および/または堆積副生成物を、ポート1122を介して下部サブチャンバ1103から除去してもよい。本明細書に開示するチャック1117は、約10℃~約250℃の範囲の昇温温度で動作してもよい。温度は、プロセス工程および具体的なレシピに依存する。
装置1100は、クリーンルームまたは製造施設に設置される際に、設備(図示しない)に結合されてもよい。設備は、プロセスガス、真空、温度制御、および環境粒子制御を提供する配管設備を含む。これらの設備は、装置1100が対象の製造施設に設置される際に、装置1100に結合される。さらに、装置1100は、一般的なオートメーションを用いてロボットにより半導体ウエハを装置1100に対して搬入出する搬送チャンバに結合されてもよい。
いくつかの実施形態において、システムコントローラ1130(1つ以上の物理的または論理的コントローラを含んでもよい)が、プロセスチャンバ1124の動作の一部またはすべてを制御する。システムコントローラ1130は、1つ以上のメモリ装置と、1つ以上のプロセッサとを含んでもよい。いくつかの実施形態において、装置1100は、本開示の実施形態を実施する際の流量および時間を制御するためのスイッチングシステムを含む。いくつかの実施形態において、装置1100は、最大約600ms、または最大約750msのスイッチング時間を有してもよい。スイッチング時間は、フロー化学反応、選択されたレシピ、反応器の構造、および他の要因に依存してもよい。
いくつかの実装形態において、システムコントローラ1130はシステムの一部であり、システムは上述した例の一部であってもよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、1つ以上の処理用プラットフォーム、および/または特定の処理用コンポーネント(ウエハ台座やガス流量システムなど)を含む半導体処理装置を備えることができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、処理後におけるシステムの動作を制御するための電子機器と一体化されてもよい。電子機器をシステムコントローラ1130に統合して、1つ以上のシステムの様々なコンポーネントまたはサブ部品を制御してもよい。システムコントローラ1130は、処理パラメータおよび/またはシステムの種類に応じて、本明細書に開示したプロセスのいずれも制御するようにプログラムされてもよい。これらのプロセスには、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対するウエハの搬入出、ならびに、特定のシステムと接続または連携されたその他の移送ツールおよび/またはロードロックに対するウエハの搬入出が含まれる。
広義には、システムコントローラ1130は、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよく、命令の受信、命令の送出、動作の制御、洗浄動作の有効化、エンドポイント測定の有効化などを行う。集積回路は、プログラム命令を記憶するファームウェアとしてのチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または1つ以上のマイクロプロセッサ、もしくはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個別の設定(またはプログラムファイル)としてコントローラに通信される命令であってもよく、半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して、特定のプロセスを実施するための動作パラメータを定義する。いくつかの実施形態において、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハダイの製造および除去において1つ以上の処理工程を達成するためにプロセスエンジニアによって定義されるレシピの一部であってもよい。
いくつかの実装形態において、システムコントローラ1130は、コンピュータの一部であってもよいし、コンピュータに結合されていてもよい。ここで、コンピュータは、システムと一体化しているか、システムに結合されているか、その他の形でシステムとネットワーク接続されているか、これらを組み合わせた形態をとる。例えば、コントローラは、「クラウド」上に存在してもよいし、工場ホストコンピュータシステムのすべてまたは一部に存在してもよい。これにより、ウエハ処理のリモートアクセスが可能になる。コンピュータは、システムへのリモートアクセスを有効化して、製造工程の進捗状況の監視、過去の製造工程履歴の調査、または複数の製造工程から傾向もしくは性能指標の調査を行うことができ、現在の処理のパラメータを変更したり、現在の処理に続く処理工程を設定したり、新たなプロセスを開始したりできる。いくつかの例において、リモートコンピュータ(例えば、サーバ)からシステムに対して、ネットワークを介してプロセスレシピを提供できる。ここで、ネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定の入力やプログラミングを可能にするユーザインタフェースを含んでもよい。これらのパラメータおよび/または設定はその後、リモートコンピュータからシステムに通信される。いくつかの例において、システムコントローラ630は、データとして命令を受信する。このデータは、1つ以上の動作において実行される各処理工程のパラメータを指定する。なお、これらのパラメータは、実行するプロセスの種類、およびコントローラが連携または制御するように構成されているツールの種類に対して固有のパラメータであってもよいことを理解されたい。したがって、上述したように、システムコントローラ630は、1つ以上の個別のコントローラを備えることなどによって分散されてもよい。これらの個別のコントローラはネットワーク化され、本明細書に記載のプロセスおよび制御といった共通の目的に向けて動作する。このような目的のための分散コントローラの一例として、(例えばプラットフォームレベルで、またはリモートコンピュータの一部として)遠隔設置された1つ以上の集積回路と通信するチャンバに搭載された1つ以上の集積回路が挙げられる。これらの集積回路は協働してチャンバにおけるプロセスを制御する。
システムの非限定的な例として、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、ALEチャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、EUVリソグラフィチャンバ(スキャナ)またはモジュール、ドライ現像チャンバまたはモジュール、ならびに半導体ウエハの製造および/または生産に関連もしくは使用可能なその他のあらゆる半導体処理システムが挙げられる。
上述した通り、ツールによって実行される1つ以上のプロセス工程に応じて、コントローラは、他のツール回路またはモジュール、他のツールコンポーネント、クラスタツール、他のツールインタフェース、隣接するツール、付近のツール、工場内の各所に設置されたツール、メインコンピュータ、別のコントローラ、または半導体生産工場内のツール位置および/もしくはロードポートに対してウエハコンテナの受け渡しを行う材料輸送で使用されるツールのうち、1つ以上と通信してもよい。
EUVLパターニングは、例えば、ASML(オランダ、フェルトホーフェン)製のTWINSCAN NXE:3300B(登録商標)プラットフォームなど、任意の適切なツール(しばしばスキャナとも呼ばれる)を用いて行ってもよい。EUVLパターニングツールは、本明細書に記載の堆積およびエッチングを行うために基板が出し入れされるスタンドアロン型の装置であってもよい。あるいは、後述するように、EUVLパターニングツールは、より大型のマルチコンポーネントツール上のモジュールであってもよい。図12は、本明細書に記載されるプロセスの実施に適した、搬送モジュールと連携する堆積モジュールおよびパターニングモジュールを有する半導体プロセスクラスタツール構造を示す図である。プロセスは、真空一体化型装置を使用せずに実施してもよいが、いくつかの実装形態ではそのような装置が有利な場合がある。
図12は、本明細書に記載のプロセスの実施に適した、搬送モジュールと連携する堆積モジュールおよびパターニングモジュールを有する半導体プロセスクラスタツール構造を示す図である。複数の保管設備および処理モジュール間でウエハを「搬送」するための搬送モジュールの構成を、「クラスタツール構造」システムと呼ぶ場合がある。堆積モジュールおよびパターニングモジュールは、特定のプロセスの要件に従って、真空一体化される。エッチング用など他のモジュールがクラスタに含まれる場合もある。
真空搬送モジュール(VTM:Vacuum Transport Module)1238は、4つの処理モジュール1220a~1220dと連携する。処理モジュール1220a~1220dは、各種の製造プロセスを実行するために個別に最適化されてもよい。一例として、処理モジュール1220a~1220dは、堆積、蒸発、ELD、ドライ現像、エッチング、剥離、および/または他の半導体プロセスを実行するように実装されてもよい。例えば、モジュール1220aは、Lam Research Corporation(カリフォルニア州フリーモント)製のVectorツールなど、本明細書に記載の非プラズマ熱原子層堆積を実行するように動作可能なALD反応器であってもよい。そして、モジュール1220bは、Lam Vector(登録商標)などのPECVDツールであってもよい。なお、図は必ずしも縮尺通りではないことを理解されたい。
エアロック1242および/または1246(ロードロックまたは搬送モジュールとしても知られる)は、VTM1238およびパターニングモジュール1240と連携する。例えば、上述したように、好適なパターニングモジュールは、ASML(オランダ、フェルトホーフェン)製のTWINSCAN NXE:3300B(登録商標)プラットフォームであってもよい。このツール構造により、半導体基板やウエハなどのワークピースを、露光前に反応しないように真空下で搬送することができる。周囲ガス(H2O、O2など)による入射光子の強力な光吸収を考慮すると、EUVLではかなり低い圧力も要求されることから、堆積モジュールとリソグラフィツールとの一体化が促進される。
上述したように、この一体型構造は、本明細書に記載のプロセスを実施するためのツールが取り得る実施形態の1つに過ぎない。プロセスは、従来のスタンドアロン型EUVLスキャナおよび堆積反応器(Lam Vectorツールなど)を用いて実施されてもよい。ここでの堆積反応器は、スタンドアロン型であってもよいし、エッチングや剥離などの他のツール(例えば、Lam KiyoまたはGammaツール)とともにモジュールとしてクラスタ構造に一体化されてもよい(例えば、一体型パターニングモジュールがないことを除いて、図12を参照して説明した構造)。
エアロック1242は、「搬出」ロードロックであってもよい。「搬出」とは、堆積モジュール1220aに供給するVTM1238からパターニングモジュール1240へと基板を取り出すことを指す。そして、エアロック1246は、「搬入」ロードロックであってもよい。「搬入」とは、基板をパターニングモジュール1240からVTM1238に戻すことを指す。搬入ロードロック1246はまた、基板へのアクセスおよびその排出のためにツール外部とのインタフェースを提供してもよい。各プロセスモジュールは、モジュールをVTM1238と連携させるファセットを有する。例えば、堆積プロセスモジュール1220aは、ファセット1236を有する。各ファセットの内部では、センサ(例えば、図示のセンサ1~18)によって、ウエハ1226がそれぞれのステーション間を移動する際のウエハ1226の通過を検出する。パターニングモジュール1240およびエアロック1242、1246も同様に、追加のファセットおよびセンサ(図示しない)を備えてもよい。
メインVTMロボット1222は、エアロック1242、1246を含むモジュール間でウエハ1226を搬送する。一実施形態では、ロボット1222は1つのアームを備え、別の実施形態では、ロボット1222は2つのアームを備え、各アームは、ウエハ1226などのウエハを搬送用にピックアップするエンドエフェクタ1224を備える。フロントエンドロボット1244を用いて、ウエハ1226を搬出エアロック1242からパターニングモジュール1240に、パターニングモジュール1240から搬入エアロック1246に搬送する。フロントエンドロボット1244はまた、基板へのアクセスおよびその排出のために、搬入ロードロックとツールの外部との間でウエハ1226を搬送してもよい。搬入エアロックモジュール1246は、大気圧と真空との間で環境を一致させる能力を有するため、ウエハ1226は、損傷することなく2つの圧力環境間を移動可能である。
なお、EUVLツールは一般的に、堆積ツールよりも高い真空度で動作する。この場合、パターニングツールに入る前に基板が脱ガスできるように、堆積ツールからEUVLツールへの搬送中に基板の真空環境を高めることが望ましい。搬出エアロック1242は、搬送されたウエハをパターニングモジュール1240内の圧力以下の低圧で一定時間保持し、あらゆるオフガスを排出することによってこの機能を実現してもよい。これにより、パターニングツール1240の光学系が基板からのオフガスで汚染されないようにできる。脱ガスを行う搬出エアロックに適した圧力は、1E-8Torr以下である。
図13および図14は、代替的な処理ツール構造の構成を示す図である。このような構造は例えば、いくつかの実施形態に使用可能なLam Research Corporation(カリフォルニア州フリーモント)製のEOSまたはSense.i製品に少なくとも部分的に実装されてもよい。図13および図14に示すようなレジスト処理トラックを用いて、ドライ堆積されたEUVフォトレジストのウェット現像を実施してもよい。本明細書に記載の種々の実施形態は、図13および図14に示すようなクラスタ構造を用いて実施されてもよい。
[結び]
金属および/または金属酸化物フォトレジストをドライエッチングして、例えばEUVパターニングにおいてパターニングマスクを形成するためのプロセスおよび装置が開示される。
本明細書に記載の例および実施形態は、単に例示を目的としたものであり、これらに照らして様々な変形または変形が当業者には示唆されることが理解される。説明を分かりやすくするために、様々な詳細に関する説明を省略したが、種々の設計変更を行ってもよい。したがって、上述した例は例示に過ぎず、本開示を限定するものと見なすべきではない。本開示は、本明細書に記載の詳細に限定されるものではなく、本開示の範囲内で変形されてもよい。
本明細書に記載の例および実施形態は、単に例示を目的としたものであり、これらに照らして様々な変形または変形が当業者には示唆されることが理解される。説明を分かりやすくするために、様々な詳細に関する説明を省略したが、種々の設計変更を行ってもよい。したがって、上述した例は例示に過ぎず、本開示を限定するものと見なすべきではない。本開示は、本明細書に記載の詳細に限定されるものではなく、本開示の範囲内で変形されてもよい。本開示は、例えば、以下の形態により実現されてもよい。
[形態1]
装置であって、
大気条件に曝露されるプロセスチャンバと、
前記プロセスチャンバ内の半導体基板を保持するための基板支持台と、
前記基板支持台上の前記半導体基板に面する加熱アセンブリであって、複数の加熱素子を含む加熱アセンブリと、
前記基板支持台の上方に配置されるエッチングガス供給ノズルであって、エッチングガスの供給位置が前記半導体基板の上方の位置になるように移動可能なエッチングガス供給ノズルと、
を含む、装置。
[形態2]
形態1に記載の装置であって、
前記半導体基板は金属含有EUVレジストを含み、
前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である、
装置。
[形態3]
形態1に記載の装置であって、
大気条件に曝露される前記プロセスチャンバは、約50Torr~約765Torrの大気圧に曝露される、
装置。
[形態4]
形態1に記載の装置であって、
大気条件に曝露される前記プロセスチャンバは、制御雰囲気に曝露され、
前記制御雰囲気への曝露は、約760Torrを超えるチャンバ圧力への曝露および/または窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、もしくはこれらの組み合わせへの曝露を含む、
装置。
[形態5]
形態1に記載の装置であって、
前記複数の加熱素子は、複数のLEDを含み、
前記複数のLEDは、複数の独立して制御可能な加熱ゾーンに配置される、
装置。
[形態6]
形態1に記載の装置であって、
前記エッチングガス供給ノズルは、前記エッチングガス供給ノズルを前記半導体基板の中央から端部に位置させるように構成された可動スイングアームに結合される、
装置。
[形態7]
形態1に記載の装置であって、
前記基板支持台は、前記半導体基板を回転させるように構成される、
装置。
[形態8]
形態1に記載の装置であって、
前記半導体基板を処理するための命令を備えて構成されるコントローラをさらに含み、
前記命令は、
前記プロセスチャンバ内で前記半導体基板上に金属含有EUVレジストを設けることと、
前記エッチングガス供給ノズルを通じて前記半導体基板に前記エッチングガスを供給し、大気条件下で前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライエッチングすることと、
を行うためのコードを含む、
装置。
[形態9]
形態8に記載の装置であって、
大気条件下で前記金属含有EUVレジストの前記1つ以上の部分をドライエッチングすることは、前記金属含有EUVレジストの露光部分に対して、前記金属含有EUVレジストの非露光部分を選択的に除去することにより前記金属含有EUVレジストをドライ現像することを含む、
装置。
[形態10]
形態8に記載の装置であって、
大気条件下で前記金属含有EUVレジストの前記1つ以上の部分をドライエッチングすることは、前記半導体基板のベベルエッジから前記金属含有EUVレジストをドライ洗浄することを含む、
装置。
[形態11]
形態1~10のいずれか一項に記載の装置であって、
前記プロセスチャンバ内のエッチング副生成物および他の残留ガスを除去するように構成された排気ファンをさらに含む、
装置。
[形態12]
形態1~10のいずれか一項に記載の装置であって、
不活性ガスおよび/または希釈ガスを前記プロセスチャンバに供給するために前記プロセスチャンバに結合されたガス源をさらに含む、
装置。
[形態13]
形態1~10のいずれか一項に記載の装置であって、
前記プロセスチャンバは、プラズマを使用しない熱プロセスチャンバである、
装置。
[形態14]
装置であって、
大気条件に曝露されるプロセスチャンバと、
半導体基板を支持するためのベークプレートであって、複数の加熱ゾーンを含み、前記複数の加熱ゾーンの各々が1つ以上の加熱素子を含む、ベークプレートと、
前記ベークプレートの上方に配置され、前記半導体基板にエッチングガスを供給するように構成されたエッチングガス分配器と、
を含む、装置。
[形態15]
形態14に記載の装置であって、
前記半導体基板は金属含有EUVレジストを含み、
前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である、
装置。
[形態16]
形態14に記載の装置であって、
大気条件に曝露される前記プロセスチャンバは、約50Torr~約765Torrの大気圧に曝露される、
装置。
[形態17]
形態14に記載の装置であって、
大気条件に曝露される前記プロセスチャンバは、制御雰囲気に曝露され、
前記制御雰囲気への曝露は、約760Torrを超えるチャンバ圧力への曝露および/または窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、もしくはこれらの組み合わせへの曝露を含む、
装置。
[形態18]
形態14に記載の装置であって、
前記ベークプレートは、上面と、前記ベークプレートの前記上面よりも高い位置で前記半導体基板を支持するための複数の最小接触面積(MCA)支持部とを含む、
装置。
[形態19]
形態14~18のいずれか一項に記載の装置であって、
前記エッチングガス分配器は、前記半導体基板に面するフェースプレートを有するシャワーヘッドを含み、
前記フェースプレートは、前記半導体基板に前記エッチングガスを供給するための複数の貫通孔を含む、
装置。
[形態20]
形態14~18のいずれか一項に記載の装置であって、
前記プロセスチャンバは、プラズマを使用しない熱プロセスチャンバである、
装置。
[形態21]
形態14~18のいずれか一項に記載の装置であって、
前記半導体基板を処理するための命令を備えて構成されるコントローラをさらに含み、前記命令は、
前記プロセスチャンバ内で前記半導体基板上に金属含有EUVレジストを設けることと、
前記エッチングガス分配器を通じて前記半導体基板に前記エッチングガスを供給し、大気条件下で前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライエッチングすることと、
を行うためのコードを含む、
装置。
[形態22]
装置であって、
複数の半導体基板を保持するための基板支持台を有する炉反応器と、
前記複数の半導体基板を昇温温度に加熱するための複数の加熱素子と、
前記炉反応器にエッチングガスを供給するための1つ以上のエッチングガス入口であって、前記複数の半導体基板にエッチングガスを供給するように構成された1つ以上のエッチングガス入口と、
を含む、装置。
[形態23]
形態22に記載の装置であって、
前記炉反応器は、大気条件に曝露される、
装置。
[形態24]
形態23に記載の装置であって、
大気条件は、制御雰囲気への曝露を含み、
前記1つ以上のエッチングガス入口は、前記制御雰囲気を提供するために、窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、またはこれらの組み合わせを供給するようにさらに構成される、
装置。
[形態25]
形態22に記載の装置であって、
エッチング副生成物および他の残留ガスを前記炉反応器から除去するための1つ以上のガス出口と、
前記基板支持台を回転させるためのモータと、
をさらに含む、装置。
[形態26]
形態22~25のいずれか一項に記載の装置であって、
前記炉反応器のチャンバ壁は、石英、セラミック材料、または低温ポリマー材料を含む、
装置。
[形態27]
形態22~25のいずれか一項に記載の装置であって、
前記複数の半導体基板の各々は金属含有EUVレジストを含み、
前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である、
装置。
[形態28]
トラックリソグラフィシステムであって、
半導体基板を受け取り、かつ戻すための1つ以上のポッドを含むカセット搭載部と、
前記半導体基板のフォトレジスト処理を行うための複数の処理ステーションを含む処理部であって、前記複数の処理ステーションは、
前記半導体基板上に金属含有EUVレジストを堆積するための堆積チャンバと、
熱プロセスチャンバと、
基板洗浄チャンバと、を含み、前記複数の処理ステーションの少なくとも1つは大気条件に曝露され、かつ前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライ除去するように構成されている、処理部と、
前記半導体基板をEUV照射に露光するためのスキャナを含む露光部と、
前記半導体基板を前記処理部と前記露光部との間で搬送するためのインタフェース部と、
を含む、トラックリソグラフィシステム。
[形態29]
形態28に記載のトラックリソグラフィシステムであって、
前記複数の処理ステーションは、
前記半導体基板の表面を処理するためのヘキサメチルジシラザン(HMDS)処理チャンバをさらに含み、
前記HMDS処理チャンバは、大気条件下で前記金属含有EUVレジストの1つ以上の部分をドライ除去するように構成される、
トラックリソグラフィシステム。
[形態30]
形態28に記載のトラックリソグラフィシステムであって、
前記複数の処理ステーションの前記少なくとも1つは、前記半導体基板を保持するための基板支持台と、前記半導体基板にエッチングガスを供給するためのガス分配器とを含み、
前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である、
トラックリソグラフィシステム。

Claims (30)

  1. 装置であって、
    大気条件に曝露されるプロセスチャンバと、
    前記プロセスチャンバ内の半導体基板を保持するための基板支持台と、
    前記基板支持台上の前記半導体基板に面する加熱アセンブリであって、複数の加熱素子を含む加熱アセンブリと、
    前記基板支持台の上方に配置されるエッチングガス供給ノズルであって、エッチングガスの供給位置が前記半導体基板の上方の位置になるように移動可能なエッチングガス供給ノズルと、
    を含む、装置。
  2. 請求項1に記載の装置であって、
    前記半導体基板は金属含有EUVレジストを含み、
    前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である、
    装置。
  3. 請求項1に記載の装置であって、
    大気条件に曝露される前記プロセスチャンバは、約50Torr~約765Torrの大気圧に曝露される、
    装置。
  4. 請求項1に記載の装置であって、
    大気条件に曝露される前記プロセスチャンバは、制御雰囲気に曝露され、
    前記制御雰囲気への曝露は、約760Torrを超えるチャンバ圧力への曝露および/または窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、もしくはこれらの組み合わせへの曝露を含む、
    装置。
  5. 請求項1に記載の装置であって、
    前記複数の加熱素子は、複数のLEDを含み、
    前記複数のLEDは、複数の独立して制御可能な加熱ゾーンに配置される、
    装置。
  6. 請求項1に記載の装置であって、
    前記エッチングガス供給ノズルは、前記エッチングガス供給ノズルを前記半導体基板の中央から端部に位置させるように構成された可動スイングアームに結合される、
    装置。
  7. 請求項1に記載の装置であって、
    前記基板支持台は、前記半導体基板を回転させるように構成される、
    装置。
  8. 請求項1に記載の装置であって、
    前記半導体基板を処理するための命令を備えて構成されるコントローラをさらに含み、
    前記命令は、
    前記プロセスチャンバ内で前記半導体基板上に金属含有EUVレジストを設けることと、
    前記エッチングガス供給ノズルを通じて前記半導体基板に前記エッチングガスを供給し、大気条件下で前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライエッチングすることと、
    を行うためのコードを含む、
    装置。
  9. 請求項8に記載の装置であって、
    大気条件下で前記金属含有EUVレジストの前記1つ以上の部分をドライエッチングすることは、前記金属含有EUVレジストの露光部分に対して、前記金属含有EUVレジストの非露光部分を選択的に除去することにより前記金属含有EUVレジストをドライ現像することを含む、
    装置。
  10. 請求項8に記載の装置であって、
    大気条件下で前記金属含有EUVレジストの前記1つ以上の部分をドライエッチングすることは、前記半導体基板のベベルエッジから前記金属含有EUVレジストをドライ洗浄することを含む、
    装置。
  11. 請求項1~10のいずれか一項に記載の装置であって、
    前記プロセスチャンバ内のエッチング副生成物および他の残留ガスを除去するように構成された排気ファンをさらに含む、
    装置。
  12. 請求項1~10のいずれか一項に記載の装置であって、
    不活性ガスおよび/または希釈ガスを前記プロセスチャンバに供給するために前記プロセスチャンバに結合されたガス源をさらに含む、
    装置。
  13. 請求項1~10のいずれか一項に記載の装置であって、
    前記プロセスチャンバは、プラズマを使用しない熱プロセスチャンバである、
    装置。
  14. 装置であって、
    大気条件に曝露されるプロセスチャンバと、
    半導体基板を支持するためのベークプレートであって、複数の加熱ゾーンを含み、前記複数の加熱ゾーンの各々が1つ以上の加熱素子を含む、ベークプレートと、
    前記ベークプレートの上方に配置され、前記半導体基板にエッチングガスを供給するように構成されたエッチングガス分配器と、
    を含む、装置。
  15. 請求項14に記載の装置であって、
    前記半導体基板は金属含有EUVレジストを含み、
    前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である、
    装置。
  16. 請求項14に記載の装置であって、
    大気条件に曝露される前記プロセスチャンバは、約50Torr~約765Torrの大気圧に曝露される、
    装置。
  17. 請求項14に記載の装置であって、
    大気条件に曝露される前記プロセスチャンバは、制御雰囲気に曝露され、
    前記制御雰囲気への曝露は、約760Torrを超えるチャンバ圧力への曝露および/または窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、もしくはこれらの組み合わせへの曝露を含む、
    装置。
  18. 請求項14に記載の装置であって、
    前記ベークプレートは、上面と、前記ベークプレートの前記上面よりも高い位置で前記半導体基板を支持するための複数の最小接触面積(MCA)支持部とを含む、
    装置。
  19. 請求項14~18のいずれか一項に記載の装置であって、
    前記エッチングガス分配器は、前記半導体基板に面するフェースプレートを有するシャワーヘッドを含み、
    前記フェースプレートは、前記半導体基板に前記エッチングガスを供給するための複数の貫通孔を含む、
    装置。
  20. 請求項14~18のいずれか一項に記載の装置であって、
    前記プロセスチャンバは、プラズマを使用しない熱プロセスチャンバである、
    装置。
  21. 請求項14~18のいずれか一項に記載の装置であって、
    前記半導体基板を処理するための命令を備えて構成されるコントローラをさらに含み、前記命令は、
    前記プロセスチャンバ内で前記半導体基板上に金属含有EUVレジストを設けることと、
    前記エッチングガス分配器を通じて前記半導体基板に前記エッチングガスを供給し、大気条件下で前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライエッチングすることと、
    を行うためのコードを含む、
    装置。
  22. 装置であって、
    複数の半導体基板を保持するための基板支持台を有する炉反応器と、
    前記複数の半導体基板を昇温温度に加熱するための複数の加熱素子と、
    前記炉反応器にエッチングガスを供給するための1つ以上のエッチングガス入口であって、前記複数の半導体基板にエッチングガスを供給するように構成された1つ以上のエッチングガス入口と、
    を含む、装置。
  23. 請求項22に記載の装置であって、
    前記炉反応器は、大気条件に曝露される、
    装置。
  24. 請求項23に記載の装置であって、
    大気条件は、制御雰囲気への曝露を含み、
    前記1つ以上のエッチングガス入口は、前記制御雰囲気を提供するために、窒素、水蒸気、二酸化炭素、アルゴン、ヘリウム、またはこれらの組み合わせを供給するようにさらに構成される、
    装置。
  25. 請求項22に記載の装置であって、
    エッチング副生成物および他の残留ガスを前記炉反応器から除去するための1つ以上のガス出口と、
    前記基板支持台を回転させるためのモータと、
    をさらに含む、装置。
  26. 請求項22~25のいずれか一項に記載の装置であって、
    前記炉反応器のチャンバ壁は、石英、セラミック材料、または低温ポリマー材料を含む、
    装置。
  27. 請求項22~25のいずれか一項に記載の装置であって、
    前記複数の半導体基板の各々は金属含有EUVレジストを含み、
    前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である、
    装置。
  28. トラックリソグラフィシステムであって、
    半導体基板を受け取り、かつ戻すための1つ以上のポッドを含むカセット搭載部と、
    前記半導体基板のフォトレジスト処理を行うための複数の処理ステーションを含む処理部であって、前記複数の処理ステーションは、
    前記半導体基板上に金属含有EUVレジストを堆積するための堆積チャンバと、
    熱プロセスチャンバと、
    基板洗浄チャンバと、を含み、前記複数の処理ステーションの少なくとも1つは大気条件に曝露され、かつ前記半導体基板から前記金属含有EUVレジストの1つ以上の部分をドライ除去するように構成されている、処理部と、
    前記半導体基板をEUV照射に露光するためのスキャナを含む露光部と、
    前記半導体基板を前記処理部と前記露光部との間で搬送するためのインタフェース部と、
    を含む、トラックリソグラフィシステム。
  29. 請求項28に記載のトラックリソグラフィシステムであって、
    前記複数の処理ステーションは、
    前記半導体基板の表面を処理するためのヘキサメチルジシラザン(HMDS)処理チャンバをさらに含み、
    前記HMDS処理チャンバは、大気条件下で前記金属含有EUVレジストの1つ以上の部分をドライ除去するように構成される、
    トラックリソグラフィシステム。
  30. 請求項28に記載のトラックリソグラフィシステムであって、
    前記複数の処理ステーションの前記少なくとも1つは、前記半導体基板を保持するための基板支持台と、前記半導体基板にエッチングガスを供給するためのガス分配器とを含み、
    前記金属含有EUVレジストの1つ以上の部分は、前記エッチングガスによって除去可能である、
    トラックリソグラフィシステム。
JP2022559416A 2020-11-13 2021-11-09 フォトレジストのドライ除去用プロセスツール Pending JP2023520391A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023179933A JP2023174888A (ja) 2020-11-13 2023-10-19 フォトレジストのドライ除去用プロセスツール

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198804P 2020-11-13 2020-11-13
US63/198,804 2020-11-13
PCT/US2021/058647 WO2022103764A1 (en) 2020-11-13 2021-11-09 Process tool for dry removal of photoresist

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023179933A Division JP2023174888A (ja) 2020-11-13 2023-10-19 フォトレジストのドライ除去用プロセスツール

Publications (1)

Publication Number Publication Date
JP2023520391A true JP2023520391A (ja) 2023-05-17

Family

ID=81602525

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2022559416A Pending JP2023520391A (ja) 2020-11-13 2021-11-09 フォトレジストのドライ除去用プロセスツール
JP2023179933A Pending JP2023174888A (ja) 2020-11-13 2023-10-19 フォトレジストのドライ除去用プロセスツール

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023179933A Pending JP2023174888A (ja) 2020-11-13 2023-10-19 フォトレジストのドライ除去用プロセスツール

Country Status (6)

Country Link
US (2) US20230107357A1 (ja)
JP (2) JP2023520391A (ja)
KR (1) KR20230152171A (ja)
CN (2) CN115598943A (ja)
TW (2) TW202405583A (ja)
WO (1) WO2022103764A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
DE102022206124A1 (de) * 2022-06-20 2023-12-21 Carl Zeiss Smt Gmbh Vorrichtung und verfahren zum bearbeiten einer oberfläche eines optischen elements einer lithographieanlage
US20240096622A1 (en) * 2022-09-21 2024-03-21 Tokyo Electron Limited Method and Apparatus for In-Situ Dry Development
US20240160100A1 (en) * 2022-11-14 2024-05-16 Applied Materials, Inc. Integrated solution with low temperature dry develop for euv photoresist
CN117075442B (zh) * 2023-08-24 2024-04-26 上海图双精密装备有限公司 一种基于彩胶工艺的光刻机标记识别方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62160981A (ja) * 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
US5094936A (en) * 1988-09-16 1992-03-10 Texas Instruments Incorporated High pressure photoresist silylation process and apparatus
JPH08339950A (ja) * 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
DE69936727D1 (de) * 1998-09-16 2007-09-13 Applied Materials Inc Verfahren zum aufbringen von silizium mit hoher rate bei niedrigen druck
WO2002047139A2 (en) * 2000-12-04 2002-06-13 Ebara Corporation Methode of forming a copper film on a substrate
US20050142885A1 (en) * 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
JP4530933B2 (ja) * 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
JP5003773B2 (ja) * 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
JP5572560B2 (ja) * 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
JP6397620B2 (ja) * 2012-12-11 2018-09-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 電気メッキの方法及び装置
WO2014159427A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20160086864A1 (en) * 2014-09-24 2016-03-24 Lam Research Corporation Movable gas nozzle in drying module
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR20210095218A (ko) * 2018-12-20 2021-07-30 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
JP2023515693A (ja) * 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists

Also Published As

Publication number Publication date
US20230107357A1 (en) 2023-04-06
US20240036483A1 (en) 2024-02-01
KR20230152171A (ko) 2023-11-02
KR20220130783A (ko) 2022-09-27
CN115152008A (zh) 2022-10-04
WO2022103764A1 (en) 2022-05-19
TW202405583A (zh) 2024-02-01
JP2023174888A (ja) 2023-12-08
TW202234180A (zh) 2022-09-01
TWI811842B (zh) 2023-08-11
CN115598943A (zh) 2023-01-13

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20240036483A1 (en) Process tool for dry removal of photoresist
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
US20230416606A1 (en) Photoresist development with organic vapor
KR102673863B1 (ko) 포토레지스트의 건식 제거를 위한 프로세스 툴
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
TW202414121A (zh) 光阻乾式移除用的處理工具
WO2023009364A1 (en) Rework of metal-containing photoresist
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220928

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230411

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240208

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240402