TW202234180A - 光阻乾式移除用的處理工具 - Google Patents
光阻乾式移除用的處理工具 Download PDFInfo
- Publication number
- TW202234180A TW202234180A TW110141961A TW110141961A TW202234180A TW 202234180 A TW202234180 A TW 202234180A TW 110141961 A TW110141961 A TW 110141961A TW 110141961 A TW110141961 A TW 110141961A TW 202234180 A TW202234180 A TW 202234180A
- Authority
- TW
- Taiwan
- Prior art keywords
- processing
- semiconductor substrate
- metal
- substrate
- photoresist
- Prior art date
Links
- 229920002120 photoresistant polymer Polymers 0.000 title claims abstract description 285
- 238000000034 method Methods 0.000 title claims abstract description 226
- 230000008569 process Effects 0.000 title abstract description 173
- 238000012545 processing Methods 0.000 claims abstract description 402
- 239000000758 substrate Substances 0.000 claims abstract description 365
- 239000004065 semiconductor Substances 0.000 claims abstract description 242
- 239000007789 gas Substances 0.000 claims abstract description 228
- 229910052751 metal Inorganic materials 0.000 claims abstract description 156
- 239000002184 metal Substances 0.000 claims abstract description 156
- 238000011161 development Methods 0.000 claims abstract description 127
- 238000010438 heat treatment Methods 0.000 claims abstract description 110
- 238000004140 cleaning Methods 0.000 claims abstract description 99
- 230000005855 radiation Effects 0.000 claims abstract description 14
- 238000005530 etching Methods 0.000 claims description 82
- 238000000151 deposition Methods 0.000 claims description 56
- 238000001312 dry etching Methods 0.000 claims description 46
- 230000008021 deposition Effects 0.000 claims description 43
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 34
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 34
- 238000004320 controlled atmosphere Methods 0.000 claims description 34
- 238000001459 lithography Methods 0.000 claims description 31
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 28
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 24
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 claims description 23
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 17
- 229910052757 nitrogen Inorganic materials 0.000 claims description 17
- 229910052786 argon Inorganic materials 0.000 claims description 16
- 239000001569 carbon dioxide Substances 0.000 claims description 16
- 229910052734 helium Inorganic materials 0.000 claims description 16
- 239000001307 helium Substances 0.000 claims description 14
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 14
- 239000000126 substance Substances 0.000 claims description 14
- 239000006227 byproduct Substances 0.000 claims description 11
- 238000005108 dry cleaning Methods 0.000 claims description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 11
- 239000010453 quartz Substances 0.000 claims description 6
- 229910010293 ceramic material Inorganic materials 0.000 claims description 3
- 239000011261 inert gas Substances 0.000 claims description 3
- 239000002861 polymer material Substances 0.000 claims description 3
- 239000003701 inert diluent Substances 0.000 claims description 2
- 230000001590 oxidative effect Effects 0.000 abstract description 2
- 235000012431 wafers Nutrition 0.000 description 107
- 239000010408 film Substances 0.000 description 70
- 239000000463 material Substances 0.000 description 53
- 238000000059 patterning Methods 0.000 description 38
- 239000000376 reactant Substances 0.000 description 30
- 239000010410 layer Substances 0.000 description 25
- 238000012546 transfer Methods 0.000 description 23
- 239000003570 air Substances 0.000 description 21
- 239000007788 liquid Substances 0.000 description 21
- 239000000203 mixture Substances 0.000 description 21
- 125000002524 organometallic group Chemical group 0.000 description 21
- 239000002243 precursor Substances 0.000 description 21
- 238000004519 manufacturing process Methods 0.000 description 19
- 150000004706 metal oxides Chemical class 0.000 description 17
- 238000010586 diagram Methods 0.000 description 16
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 16
- 229910044991 metal oxide Inorganic materials 0.000 description 16
- 239000012530 fluid Substances 0.000 description 15
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 229910052760 oxygen Inorganic materials 0.000 description 13
- 238000004132 cross linking Methods 0.000 description 11
- 229910052736 halogen Inorganic materials 0.000 description 11
- 150000002367 halogens Chemical class 0.000 description 11
- 238000002156 mixing Methods 0.000 description 11
- 238000000206 photolithography Methods 0.000 description 11
- 239000001301 oxygen Substances 0.000 description 10
- 230000006870 function Effects 0.000 description 9
- 238000010521 absorption reaction Methods 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- -1 organotin oxides Chemical class 0.000 description 8
- 229910052718 tin Inorganic materials 0.000 description 8
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 7
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 7
- 125000004429 atom Chemical group 0.000 description 7
- 239000011248 coating agent Substances 0.000 description 7
- 238000000576 coating method Methods 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 7
- 150000002739 metals Chemical class 0.000 description 7
- 238000011160 research Methods 0.000 description 7
- 235000012239 silicon dioxide Nutrition 0.000 description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- 125000000217 alkyl group Chemical group 0.000 description 6
- 238000003384 imaging method Methods 0.000 description 6
- 238000009616 inductively coupled plasma Methods 0.000 description 6
- 238000011068 loading method Methods 0.000 description 6
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- 238000004891 communication Methods 0.000 description 5
- 150000001875 compounds Chemical class 0.000 description 5
- 238000009499 grossing Methods 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 238000004528 spin coating Methods 0.000 description 5
- 230000008016 vaporization Effects 0.000 description 5
- 229910015844 BCl3 Inorganic materials 0.000 description 4
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- ILAHWRKJUDSMFH-UHFFFAOYSA-N boron tribromide Chemical compound BrB(Br)Br ILAHWRKJUDSMFH-UHFFFAOYSA-N 0.000 description 4
- 238000011109 contamination Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000000469 dry deposition Methods 0.000 description 4
- 238000009472 formulation Methods 0.000 description 4
- 238000010574 gas phase reaction Methods 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 230000001965 increasing effect Effects 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 229910001887 tin oxide Inorganic materials 0.000 description 4
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 4
- 238000009834 vaporization Methods 0.000 description 4
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000001816 cooling Methods 0.000 description 3
- 230000032798 delamination Effects 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000003795 desorption Methods 0.000 description 3
- 239000003085 diluting agent Substances 0.000 description 3
- 238000001035 drying Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000001704 evaporation Methods 0.000 description 3
- 230000008020 evaporation Effects 0.000 description 3
- 235000019253 formic acid Nutrition 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 239000003446 ligand Substances 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 239000012071 phase Substances 0.000 description 3
- 238000010926 purge Methods 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- 230000035945 sensitivity Effects 0.000 description 3
- 239000002904 solvent Substances 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 238000007740 vapor deposition Methods 0.000 description 3
- 229910015845 BBr3 Inorganic materials 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 2
- 229910020813 Sn-C Inorganic materials 0.000 description 2
- 229910018732 Sn—C Inorganic materials 0.000 description 2
- YRKCREAYFQTBPV-UHFFFAOYSA-N acetylacetone Chemical compound CC(=O)CC(C)=O YRKCREAYFQTBPV-UHFFFAOYSA-N 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 229910052787 antimony Inorganic materials 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910052797 bismuth Inorganic materials 0.000 description 2
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000013626 chemical specie Substances 0.000 description 2
- 238000003776 cleavage reaction Methods 0.000 description 2
- 238000001723 curing Methods 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000000280 densification Methods 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 230000005670 electromagnetic radiation Effects 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 150000004681 metal hydrides Chemical group 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 150000002902 organometallic compounds Chemical class 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 230000007017 scission Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 150000005846 sugar alcohols Polymers 0.000 description 2
- 229910052714 tellurium Inorganic materials 0.000 description 2
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 238000007669 thermal treatment Methods 0.000 description 2
- FYSNRJHAOHDILO-UHFFFAOYSA-N thionyl chloride Chemical compound ClS(Cl)=O FYSNRJHAOHDILO-UHFFFAOYSA-N 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 238000010507 β-hydride elimination reaction Methods 0.000 description 2
- CVOFKRWYWCSDMA-UHFFFAOYSA-N 2-chloro-n-(2,6-diethylphenyl)-n-(methoxymethyl)acetamide;2,6-dinitro-n,n-dipropyl-4-(trifluoromethyl)aniline Chemical compound CCC1=CC=CC(CC)=C1N(COC)C(=O)CCl.CCCN(CCC)C1=C([N+]([O-])=O)C=C(C(F)(F)F)C=C1[N+]([O-])=O CVOFKRWYWCSDMA-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 1
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical compound S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- 239000002841 Lewis acid Substances 0.000 description 1
- 229910013504 M-O-M Inorganic materials 0.000 description 1
- BOFCKEAYTYCAGR-UHFFFAOYSA-N N-[bis(dimethylamino)-(2-methylpropyl)stannyl]-N-methylmethanamine Chemical compound C(C(C)C)[Sn](N(C)C)(N(C)C)N(C)C BOFCKEAYTYCAGR-UHFFFAOYSA-N 0.000 description 1
- HISWIBBQBKEYQD-UHFFFAOYSA-N N-[tert-butyl-bis(dimethylamino)stannyl]-N-methylmethanamine Chemical compound CN(C)[Sn](N(C)C)(N(C)C)C(C)(C)C HISWIBBQBKEYQD-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910006124 SOCl2 Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910020929 Sn-Sn Inorganic materials 0.000 description 1
- 229910009027 Sn—OH Inorganic materials 0.000 description 1
- 229910008827 Sn—Sn Inorganic materials 0.000 description 1
- 101150110932 US19 gene Proteins 0.000 description 1
- 238000002835 absorbance Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 125000003545 alkoxy group Chemical group 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 150000001412 amines Chemical class 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000011324 bead Substances 0.000 description 1
- 150000007942 carboxylates Chemical class 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 125000005265 dialkylamine group Chemical group 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000011143 downstream manufacturing Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 125000003709 fluoroalkyl group Chemical group 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 150000002334 glycols Chemical class 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 239000002784 hot electron Substances 0.000 description 1
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 1
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 1
- 229910000037 hydrogen sulfide Inorganic materials 0.000 description 1
- 230000005661 hydrophobic surface Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- 150000004679 hydroxides Chemical class 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- 238000012804 iterative process Methods 0.000 description 1
- 150000007517 lewis acids Chemical class 0.000 description 1
- 230000031700 light absorption Effects 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Natural products C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 1
- 125000000250 methylamino group Chemical group [H]N(*)C([H])([H])[H] 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- FAIAAWCVCHQXDN-UHFFFAOYSA-N phosphorus trichloride Chemical compound ClP(Cl)Cl FAIAAWCVCHQXDN-UHFFFAOYSA-N 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000009428 plumbing Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000013557 residual solvent Substances 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000284 resting effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000005201 scrubbing Methods 0.000 description 1
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 125000003548 sec-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 238000012163 sequencing technique Methods 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002893 slag Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000935 solvent evaporation Methods 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 238000009987 spinning Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 238000005496 tempering Methods 0.000 description 1
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- RATFAPAEQUBKNF-UHFFFAOYSA-N tert-butyl-tris[(2-methylpropan-2-yl)oxy]stannane Chemical compound CC(C)(C)O[Sn](OC(C)(C)C)(OC(C)(C)C)C(C)(C)C RATFAPAEQUBKNF-UHFFFAOYSA-N 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
- 238000001771 vacuum deposition Methods 0.000 description 1
- 238000005019 vapor deposition process Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/36—Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70908—Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
- G03F7/70925—Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/0042—Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/168—Finishing the coated layer, e.g. drying, baking, soaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/38—Treatment before imagewise removal, e.g. prebaking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70733—Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
- G03F7/7075—Handling workpieces outside exposure position, e.g. SMIF box
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/6704—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
- H01L21/67051—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67173—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67225—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68728—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Epidemiology (AREA)
- Health & Medical Sciences (AREA)
- Public Health (AREA)
- Organic Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Life Sciences & Earth Sciences (AREA)
- Atmospheric Sciences (AREA)
- Environmental & Geological Engineering (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Drying Of Semiconductors (AREA)
- Materials For Photolithography (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
含金屬極紫外光輻射(EUV,extreme ultraviolet)光阻的乾式顯影或乾式移除,係在大氣條件下執行或者在不具有真空設施的處理工具中執行。含金屬EUV光阻的乾式移除可在大氣壓力下或者在高於大氣壓力的壓力下執行。可利用對空氣環境的曝露或利用非氧化氣體來執行含金屬EUV光阻的乾式移除。一處理腔室或模組可經修改或整合以執行含金屬EUV光阻的乾式移除並且具有烘烤、晶圓清理、晶圓加工、或其他光阻處理功能。在某些實施例中,用於含金屬EUV光阻之乾式移除的處理腔室包含用於一半導體基板之局部加熱的一加熱組件以及用於該半導體基板上方之局部氣體輸送的一可動排放噴嘴。
Description
本揭露內容係關於基板處理設備、軌道式微影系統、以及用以執行光阻之顯影的方法。
例如積體電路之半導體裝置的生產乃為包含光微影的多步驟製程。一般而言,該製程包含在晶圓上沉積材料、以及透過微影技術將該材料圖案化以形成半導體裝置的結構特徵部(例如電晶體及電路)。在本領域中為人所知的典型光微影製程之步驟包含:準備基板;例如藉由旋轉塗佈,以塗佈光阻;將光阻曝露至具有期望圖案的光,以使光阻的已曝光區域更易或更不易溶於顯影劑溶液;藉由塗佈顯影劑溶液而進行顯影,以移除光阻的已曝光或未曝光區域;以及進行例如藉由蝕刻或材料沉積的後續處理,以在基板的區域(光阻已自該區域移除)上產生特徵部。
半導體設計的演變已產生下列需求並且被下列能力所驅使:在半導體基板材料上產生越來越小的特徵部。此種技術進展已具有『摩爾定律(Moore’s Law)』的特徵,如在密集積體電路中之電晶體的密度每隔兩年會增加一倍。的確,晶片設計與製造已經進步,以使現代的微處理器在單晶片上可容納數十億個電晶體以及其他電路特徵部。在此種晶片上的個別特徵部可為大約22奈米(nm)或更小,在某些情況下係小於10 nm。
在製造具有此種小特徵部之裝置時的一個挑戰為可靠且可再現地產生具有足夠解析度之光微影遮罩的能力。目前的光微影製程一般係使用193 nm紫外光(UV,ultraviolet)光線來使光阻曝光。光的波長明顯大於待生產在半導體基板上之特徵部的期望尺寸時會引起固有的問題。需要使用複雜的解析度增強技術(例如,多重圖案化)來達成小於光之波長的特徵尺寸。因此,對於發展光微影技術有著大量的關注與研究努力,該技術係使用更短波長的光,例如具有從10 nm到15 nm(如13.5 nm)之波長的極紫外光輻射(EUV,extreme ultraviolet)。
然而,EUV光微影製程可能具有挑戰性,包括在圖案化期間之低功率輸出及漏光。與在193 nm UV微影中所使用者類似的傳統有機化學增幅光阻(CAR,chemically amplified resists)當用於EUV微影時具有潛在的缺點(特別係因為其在EUV區域中具有低吸收係數),且光活化化學物種的擴散可能會導致模糊或線邊緣粗糙。再者,為了提供圖案化下伏裝置層所需之蝕刻抗性,在習知CAR材料中所圖案化的小特徵部可能會導致具有圖案塌陷之風險的高縱橫比。因此,存在著對於具有例如減少之厚度、較大之吸收度、以及較大之蝕刻抗性之特性的改善EUV光阻材料的需求。
在此提供的先前技術說明係為了大致呈現本技術背景之目的。在該先前技術段落中所述之目前列名發明人之工作、以及不可以其他方式認定為申請時之先前技術的實施態樣敘述皆不被明示或暗示地承認為針對本技術之先前技術。
在此提供一種用於基板處理的設備。該設備包含一處理腔室,其中該處理腔室曝露至大氣條件。該設備更包含:一基板支架,用以在該處理腔室中固持一半導體基板;一加熱組件,面向在該基板支架上的該半導體基板,其中該加熱組件包含複數加熱元件;以及一蝕刻氣體輸送噴嘴,定位在該基板支架之上,其中該蝕刻氣體輸送噴嘴係可移動的,以將蝕刻氣體的輸送定位至在該半導體基板之上的一位置。
在某些實施例中,該半導體基板包含一含金屬EUV光阻,其中可藉由該蝕刻氣體來移除該含金屬EUV光阻的一或更多部分。在某些實施例中,曝露至大氣條件的該處理腔室係曝露至介於約50 Torr與約765 Torr之間的大氣壓力。在某些實施例中,曝露至大氣條件的該處理腔室係曝露至受控大氣,其中對該受控大氣的曝露包含對大於約760 Torr之腔室壓力的曝露及/或對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。在某些實施例中,該複數加熱元件包含複數LED,該複數LED係排列在複數可獨立控制加熱區中。在某些實施例中,該蝕刻氣體輸送噴嘴係耦接至一可動擺臂,該可動擺臂經設置以將該蝕刻氣體輸送噴嘴定位於該半導體基板的中心與邊緣之間。在某些實施例中,一控制器設有用以處理該半導體基板的指令,該指令包含用於進行下列步驟的碼:在該處理腔室中,將一含金屬EUV光阻提供於該半導體基板上;以及經由該蝕刻氣體輸送噴嘴,將該蝕刻氣體輸送至該半導體基板,以在大氣條件下從該半導體基板乾式蝕刻該含金屬EUV光阻的一或更多部分。在某些實施例中,該設備更包含一排氣風扇,經設置以移除該處理腔室中的蝕刻副產物以及其他殘留氣體。在某些實施例中,該設備更包含一氣體源,耦接至該處理腔室,以將惰性氣體及/或稀釋劑氣體輸送至該處理腔室。在某些實施例中,該處理腔室為一無電漿熱處理腔室。
在此亦提供一種基板處理設備。該設備包含:一處理腔室,其中該處理腔室曝露至大氣條件;一烘烤板,用以支撐一半導體基板並且包含複數加熱區,該複數加熱區之每一者包含一或更多加熱元件;以及一蝕刻氣體分配器,定位在該烘烤板之上,其中該蝕刻氣體分配器經設置以將蝕刻氣體輸送至該半導體基板。
在某些實施例中,該半導體基板包含一含金屬EUV光阻,其中可藉由該蝕刻氣體來移除該含金屬EUV光阻的一或更多部分。在某些實施例中,曝露至大氣條件的該處理腔室係曝露至介於約50 Torr與約765 Torr之間的大氣壓力。在某些實施例中,曝露至大氣條件的該處理腔室係曝露至受控大氣,其中對該受控大氣的曝露包含對大於約760 Torr之腔室壓力的曝露及/或對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。在某些實施例中,該烘烤板包含一上表面以及用於將該半導體基板支撐在高於該烘烤板之該上表面之一高度的複數最小接觸面積(MCA,minimum contact area)支架。在某些實施例中,該蝕刻氣體分配器包含一噴淋頭,該噴淋頭具有面向該半導體基板的一面板,其中該面板包含用於將該蝕刻氣體輸送至該半導體基板的複數穿孔。在某些實施例中,該處理腔室為一無電漿熱處理腔室。在某些實施例中,該設備更包含一控制器,其設有用以處理該半導體基板的指令,該指令包含用於進行下列步驟的碼:在該處理腔室中,將一含金屬EUV光阻提供於該半導體基板上;以及經由該蝕刻氣體分配器,將該蝕刻氣體輸送至該半導體基板,以在大氣條件下從該半導體基板乾式蝕刻該含金屬EUV光阻的一或更多部分。
在此亦提供一種基板處理設備。該設備包含:一爐反應器,具有用以固持複數半導體基板的一基板支架;複數加熱元件,用以將該複數半導體基板加熱至一升高之溫度;以及一或更多蝕刻氣體入口,用以將蝕刻氣體輸送到該爐反應器中,其中該一或更多蝕刻氣體入口經設置以將蝕刻氣體輸送至該複數半導體基板。
在某些實施例中,該爐反應器曝露至大氣條件。在某些實施例中,大氣條件包含對受控大氣的曝露,其中該一或更多蝕刻氣體入口更設置以輸送氮、水蒸氣、二氧化碳、氬、氦、或其組合,以提供該受控大氣。在某些實施例中,該設備更包含:一或更多氣體出口,用以從該爐反應器移除蝕刻副產物以及其他殘留氣體;以及一馬達,用以轉動該基板支架。在某些實施例中,該爐反應器的腔室壁包含石英、陶瓷材料、或低溫聚合物材料。在某些實施例中,該複數半導體基板之每一者包含一含金屬EUV光阻,其中可藉由該蝕刻氣體來移除該含金屬EUV光阻的一或更多部分。
在此亦提供一種軌道式微影系統。該軌道式微影系統包含:一卡匣安裝區段,其中該卡匣安裝區段包含用以接收並且回傳一半導體基板的一或更多晶圓艙;以及一處理區段,其中該處理區段包含用於該半導體基板之光阻處理的複數處理站。該複數處理站包含:一沉積腔室,用以將含金屬EUV光阻沉積於該半導體基板上;一熱處理腔室;以及一基板清理腔室,其中該複數處理站之至少一者係曝露至大氣條件並且經設置以執行從該半導體基板乾式移除該含金屬EUV光阻之一或更多部分。該軌道式微影系統更包含:一曝光區段,其中該曝光區段包含用以將該半導體基板曝露至EUV輻射的一掃描器;以及一介面區段,用以在該處理區段與該曝光區段之間傳遞該半導體基板。
在某些實施例中,該複數處理站更包含:六甲基二矽氮烷(HMDS,hexamethyldisilazane)加工腔室,用以加工該半導體基板的一表面,其中該HMDS加工腔室經設置以執行在大氣條件下之該含金屬EUV光阻之該一或更多部分的乾式移除。在某些實施例中,該複數處理站之該至少一者包含用以固持該半導體基板的一基板支架以及用以將蝕刻氣體輸送至該半導體基板的一氣體分配器,其中可藉由該蝕刻氣體來移除該含金屬EUV光阻的該一或更多部分。
在此亦提供一種用以執行EUV光阻之乾式顯影的方法。該方法包含下列步驟:在一處理腔室中,將一含金屬EUV光阻提供於一半導體基板上;以及藉由對一蝕刻氣體的曝露,在該處理腔室中乾式蝕刻該含金屬EUV光阻,其中乾式蝕刻該含金屬EUV光阻之步驟係在大氣條件下執行。
在某些實施例中,大氣條件包含介於約50 Torr與約765 Torr之間的大氣壓力。在某些實施例中,大氣條件包含受控大氣,其中該受控大氣包含該半導體基板對大於約760 Torr之腔室壓力的曝露及/或該半導體基板對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。在某些實施例中,該方法更包含在同樣用於乾式蝕刻該含金屬EUV光阻的該處理腔室中,以升高之溫度來烘烤該含金屬EUV光阻。在某些實施例中,乾式蝕刻該含金屬EUV光阻之步驟包含藉由透過對乾式顯影化學品的曝露而選擇性地移除該EUV光阻之一部分,以對該含金屬EUV光阻進行乾式顯影。在某些實施例中,該方法更包含在同樣用於乾式顯影該含金屬EUV光阻的該處理腔室中,執行該半導體基板的斜角邊緣清理。在某些實施例中,乾式蝕刻該含金屬EUV光阻之步驟包含將該半導體基板加熱至等於或大於約100℃的溫度。在某些實施例中,加熱該半導體基板之步驟包含局部加熱該半導體基板的區域。在某些實施例中,乾式蝕刻該含金屬EUV光阻之步驟包含將一可動排放噴嘴定位在該半導體基板之上,以優先將該蝕刻氣體引導至該半導體基板的一或更多區域。在某些實施例中,該處理腔室包含:一基板支架,與用於該半導體基板之局部加熱的複數可獨立控制加熱區耦接;以及一可動排放噴嘴,定位在該基板支架之上,以將該蝕刻氣體引導至該半導體基板的目標區域。
本揭露內容大體上係與半導體處理領域相關。在特定之實施態樣中,於用以形成圖案化遮罩之EUV圖案化與EUV圖案化膜顯影的背景之下,本揭露內容係針對用於處理EUV光阻(例如,含金屬及/或金屬氧化物之EUV敏感性光阻)的方法與設備。
在此詳細說明本揭露內容之具體實施例。該等具體實施例的範例係例示在隨附圖式中。雖然本揭露內容將與這些具體實施例一起被說明,但吾人可理解此並非意欲將本揭露內容限制於此種具體實施例。相反地,此係意欲涵蓋可被包含在本揭露內容之精神與範圍內的替代、修改、以及等效設計。在下列說明內容中,為了提供本揭露內容的徹底理解而提出許多具體細節。本揭露內容可在不具有某些或所有這些具體細節的情況下被實現。在其他情況下,已不詳細說明為人所熟知的製程操作,以不對本揭露內容造成不必要的混淆。
序言
半導體處理中的薄膜圖案化通常為半導體生產中的重要步驟。圖案化包含微影。在習知的光微影中,例如193 nm光微影,藉由下列方式印出圖案:使光子從光子源發射到遮罩上並且將圖案印到光敏感性光阻上,從而在光阻中引起化學反應,以在顯影之後移除光阻的某些部分而形成圖案。
先進技術節點(如由國際半導體技術發展藍圖(International Technology Roadmap for Semiconductors)所定義)係包括22 nm、16 nm及以上的節點。在16 nm的節點中,例如,鑲嵌結構中之典型貫孔或線的寬度一般不大於約30 nm。先進半導體積體電路(IC,integrated circuits)以及其他裝置上之特徵部的縮放(scaling)正驅使著微影改善解析度。
極紫外光(EUV)微影可藉由發展至比使用習知光微影方法所可達到者更小的成像源波長而擴展微影技術。在大約10-20 nm、或11-14 nm波長(例如13.5 nm波長)的EUV光源可用於亦被稱為掃描器的前緣(leading-edge)微影工具。EUV輻射在多種固體與流體材料(包括石英以及水蒸氣)中被強烈地吸收。
EUV微影係使用EUV光阻,其被圖案化以形成用於蝕刻下伏層的遮罩。EUV光阻可為基於聚合物的化學增幅光阻(CAR),其係藉由基於液體的旋塗(spin-on)技術所產生。CAR的一替代物為直接光圖案化含金屬氧化物膜,例如可自Inpria, Corvallis, OR所購得者,並且例如被描述於美國專利公開案US 2017/0102612、US 2016/021660以及US 2016/0116839中,其至少關於光圖案化含金屬氧化物膜之揭露內容係藉由參考文獻方式合併於此。此種膜可藉由旋塗技術加以產生或者可被乾氣相沉積。可在真空環境中藉由EUV曝光而直接圖案化(即,在不使用個別光阻的情況下)含金屬氧化物膜,以提供低於30 nm(sub-30 nm)圖案化解析度,如美國專利第9,996,004號(公告於2018年6月12日,發明名稱為EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS)、及/或國際申請案PCT/US19/31618(申請於2019年5月9日,發明名稱為METHODS FOR MAKING EUV PATTERNABLE HARD MASKS)所描述,其至少關於形成EUV光阻遮罩之直接光圖案化金屬氧化物膜之組成、沉積、以及圖案化的揭露內容係藉由參考文獻方式合併於此。一般而言,圖案化包含使用EUV輻射來使EUV光阻曝光以在光阻中形成光圖案,之後進行顯影而依照光圖案來移除光阻的一部分以形成遮罩。
亦應理解,雖然本揭露內容係關於以EUV微影為例之微影圖案化技術及材料,但其亦可應用在其他次世代微影技術。除了包括目前正在使用與發展之標準13.5 nm EUV波長的EUV以外,與此種微影最相關之輻射源為DUV(深UV)(其一般係指使用248 nm或193 nm之準分子雷射源)、X光(其形式上包括在X光範圍之較低能量範圍的EUV)、以及電子束(其可涵蓋寬能量範圍)。具體方法可能取決於半導體基板及最終半導體裝置中所使用之特定材料及應用。因此,本申請案中所述之方法僅為可用於本技術之方法及材料的範例。
直接光圖案化EUV光阻可由混合在有機成分內的金屬及/或金屬氧化物所構成或含有該金屬及/或金屬氧化物。該金屬/金屬氧化物因為其可增強EUV光子吸附並產生二次電子及/或顯現對於下伏膜堆疊與裝置層的增加蝕刻選擇性而頗具潛力。至今,已使用濕式(溶劑)方法來顯影這些光阻,該方法需要晶圓移動至軌道式機台,其中,晶圓被曝露至顯影溶劑,被乾燥並且被烘烤。濕式顯影不僅會限制生產力,而且可能會因為在細微特徵部間之溶劑蒸發期間的表面張力效應而導致線塌陷。
乾式顯影技術已被提出而藉由消除表面分層與界面故障來克服這些問題。乾式顯影可改善性能(例如,防止因為濕式顯影中之表面張力與分層所引起之線塌陷)以及提高產量(例如,藉由免除濕式顯影軌道式機台)。其他優點可包括排除有機溶劑顯影劑之使用、降低對附著問題之敏感度、增加EUV吸收以改善劑量有效性、以及減少基於溶解度之限制。乾式顯影亦可提供更多的可調性並且提供進一步的臨界尺寸(CD,critical dimension)控制及渣滓(scum)去除。
乾式顯影具有其自身的挑戰性,包括在未曝光與EUV曝光光阻材料之間的蝕刻選擇性,當與濕式顯影相比時,其可能會導致將較高的劑量用於有效光阻曝光的尺寸需求。次佳的選擇性還可能因為在蝕刻氣體下的較長曝露而導致PR轉角圓化,此可能會增加在後續轉印蝕刻步驟中的線CD變異。
EUV光阻的乾式蝕刻
本揭露內容提供在大氣條件下之含金屬EUV光阻的乾式蝕刻。如在此所使用,『大氣條件』可制定對非真空之曝露的條件。具體而言,大氣條件可制定介於約50 Torr與約760 Torr(即,大氣壓力)之間或介於約50 Torr與約765 Torr之間的腔室壓力。本揭露內容中的工具與腔室可在高於大氣壓力的過壓(overpressures)下進行操作。例如,過壓可高於大氣壓力約0.1 Torr到約5 Torr或約0.3 Torr到約1.5 Torr。在某些實施例中,大氣條件可制定腔室壓力大於約760 Torr的受控大氣。在高於大氣壓力的壓力下進行操作可有助於避免因為氧或空氣所引起的滲漏(leak-in)汙染。
大氣條件可包含對環境氣體(例如空氣)的曝露,其中環境氣體可包含氧、氮、二氧化碳、水蒸氣、或其組合。或者,大氣條件可包含對受控大氣的曝露,其中對受控大氣的曝露包含對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。在某些實施例中,受控大氣包含對為非氧化氣體之氣體的曝露。此種氣體可作為用於提供過壓的惰性氣體或稀釋劑氣體,該過壓有助於避免因為氧或空氣所引起的滲漏汙染。儘管含金屬EUV光阻的乾式蝕刻可在大氣條件下發生,但吾人將理解於其中執行乾式蝕刻的腔室可或可不包含真空設施。不將含金屬EUV光阻曝露至用於乾式蝕刻的真空環境,而係可將含金屬EUV光阻曝露至大氣條件,其中大氣條件可包含對空氣的曝露或對受控環境(例如高於大氣壓力)的曝露。本揭露內容中的乾式蝕刻可應用在光圖案化之含金屬EUV光阻的乾式顯影、沉積之含金屬EUV光阻的斜角邊緣清理、或包含乾式蝕刻的其他光阻製程。免除濕式清理/顯影等效物會降低成本。再者,在乾式清理/顯影等效物中免除真空設施或其操作會降低成本。
含金屬或金屬氧化物之EUV敏感性膜,例如有機錫氧化物,係配置在半導體基板上。藉由斜角邊緣清理來可選地移除不想要的含金屬或金屬氧化物之EUV敏感性膜。藉由EUV曝光來直接圖案化含金屬或金屬氧化物之EUV敏感性膜。之後使用顯影化學品來顯影圖案而形成光阻遮罩。在某些實施例中,顯影化學品包括含鹵素化合物。可在顯影及/或斜角邊緣清理期間,將蝕刻氣體輸送至在大氣條件下的半導體基板而移除EUV光阻。
乾式蝕刻技術可在流動蝕刻氣體時使用溫和電漿(低功率)或熱製程。在大氣條件下的乾式蝕刻可於具有氣體分配器以及加熱組件的處理腔室中被執行,該氣體分配器用於輸送蝕刻氣體,該加熱組件面向半導體基板並且具有一或更多加熱元件。在某些實施例中,該氣體分配器可包含定位在基板支架上方的蝕刻氣體輸送噴嘴。在某些實施例中,該蝕刻氣體輸送噴嘴係連接至用於將該蝕刻氣體輸送噴嘴定位在半導體基板之某區域之上的可動擺臂。在某些實施例中,該加熱組件包含複數可獨立控制加熱區。例如,該加熱組件可定位在半導體基板的下方並且可包含複數加熱元件,例如發光二極體(LED,light-emitting diodes)。用於在大氣條件下執行EUV光阻之乾式蝕刻的處理腔室亦可為晶圓清理腔室、烘烤腔室、或加工腔室。具體而言,用於EUV光阻之乾式蝕刻的處理腔室可為晶圓旋轉清理腔室、斜角邊緣清理腔室、塗佈後烘烤腔室、曝光後烘烤腔室、批次爐反應器、緩衝模組、顯影腔室、或六甲基二矽氮烷(HMDS)加工腔室。此種腔室可為用於光阻處理之軌道式系統或群集工具的部分。如此一來,乾式蝕刻製程(例如乾式顯影)可與光阻處理中的其他製程整合在相同的站、模組、或腔室中而不必換到個別的站、模組、或腔室。工具/腔室的整合會增加產量並且降低成本。
圖1呈現依照某些實施例之用於沉積並且顯影光阻之示範方法的流程圖。製程100的操作可以不同的順序及/或以不同、較少、或額外的操作加以執行。製程100的實施態樣可參考圖2A-2C加以說明。製程100的一或更多操作可使用圖3A、3B、4-6、7A、7B、8A、8B、以及9-14所述之設備或腔室加以執行。在某些實施例中,製程100的操作可至少部分地依照儲存在一或更多非暫態電腦可讀媒體中的軟體加以實施。
在製程100的方塊102,沉積一層光阻。此可為乾式沉積製程(例如氣相沉積製程)或濕式製程(例如旋塗沉積製程)。
光阻可為含金屬EUV光阻。可藉由包括濕式(例如旋塗)或乾式(例如CVD)沉積技術的任何合適技術,將含金屬或金屬氧化物之EUV敏感性膜沉積在半導體基板上。例如,已將所述之製程展示用於基於有機錫氧化物的EUV光阻組成,該有機錫氧化物可應用於市售可旋轉塗佈配方(例如,可自Inpria Corp, Corvallis, OR購得)與使用乾式真空沉積技術所塗佈的配方兩者,其進一步描述於下文中。
半導體基板可包括適用於光微影處理的任何材料構造,尤其係用於積體電路與其他半導體裝置的生產。在某些實施例中,半導體基板為矽晶圓。半導體基板可為已在其上形成特徵部(『下伏特徵部』)的矽晶圓,而具有不規則的表面形貌。如在此所指,『表面』為待將本揭露內容的膜沉積於其上的表面、或在處理期間待曝露至EUV的表面。下伏特徵部可包括在執行本揭露內容的方法之前已在處理期間移除材料(例如,藉由蝕刻)的區域或者已添加材料(例如,藉由沉積)的區域。此種先前處理可包括本揭露內容之方法或迭代製程(iterative process)中之其他處理方法,藉此得以在基板上形成二或更多層的特徵部。
可在半導體基板上沉積EUV敏感性薄膜,此種膜可作為用於後續EUV微影與處理的光阻。此種EUV敏感性薄膜包含在曝露至EUV後經受下列變化的材料:例如失去與富含低密度M-OH材料中之金屬原子鍵結的大體積側鏈取代基(bulky pendant substituent),以允許其交聯至較緊密M-O-M鍵結的金屬氧化物材料。透過EUV圖案化,產生相對於未曝光區域而具有改變之物理或化學特性的膜之區域。在後續處理中可利用這些特性,以例如溶解未曝光或曝光區域、或選擇性地沉積材料於曝光或未曝光區域上。在某些實施例中,在執行此種後續處理的條件下,未曝光膜具有比曝光膜更疏水的表面。舉例來說,可藉由運用膜的化學組成、密度、以及交聯的差異以執行材料的移除。如進一步在下文中所述,移除可藉由乾式處理進行。
在各種實施例中,該薄膜為有機金屬材料,例如包含錫氧化物、或其他金屬氧化物材料/基團(moiety)的有機錫材料。有機金屬化合物可在有機金屬前驅物與相對反應物(counter reactant)的氣相反應中被製造。在各種實施例中,該有機金屬化合物係透過下列方式加以形成:將具有大體積烷基或氟烷基之有機金屬前驅物的特定組合物與相對反應物混合,並且在氣相中使混合物進行聚合,以生產沉積到半導體基板上的低密度、EUV敏感性材料。
在各種實施例中,有機金屬前驅物在每一金屬原子上包含可在氣相反應中留存之至少一烷基,而與該金屬原子配位之其他配位基或離子可被相對反應物所取代。有機金屬前驅物包括下式之有機金屬前驅物:
M
aR
bL
c(式1)
其中:M為具有高圖案化輻射吸收截面的元素;R為烷基,例如C
nH
2n+1,其中較佳地n ≥ 2;L為對相對反應物具有反應性的配位基、離子或其他基團;a ≥ 1;b ≥ 1;且c ≥ 1。
在各種實施例中,M具有等於或大於1x10
7cm
2/mol的原子吸收截面。舉例來說,M可選自於由錫、鉿、碲、鉍、銦、銻、碘、鍺、以及其組合所組成的群組。在某些實施例中,M為錫。R可被氟化,例如具有式C
nF
xH
(2n+1)。在各種實施例中,R具有至少一β-氫或β-氟。舉例來說,R可選自於由乙基、異丙基、正丙基、叔丁基、異丁基、正丁基、仲丁基、正戊基、異戊基、叔戊基、仲戊基、以及其混合物所組成的群組。L可為容易被相對反應物所取代而產生M-OH基團的任何基團,例如選自於由胺(例如,二烷基胺基、單烷基胺基)、烷氧基、羧酸根、鹵素、以及其混合物所組成之群組的基團。
有機金屬前驅物可為各種候選金屬有機前驅物的任何一者。舉例來說,在M為錫的情況下,此種前驅物包括叔丁基三(二甲基胺基)錫、異丁基三(二甲基胺基)錫、正丁基三(二甲基胺基)錫、仲丁基三(二甲基胺基)錫、異丙基(三)二甲基胺基錫、正丙基三(二甲基胺基)錫、乙基三(二甲基胺基)錫、以及類似的烷基(三)(叔丁氧基)錫化合物(例如,叔丁基三(叔丁氧基)錫)。在某些實施例中,有機金屬前驅物被部分地氟化。
相對反應物具有取代反應性基團、配位基、或離子(例如,上述式1中之L)的能力,以便經由化學鍵結來使至少二金屬原子進行鏈接。相對反應物可包括水、過氧化物(例如,過氧化氫)、二或多羥基醇、氟化的二或多羥基醇、氟化的乙二醇、以及其他羥基團的來源。在各種實施例中,藉由在鄰近的金屬原子之間形成氧橋,以使相對反應物與有機金屬前驅物進行反應。其他可能的相對反應物包括可經由硫橋使金屬原子進行交聯的硫化氫以及二硫化氫。
除了有機金屬前驅物及相對反應物之外,該薄膜還可包含可選的材料,以修改膜的化學或物理特性,例如修改膜對EUV的敏感度或增強抗蝕刻性。在半導體基板上進行沉積之前、在沉積薄膜之後、或兩者,可例如藉由在氣相形成期間進行摻雜以導入此種可選之材料。在某些實施例中,可導入溫和遠端H
2電漿,以將某些Sn-L鍵取代成Sn-H,此可增加光阻在EUV下的反應性。
在各種實施例中,使用在本領域中為人所知的氣相沉積設施與製程,以在半導體基板上製造並沉積EUV圖案化膜。在此種製程中,聚合的有機金屬材料係在氣相中形成、或在半導體基板的表面上原位形成。合適的製程例如包括化學氣相沉積(CVD,chemical vapor deposition)、原子層沉積(ALD,atomic layer deposition)、以及具有CVD成分的ALD,例如不連續的類ALD製程,在該類ALD製程中,金屬前驅物與相對反應物在時間或空間上被分開。
通常,方法包含將有機金屬前驅物的蒸氣流與相對反應物的蒸氣流混合而形成聚合的有機金屬材料、以及將有機金屬材料沉積在半導體基板的表面上。在某些實施例中,在蒸氣流中包含多於一種的有機金屬前驅物。在某些實施例中,在蒸氣流中包含多於一種的相對反應物。本領域中具有通常知識者將理解,在實質連續的製程中,該製程的混合及沉積態樣可同時進行。
在示範的連續CVD製程中,將有機金屬前驅物與相對反應物源之二或更多氣流(於分開的入口路徑中)導入至CVD設備的沉積腔室,於此處,其在氣相中混合並進行反應以形成聚結的聚合材料(例如,經由金屬-氧-金屬鍵形成)。例如可使用分開的噴射入口或雙氣室噴淋頭來導入氣流。該設備係經設置以使有機金屬前驅物與相對反應物的流在腔室中混合,從而允許有機金屬前驅物與相對反應物進行反應,以形成聚合之有機金屬材料。在不限制本技術之機制、功能、或用途的情況下,據信當金屬原子藉由相對反應物進行交聯時,來自此種氣相反應之產物的分子量會變得更大,並且接著凝結或以其他方式沉積到半導體基板上。在各種實施例中,大體積烷基的立體障礙防止緊密堆疊之網狀結構的形成,並且產生平滑、無定形、低密度的膜。
CVD製程通常係在例如從10毫托(milliTorr)至10托(Torr)的降低壓力下執行。在某些實施例中,該製程係在0.5至2 Torr下執行。在某些實施例中,半導體基板的溫度係等於或低於反應物流的溫度。舉例來說,基板溫度可從0℃至250℃、或從環境溫度(例如,23℃)至150℃。在各種製程中,在基板上的聚合之有機金屬材料的沉積係以與表面溫度成反比的速率發生。
在某些實施例中,使用在本領域中為人所知的濕式沉積設施與製程以在半導體基板上製造並沉積EUV圖案化膜。舉例來說,藉由旋轉塗佈以在半導體基板的表面上形成有機金屬材料。
在半導體基板之表面上所形成的EUV圖案化膜之厚度可依照表面特性、所使用的材料、以及處理條件加以改變。在各種實施例中,膜厚度可從0.5 nm至100 nm,並且可為一足夠的厚度以在EUV圖案化的條件下吸收大部分的EUV光。EUV圖案化膜可能能夠提供等於或大於30%的吸收率,從而具有明顯更少之朝向EUV圖案化膜之底部的可利用EUV光子。與EUV曝光膜的底部相比,較高的EUV吸收率在EUV曝光膜的頂部附近造成較多的交聯與緻密化。雖然不充分的交聯可能會在濕式顯影中導致光阻更易於剝落或塌陷,但此種風險並不存在於乾式顯影中。全乾式微影方法可藉由較不透光的光阻膜來促進EUV光子的更有效利用。雖然使用具有較高整體吸收率的EUV圖案化膜可發生EUV光子的有效利用,但吾人將理解,在某些情況下,EUV圖案化膜可少於約30%。作為對比,大部分其他光阻膜之最大整體吸收率係少於30%(例如,10%或更少、或5%或更少),以使位於光阻膜之底部處的光阻材料充分曝光。在某些實施例中,膜厚度係從10 nm至40 nm、或從10 nm至20 nm。在不限制本揭露內容之機制、功能、或用途的情況下,據信本揭露內容的製程對於基板的表面附著特性具有較少的限制,而不像本領域中的濕式旋轉塗佈製程,因此可應用於各式各樣的基板。此外,如上所述,所沉積的膜可與表面特徵部緊密地保形,以提供在基板(例如,具有下伏特徵部的基板)之上形成遮罩的優點,而無需『填充』或以其他方式使此種特徵部平坦化。
在方塊104,執行可選的清理製程以清理半導體基板的背側及/或斜角邊緣。背側及/或斜角邊緣清理可非選擇性地蝕刻EUV光阻膜,以均等地將基板背側與斜角邊緣上具有各種氧化或交聯程度的膜移除。在藉由濕式沉積處理或乾式沉積處理之EUV圖案化膜的塗佈期間,在基板斜角邊緣及/或背側上可能會存在某些光阻材料的非預期沉積物。非預期沉積物可能導致不期望的粒子,該粒子後續移動至半導體基板的頂表面並且成為粒子缺陷。此外,這種斜角邊緣與背側沉積物可能造成下游處理的問題,包括圖案化(掃描器)與顯影工具的汙染。傳統上,藉由濕式清理技術來完成這種斜角邊緣與背側沉積物的移除。對於旋轉塗佈的光阻材料,這種製程被稱為邊緣珠粒移除(EBR,edge bead removal),並且係藉由當基板正在旋轉時從斜角邊緣的上方及下方引導溶劑流而加以執行。可將相同的製程應用在藉由氣相沉積技術所沉積的基於有機錫氧化物之可溶性光阻。然而,在某些實施例中,斜角邊緣與背側清理可使用乾式清理技術加以執行。
基板斜角邊緣及/或背側清理亦可為乾式清理製程。在某些實施例中,乾式清理製程係涉及具有下列氣體之一或更多者的蒸氣及/或電漿:HBr、HCl、BCl
3、SOCl
2、Cl
2、BBr
3、H
2、O
2、PCl
3、CH
4、甲醇、氨、甲酸、NF
3、HF。在某些實施例中,乾式清理製程可使用與在此所述之乾式顯影製程相同的化學品。舉例來說,斜角邊緣及/或背側清理可使用含鹵素顯影化學品。對於斜角邊緣及/或背側清理製程,必須將蒸氣及/或電漿限制在基板的特定區域,以確保僅移除背側及斜角,而不會使基板前側上的任何膜劣化。
可針對斜角邊緣及/或背側清理,將製程條件最佳化。在某些實施例中,較高溫度、較高壓力、及/或較高反應物流量可導致蝕刻速率增加。根據光阻膜及組成與特性,對於乾式斜角邊緣與背側清理的合適製程條件可為:100-10000 sccm的反應物流量(例如,500 sccm的HCl、HBr、HI、或H
2及Cl
2或Br
2、BCl
3或H
2、或其他含鹵素化合物)、20至140℃的溫度(例如,80℃)、20-1000 mTorr的壓力(例如,100 mTorr)或50-765 Torr的壓力(例如,760 Torr)、在高頻(例如,13.56 MHz)下之0至500 W的電漿功率、以及約10至20秒的時間。可使用自Lam Research Corporation, Fremont, CA所購得的Coronus®工具來實現斜角及/或背側清理。
例如當原來的光阻受損或具有其他缺陷時,可替代地將乾式清理操作延伸至完全光阻移除或光阻『重工(rework)』,於其中將所塗佈的EUV光阻移除並且準備半導體基板以進行光阻再塗佈。光阻重工應當在不損壞下伏半導體基板的情況下完成,因此應避免基於氧的蝕刻。相反地,可使用如在此所述的含鹵化物化學品之變體。吾人將理解,可在製程100期間的任何階段應用光阻重工操作。因此,可在光阻沉積之後、在斜角邊緣及/或背側清理之後、在PAB加工之後、在EUV曝光之後、在PEB加工之後、在顯影之後、或在硬烘烤(hard bake)之後,應用光阻重工操作。在某些實施例中,可執行光阻重工,以進行光阻之曝光與未曝光區域的非選擇性移除,但對底層具有選擇性。
在某些實施例中,光阻重工製程係涉及具有下列氣體之一或更多者的蒸氣及/或電漿:HBr、HCl、HI、BCl
3、Cl
2、BBr
3、H
2、PCl
3、CH
4、甲醇、氨、甲酸、NF
3、HF。
可針對光阻重工,將製程條件最佳化。在某些實施例中,較高溫度、較高壓力、及/或較高反應物流量可導致蝕刻速率增加。根據光阻膜及組成與特性,對於光阻重工的合適製程條件可為:100-500 sccm的反應物流量(例如,500 sccm的HCl、HBr、HI、BCl
3或H
2及Cl
2或Br
2)、20至140℃的溫度(例如,80℃)、20-1000 mTorr的壓力(例如,300 mTorr)或50-765 Torr的壓力(例如,760 Torr)、在高頻(例如,13.56 MHz)下之0至800 W的電漿功率(例如,500 W)、0至200 V的晶圓偏壓(在較硬下伏基板材料的情況下可使用較高的偏壓)、以及足以將EUV光阻完全移除的約20秒至3分鐘的時間。應當理解,儘管這些條件係適用於某些處理反應器(例如可自Lam Research Corporation, Fremont, CA所購得的Kiyo蝕刻工具),但可依照處理反應器的性能而使用更廣泛的製程條件。
在某些實施例中,可在大氣條件下使用蝕刻氣體以執行斜角邊緣清理。用於斜角邊緣清理的處理腔室可曝露至大氣條件,而不需要用以在處理腔室中產生真空環境的真空設施。在某些實施例中,用於斜角邊緣清理的處理腔室可將含金屬EUV光阻曝露至非真空壓力,其中,非真空壓力係介於約50 Torr與約765 Torr之間、介於約100 Torr與約765 Torr之間、或介於約300 Torr與約765 Torr之間。在某些實施例中,用於斜角邊緣清理的處理腔室可將含金屬EUV光阻曝露至高於大氣壓力的壓力,其中,腔室壓力係大於約760 Torr。在某些實施例中,用於斜角邊緣清理的處理腔室可將含金屬EUV光阻曝露至空氣或環境氣體。環境氣體可包含氧、氮、二氧化碳、水蒸氣、或其組合。在某些實施例中,用於斜角邊緣清理的處理腔室可將含金屬EUV光阻曝露至受控大氣,其中,受控大氣包含對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。
處理腔室可包含用於溫度控制的一或更多加熱器。一或更多加熱器可耦接至加熱組件,該加熱組件係面向處理腔室中的半導體基板,以進行基板溫度控制。例如,該加熱組件可定位在基板支架的下方或在基板支架與半導體基板之間。在某些實施例中,可使用例如IR燈或一或更多LED的輻射加熱組件來控制基板溫度。在EUV光阻的斜角邊緣清理期間,半導體基板可經歷升高的溫度。溫度可被調整,其中,溫度可影響蝕刻的各種方面,例如蝕刻速率。在某些實施例中,斜角邊緣清理包含將半導體基板加熱至等於或大於約50℃、等於或大於約100℃、等於或大於約120℃、或介於約100℃與約230℃之間的溫度。
在某些實施例中,用於斜角邊緣及/或背側清理的處理腔室可為無電漿(plasma-free)熱處理腔室。無電漿熱處理腔室可能無法產生直接或遠端電漿。在某些其他實施例中,用於斜角及/或背側清理的處理腔室可耦接至直接或遠端電漿源。該電漿源可有助於產生用於斜角及/或背側清理或後續之脫附、除渣(descumming)、以及平滑化(smoothing)操作的電漿。
在某些實施例中,處理腔室中的斜角邊緣清理可在烘烤腔室中加以執行,例如用以執行在方塊106之PAB曝露的烘烤腔室或用以執行在方塊110之PEB曝露的烘烤腔室。在某些實施例中,處理腔室中的斜角邊緣清理可於用以執行在方塊112之顯影的顯影腔室中加以執行。在某些實施例中,處理腔室中的斜角邊緣清理可在晶圓清理腔室中加以執行,例如旋轉清理腔室。在某些實施例中,處理腔室中的斜角邊緣清理可在加工腔室中加以執行,例如HMDS加工腔室。在某些實施例中,處理腔室中的斜角邊緣清理可在批次爐反應器中加以執行。在某些實施例中,處理腔室中的斜角邊緣清理可在單批次或小批次緩衝模組中加以執行。
在製程100的方塊106,在EUV圖案化膜的沉積之後並且在EUV曝光之前,執行可選的塗佈後烘烤(PAB,post-application bake)。PAB加工可包含熱加工、化學曝露、以及水分的組合以增加EUV圖案化膜的EUV敏感性,從而降低使EUV圖案化膜中之圖案顯影的EUV劑量。PAB加工溫度可被調整並且最佳化,以增加EUV圖案化膜的敏感度。舉例來說,加工溫度可介於約90℃與約200℃之間或介於約150℃與約190℃之間。在某些實施例中,可以介於大氣與真空之間的壓力、以及約1到15分鐘(例如約2分鐘)的加工持續時間來執行PAB加工。在某些實施例中,PAB加工係在介於約100℃與約230℃之間的溫度與大氣壓力下加以執行。
在製程100的方塊108,將含金屬EUV光阻膜曝露至EUV輻射以使圖案顯影。一般而言,EUV曝光引起含金屬EUV光阻膜中之化學組成及交聯的變化,進而產生可被利用於後續顯影的蝕刻選擇性之對比。
接著,一般在相對高真空下,可藉由將含金屬EUV光阻膜的一區域曝露至EUV光以將該膜圖案化。可用於此處的EUV裝置及成像方法包含在本領域中為人所知的方法。尤其,如上所述,透過EUV圖案化,產生相對於未曝光區域而具有改變之物理或化學特性的膜之曝光區域。舉例來說,在曝光區域中,可例如透過β-氫化物消除(beta-hydride elimination)而發生金屬-碳鍵的裂解(cleavage),而留下具反應性且可使用的金屬氫化物官能度(functionality),該金屬氫化物官能度可在後續的曝光後烘烤(PEB,post-exposure bake)步驟期間經由金屬-氧橋而轉化成氫氧化物以及經交聯的金屬氧化物基團。此製程可用以產生作為負型光阻顯影所用的化學對比。一般來說,在烷基中的較大量β-氫會造成較敏感的膜。此亦可被解釋成具有較多分枝的較弱Sn-C鍵結。在曝光之後,可對含金屬EUV光阻膜進行烘烤,俾能引起金屬氧化物膜的額外交聯。在後續處理中可利用曝光區域與未曝光區域之間的特性差異,以例如溶解未曝光區域或沉積材料於曝光區域上。例如,可使用乾式方法來對圖案進行顯影,以形成含金屬氧化物遮罩。
尤其,在各種實施例中,特別係當使用EUV在真空中執行曝光時,在成像層的曝光區域中,存在於表面上的烴基封端(hydrocarbyl-terminated)錫氧化物被轉化成氫封端(hydrogen-terminated)錫氧化物。然而,將曝光的成像層從真空移動至空氣中、或氧、臭氧、H
2O
2、或水的受控導入,可導致表面Sn-H氧化成Sn-OH。可在後續處理中利用曝光區域與未曝光區域之間的特性差異,例如藉由使一或更多反應物與照射區域、未照射區域、或兩者進行反應,以選擇性地將材料添加至成像層或從成像層移除材料。
在不限制本技術之機制、功能、或用途的情況下,例如劑量從10 mJ/cm
2至100 mJ/cm
2的EUV曝光會造成Sn-C鍵的裂解,從而造成烷基取代基的減少並減輕立體障礙,且允許低密度膜塌陷。此外,在β-氫化物消除反應中所產生的反應性金屬-H鍵可與鄰近的活性基團(例如,膜中的羥基)進行反應,以造成進一步的交聯與緻密化,並且在曝光與未曝光區域之間產生化學對比。
在將含金屬EUV光阻膜曝露至EUV光之後,提供光圖案化含金屬EUV光阻。該光圖案化含金屬EUV光阻包含EUV曝光與未曝光區域。
在製程100的方塊110,執行可選的曝光後烘烤(PEB),以進一步增加該光圖案化含金屬EUV光阻之蝕刻選擇性的對比。該光圖案化含金屬EUV光阻可在促進EUV曝光區域之交聯的各種化學物種存在的情況下進行熱加工,或在環境空氣中於加熱板上進行簡易烘烤,例如在100℃與250℃之間進行介於一至五分鐘(例如,190℃進行二分鐘)。
在各種實施例中,烘烤策略包含烘烤環境的謹慎控制、反應性氣體的導入、及/或烘烤溫度之升降速率(ramping rate)的謹慎控制。有用之反應性氣體的範例包括例如空氣、H
2O、H
2O
2蒸氣、CO
2、CO、O
2、O
3、CH
4、CH
3OH、N
2、H
2、NH
3、N
2O、NO、醇、乙醯丙酮、甲酸、Ar、He、或其混合物。PEB加工係經設計以(1)驅使將在EUV曝光期間所產生的有機片段完全蒸發、以及(2)將EUV曝光所產生的任何Sn-H、Sn-Sn、或Sn自由基物種氧化成金屬氫氧化物、以及(3)促進鄰近Sn-OH基團之間的交聯以形成較緊密交聯的類SnO
2網狀結構。烘烤溫度經謹慎地選擇以達到最佳的EUV微影性能。過低的PEB溫度將導致不充分的交聯,並因此在給定的劑量下具有較少的顯影用化學對比。過高的PEB溫度亦將具有不利的影響,包括在未曝光區域(在此範例中,為了形成遮罩,該區域係透過圖案化膜的顯影而被移除)中的劇烈氧化與膜收縮、以及在光圖案化含金屬EUV光阻與底層間之界面處的非期望交互擴散(interdiffusion),這兩者均會導致化學對比的減損、以及由於不可溶渣滓所引起之缺陷密度的增加。PEB加工溫度可介於約100℃與約300℃之間、介於約170℃與約290℃之間、或介於約200℃與約240℃之間。在某些實施例中,可以介於大氣與真空之間的壓力、以及約1至15分鐘(例如,約2分鐘)的加工持續時間來執行PEB加工。在某些實施例中,可重複PEB熱加工,以進一步增加蝕刻選擇性。
在製程100的方塊112,對光圖案化含金屬EUV光阻進行顯影,以形成光阻遮罩。在各種實施例中,移除曝光區域(正型)或移除未曝光區域(負型)。在某些實施例中,顯影可包含在光圖案化含金屬EUV光阻之曝光或未曝光區域上進行選擇性沉積,接著進行蝕刻操作。在各種實施例中,這些製程可為乾式製程或濕式製程。在某些實施例中,可在不觸發電漿的情況下,以含鹵素氣體的流來完成顯影。或者,可以在遠端電漿源中所活化或藉由曝露至遠端UV輻射所活化之含鹵素氣體的流來完成顯影。顯影用之光阻可包含選自於由下者所組成之群組的元素:錫、鉿、碲、鉍、銦、銻、碘、以及鍺。該元素可具有高圖案化輻射吸收截面。在某些實施例中,該元素可具有高EUV吸收截面。在某些實施例中,含金屬EUV光阻可具有大於30%的整體吸收率。在全乾式微影製程中,此提供EUV光子的更有效利用,而能夠對較厚且較EUV不透光的光阻進行顯影。
顯影製程的範例係涉及使含有機錫氧化物的EUV敏感性光阻薄膜(例如,10-30 nm厚,如20 nm)經歷EUV曝光劑量及曝光後烘烤,並接著進行顯影。該光阻膜可例如基於有機錫前驅物(例如,異丙基(三)(二甲基胺基)錫)與水蒸氣的氣相反應而加以沉積,或者可為在有機基質中包含錫團簇(tin cluster)的旋塗膜。
圖2A-2C顯示依照某些實施例之乾式顯影之各種處理階段的橫剖面示意圖。圖2A-2C所顯示的範例係例示負型乾式顯影。如圖2A所示,晶圓200包含基板202以及待蝕刻的基板層204。在某些實施例中,基板層204包含可灰化硬遮罩(例如,旋塗碳(SoC,spin-on carbon))或其他材料,例如矽、矽氧化物、矽氮化物、矽碳化物等等。在某些實施例中,基板層204可為配置在基板202上的層堆疊。晶圓200更包含光圖案化含金屬EUV光阻膜206。舉例來說,光圖案化含金屬EUV光阻膜206可為配置在待蝕刻之基板層204上的含有機金屬層。光圖案化含金屬EUV光阻膜206可具有介於約5 nm與約50 nm之間或介於約10 nm與約30 nm之間的厚度。可在EUV掃描器中進行光圖案化之後及/或在如上所述的PEB加工之後,將光圖案化含金屬EUV光阻膜206提供於處理腔室中。光圖案化含金屬EUV光阻膜206包含非EUV曝光區域206a以及EUV曝光區域206b。如圖2B所示,在不觸發電漿的情況下,藉由曝露至乾式顯影化學品的流,可在乾式顯影製程中移除光圖案化含金屬EUV光阻膜206的非EUV曝光區域206a。乾式顯影化學品可包括含鹵素化學品。在藉由移除非EUV曝光區域206a進行顯影之後,形成光阻遮罩208。之後,可使用光阻遮罩208來蝕刻待蝕刻之基板層204,以提供圖2C所描繪之結構。
回到圖1,在方塊112,藉由曝露至乾式顯影化學品,對光圖案化含金屬EUV光阻進行顯影,其中該乾式顯影化學品為含鹵素化學品。在某些實施例中,將EUV光阻的乾式顯影與其他乾式處理操作(例如含金屬EUV光阻膜的乾式沉積(如CVD))結合。
在某些實施例中,半導體基板的光阻處理可結合所有乾式步驟,包括藉由氣相沉積的膜形成、背側及/或斜角邊緣乾式清理、PAB曝露、EUV微影圖案化、PEB曝露、以及乾式顯影。於製程100中,在方塊102-114的每一操作可為乾式處理操作。此種處理操作可免除和濕式處理操作(例如濕式顯影)相關的材料與生產力成本。乾式處理可提供更多的可調性,並且增加進一步的臨界尺寸(CD)控制及渣滓去除。濕式顯影係受到溶解度及團簇尺寸所限制,而乾式顯影並不受到溶解度及團簇尺寸所限制。濕式顯影更容易具有圖案塌陷與分層的問題,而乾式顯影係避免這些問題。
在某些實施例中,可藉由在流動乾式顯影化學品時使用溫和電漿(高壓力、低功率)或熱製程來完成乾式顯影製程。例如,可在使用乾式顯影化學品的熱製程中實現乾式顯影。在某些實施例中,乾式顯影能夠快速地移除未曝光材料,留下曝光膜的圖案,該圖案可藉由基於電漿的蝕刻製程(例如,習知的蝕刻製程)而轉印到下伏層中。
在熱顯影製程中,於處理腔室(例如,烘箱)中將基板曝露至顯影化學品(例如,路易士酸)。可將處理腔室曝露至大氣條件,而不需要用於在處理腔室中產生真空環境的真空設施。換言之,用於熱顯影的處理腔室可將光圖案化含金屬EUV光阻曝露至非真空壓力,其中,非真空壓力係介於約50 Torr與約765 Torr之間、介於約100 Torr與約765 Torr之間、或介於約300 Torr與約765 Torr之間。在某些實施例中,用於熱顯影的處理腔室可將含金屬EUV光阻曝露至高於大氣壓力的壓力,其中,腔室壓力係大於約760 Torr。在某些實施例中,用於熱顯影的處理腔室可將光圖案化含金屬EUV光阻曝露至空氣或環境氣體。環境氣體可包含氧、氮、二氧化碳、水蒸氣、或其組合。在某些實施例中,用於熱顯影的處理腔室可將光圖案化含金屬EUV光阻曝露至受控大氣,其中,受控大氣包含對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。
用於熱顯影的處理腔室可包含用於溫度控制的一或更多加熱元件。該一或更多加熱元件可耦接至加熱組件,該加熱組件係面向處理腔室中的半導體基板,以進行基板溫度控制。在某些實施例中,該一或更多加熱元件可為一或更多LED,其中,該等LED可排列在複數可獨立控制加熱區中。在EUV光阻的乾式顯影期間,半導體基板可經歷升高的溫度。溫度可被調整,其中,溫度可影響顯影的各種方面,例如蝕刻選擇性。在某些實施例中,乾式顯影包含將半導體基板加熱至等於或大於約50℃、等於或大於約100℃、等於或大於約120℃、或介於約100℃與約230℃之間的溫度。
用於熱顯影的處理腔室可包含定位在基板支架之上的蝕刻氣體輸送噴嘴或蝕刻氣體分配器。蝕刻氣體流率可被調整,其中,氣體流量可在顯影期間影響曝光區域與未曝光區域之間的蝕刻選擇性。在某些實施例中,氣體流率係介於0.5 SLM與約30 SLM之間、介於約1 SLM與約20 SLM之間、或介於約2 SLM與約15 SLM之間。該氣體流率包括所流動之氣體(包含蝕刻氣體與載氣(例如氮、氬等等))的總流率。蝕刻氣體流率可僅為總流率的部分,其中蝕刻氣體流率可介於約0.01 SLM與約1 SLM之間。在熱顯影製程中可調整曝露的持續時間。曝露的持續時間可取決於希望移除多少光阻、顯影化學品、光阻中的交聯量、以及光阻的組成與特性等等因素。在某些實施例中,曝露的持續時間可介於約1秒與約50分鐘之間、介於約3秒與約20分鐘之間、或介於約10秒與約6分鐘之間。
藉由控制製程條件(例如溫度、壓力、氣體流量、氣體組成、以及電漿功率等等可調整製程條件),可調整乾式顯影期間的蝕刻選擇性。在單一步驟或複數步驟中調整蝕刻選擇性可達成期望的圖案化特性。在某些實施例中,乾式顯影期間的蝕刻選擇性係橫跨一或更多步驟加以調整,以影響EUV光阻輪廓。更具體而言,藉由在一或更多步驟期間塗佈具有不同蝕刻選擇性的顯影化學品,可控制EUV光阻輪廓中的漸縮量(amount of taper)或凹角(re-entrant angle)。除渣、光阻重工、固化、平滑化、以及清理操作亦可根據可調整的蝕刻選擇性而加以調整。
在某些實施例中,用於乾式顯影的處理腔室可為無電漿熱處理腔室。無電漿熱處理腔室可能無法產生直接或遠端電漿。在某些其他實施例中,用於乾式顯影的處理腔室可耦接至直接或遠端電漿源。該電漿源可有助於產生用於乾式顯影或後續之脫附、除渣、以及平滑化操作的電漿。
在某些實施例中,處理腔室中的乾式顯影可在烘烤腔室中加以執行,例如用以執行在方塊106之PAB曝露的烘烤腔室或用以執行在方塊110之PEB曝露的烘烤腔室。在某些實施例中,處理腔室中的乾式顯影可於晶圓清理腔室中加以執行,例如用以執行在方塊104之斜角邊緣清理的斜角邊緣清理腔室。在某些實施例中,處理腔室中的乾式顯影可在例如旋轉清理腔室的晶圓清理腔室中加以執行。在某些實施例中,處理腔室中的乾式顯影可在加工腔室中加以執行,例如HMDS加工腔室。在某些實施例中,處理腔室中的乾式顯影可在批次爐反應器中加以執行。在某些實施例中,處理腔室中的乾式顯影可在單批次或小批次緩衝模組中加以執行。
在製程100的方塊114,半導體基板可選地經受硬烘烤。在硬烘烤製程期間,半導體基板經歷升高的溫度。例如,半導體基板可經歷等於或大於約50℃、介於約100℃與約300℃之間、或介於約170℃與約290℃之間的升高之溫度。硬烘烤製程可驅除剩餘的溶劑或來自乾式顯影的蝕刻氣體。
圖3A與3B顯示依照某些實施例之用於執行光阻乾式蝕刻之示範處理腔室的示意圖。在圖3A與3B中,處理腔室300係開放至大氣。處理腔室300包含平台或基板支架310,半導體基板320被支撐於其上。在某些實施例中,基板支架310可耦接至經設置以控制半導體基板320之基板溫度的一或更多加熱器(未顯示)。在某些實施例中,基板支架310可經設置以旋轉半導體基板320,而使半導體基板320轉動。在某些實施例中,基板支架310可為在PAB或PEB加工中所使用的烘烤板。出乎意料地,不使處理腔室300處於真空,EUV光阻的乾式蝕刻(例如乾式顯影)可在開放空氣環境中於大氣壓力下被執行,或者在高於大氣壓力的受控大氣中被執行。在某些實施例中,用於執行乾式蝕刻的處理腔室可為基於非電漿的熱處理腔室。換言之,該基於非電漿的熱處理腔室可能無法產生直接或遠端電漿。在某些其他實施例中,用於執行乾式蝕刻的處理腔室可為基於電漿的處理腔室。
在圖3A中,一設備包含處理腔室300,其中,將處理腔室300曝露至大氣條件。在某些實施例中,處理腔室300為烘烤腔室。在某些實施例中,該設備包含用以在處理腔室300中支撐半導體基板320的烘烤板310。在某些實施例中,烘烤板310包含上表面以及用於將半導體基板支撐在高於烘烤板310之上表面之高度的複數最小接觸面積(MCA)支架(未顯示)。烘烤板310可包含複數加熱區(未顯示),其中,複數加熱區之每一者包含一或更多加熱元件。該設備更包含定位在烘烤板310之上的蝕刻氣體分配器330。蝕刻氣體分配器330可為具有面向半導體基板320之面板的噴淋頭,其中,該面板包含用於將蝕刻氣體輸送至半導體基板320的複數穿孔。該噴淋頭可用以在乾式顯影、乾式斜角邊緣清理、或其他乾式光阻製程期間導入蝕刻氣體。在某些實施例中,該噴淋頭可將蝕刻氣體作為整體或局部地在某些區域中輸送到半導體基板320。雖然不必使處理腔室300處於真空,但可將排氣風扇或幫浦耦接至處理腔室300,以移除蝕刻副產物以及其他殘留氣體。
在圖3B中,一設備包含處理腔室300,其中,將處理腔室300曝露至大氣條件。吾人將理解處理腔室300不必連接至任何真空幫浦或其他真空設施。然而,可將處理腔室300耦接至排氣風扇或幫浦,以移除蝕刻副產物以及其他殘留氣體。在某些實施例中,處理腔室300為晶圓旋轉清理腔室。在某些實施例中,該設備包含用以在處理腔室300中固持半導體基板320的基板支架310。基板支架310可經設置以使半導體基板320轉動。該設備更包含面向處理腔室300中之半導體基板320的加熱組件(未顯示),其中,該加熱組件包含複數加熱元件。該複數加熱元件可包含複數LED,其中,該複數LED可排列在複數可獨立控制加熱區中。該設備更包含定位在基板支架310之上的蝕刻氣體輸送噴嘴340,其中,蝕刻氣體輸送噴嘴340係可移動的,以將蝕刻氣體的輸送定位至在半導體基板320之上的位置。在某些實施例中,蝕刻氣體輸送噴嘴340係耦接至用於將蝕刻氣體輸送噴嘴340局部地定位在半導體基板320之某區域之上的可動擺臂。例如,該可動擺臂可在徑向上將蝕刻氣體輸送噴嘴340定位於半導體基板320的中心與邊緣之間。在某些實施例中,蝕刻氣體輸送噴嘴340係相對於半導體基板320的表面而傾斜或垂直。
用於光阻之乾式顯影或斜角邊緣清理的處理腔室可在軌道式微影系統中加以實施。與光微影相關的許多步驟通常係在具有以受控方式連續處理半導體基板之能力的多腔室系統或群集工具中被執行。用以沉積並且顯影光阻材料的群集工具亦可被稱為軌道式微影工具(track lithography tool)。軌道式微影工具一般包含容納多個專用於執行光微影之各種方面之處理腔室的主機。某些處理腔室可為濕式處理腔室,而某些處理腔室可為乾式處理腔室。某些處理腔室可曝露至開放空氣環境,而某些處理腔室可被包圍在真空環境中。在軌道式微影工具中,用於乾式移除的處理腔室可曝露至開放空氣環境或高於大氣壓力的受控大氣。軌道式微影工具經常包含用以接收半導體基板並且將其回傳至無塵室的晶圓艙(pod)/卡匣(cassette)安裝裝置。軌道式微影工具亦經常包含用以在各種腔室/站之間傳遞半導體基板的傳遞機器人。
圖4顯示依照某些實施例之用於光阻處理之示範系統架構或軌道式系統的示意圖。軌道式系統400包含卡匣安裝區段410、處理區段420、介面區段430、以及曝光區段440。半導體晶圓W可在卡匣402處被接收並且在卡匣404處被回傳。處理區段420可具有複數處理腔室或站421-426。運送機器人455可經設置而沿著中央通道450移動,以在腔室之間傳遞半導體晶圓。在本揭露內容中,複數處理腔室或站421-426之至少一者係曝露至大氣條件,並且經設置以執行從半導體晶圓W乾式移除含金屬EUV光阻之一或更多部分。
曝光區段440係透過介面區段430而連接至處理區段420。曝光區段440可包含用以將在半導體晶圓W上的光敏感性材料曝露至電磁輻射(例如EUV輻射)的掃描器。
處理區段420可包含用以將光阻材料沉積到半導體晶圓W上的一或更多沉積腔室421。沉積腔室421之一者可經設置以沉積例如抗反射塗層的光阻塗層,而沉積腔室421之另一者可經設置以沉積光阻材料。處理區段420可更包含晶圓清理腔室422。例如,晶圓清理腔室422可以純水的噴射流來清理半導體晶圓W。處理區段420可更包含加工腔室423。例如,加工腔室423可包含用以使半導體晶圓W之表面經歷HMDS的附著處理腔室。在某些實施例中,處理區段420更包含一或更多烘烤腔室424。例如,烘烤腔室424之每一者可具有用以加熱半導體晶圓W的一或更多烘烤板。在光阻處理中,烘烤腔室424可執行PAB加工或PEB加工。在某些實施例中,處理區段420更包含以旋轉刷來擦洗半導體晶圓W的擦洗站425。在某些實施例中,處理區段420可選地包含使用顯影化學品來對光阻進行顯影的顯影腔室426。
在本揭露內容中,吾人將理解用於乾式移除的處理腔室可為軌道式微影系統400中的處理腔室421-426之任何一者。因此,軌道式微影系統400中的處理腔室可經修改以執行乾式移除或者經整合以執行乾式移除並且具有烘烤、清理、擦洗、加工、沉積、或其他光阻處理功能,而非專用於乾式移除的站。舉例而言,用於沉積含金屬EUV光阻的沉積腔室421可經設置以執行從半導體晶圓W乾式移除含金屬EUV光阻之一或更多部分。在另一範例中,烘烤腔室424可經設置以執行從半導體晶圓W乾式移除含金屬EUV光阻之一或更多部分。在又另一範例中,晶圓清理腔室422可經設置以執行從半導體晶圓W乾式移除含金屬EUV光阻之一或更多部分。在又另一範例中,用於加工半導體晶圓W之表面的HMDS加工腔室可經設置以執行從半導體晶圓W乾式移除含金屬EUV光阻之一或更多部分。或者,顯影腔室426可經修改以執行烘烤、清理、擦洗、加工、沉積、或其他光阻處理功能以及乾式移除。乾式移除可在大氣條件下被執行。
圖5顯示依照某些實施例之用於光阻處理之替代示範系統架構或軌道式系統的示意圖。軌道式微影系統500包含有時被稱為工廠介面的前端模組510、中央模組520、以及有時被稱為掃描器介面的後模組530。前端模組510包含一或更多晶圓艙或前開式晶圓艙(FOUP,front opening unified pods)512。FOUP 512經設置以接收待於軌道式微影系統500中進行處理的一或更多半導體晶圓W。
前端模組510包含第一處理架514以及具有前端機器人518的第二處理架516。中央模組520包含第一處理架524以及具有中央機器人528的第二處理架526。後模組530包含第一處理架534以及具有後端機器人538的第二處理架536。在某些實施例中,後模組530中的處理架534與536可經設置而與步進器或掃描器540交換半導體晶圓W。掃描器540將沉積在半導體晶圓上的光阻材料曝露至某種形式的電磁輻射(例如,EUV輻射)。
處理架514、516、524、526、534、以及536之每一者可包含呈垂直堆疊排列的多個處理模組。亦即,處理架514、516、524、526、534、以及536之每一者可包含多個堆疊的塗佈模組、熱或烘烤模組、清理模組、顯影器模組、或用以執行光阻處理步驟的其他模組。舉例而言,塗佈模組可沉積抗反射塗層或光阻層,熱與烘烤模組可執行烘烤/冷卻(chill)操作,以及顯影器模組可執行顯影操作。軌道式微影系統500中的一處理架可經修改以執行乾式移除或者經整合以執行乾式移除並且具有塗佈、熱或烘烤操作、清理、或其他光阻處理功能。該處理架可曝露至大氣條件而執行乾式移除。
在某些實施例中,軌道式微影系統500更包含控制器550,該控制器經設置而與掃描器540通信,並且監視與控制在前端模組510、中央模組520、以及後模組530中所執行之製程的態樣。以下更詳細地描述控制器550的態樣。
在大氣條件下的乾式蝕刻製程(例如乾式顯影)可在例如烘烤板或烘箱的熱處理腔室中加以執行。在某些實施例中,於光阻處理中,熱處理腔室可額外地執行PAB或PEB加工。在某些實施例中,用以沉積與顯影光阻的方法包含在同樣用於乾式顯影含金屬EUV光阻的處理腔室中,以升高之溫度來烘烤含金屬EUV光阻。在某些實施例中,用以沉積與顯影光阻的方法包含在同樣用於從半導體基板斜角邊緣清理含金屬EUV光阻的處理腔室中,以升高之溫度來烘烤含金屬EUV光阻。
PAB或PEB熱加工將基板溫度增加至例如介於約100℃與約170℃之間或介於約120℃與約150℃之間的升高之溫度。在某些實施例中,可使用例如IR燈或一或更多LED的輻射熱源來控制基板溫度。可將該輻射熱源定位在基板的下方。或者,可將該輻射熱源定位在基板的上方。可藉由在與該輻射熱源所建立之回饋控制迴路中的高溫計來主動控制基板溫度。可藉由流動例如N
2、Ar、He、Xe、或Ne的惰性氣體而控制PAB或PEB熱加工期間的大氣,其中,該等惰性氣體可與O
2及/或H
2O混合。在某些實施例中,可將PAB或PEB熱加工期間的壓力控制在大氣壓力或更低。在某些實施例中,可將PAB或PEB加工期間的壓力控制在高於大氣壓力的壓力。
在某些實施例中,熱處理腔室包含由例如鋁之高傳導性金屬所製成的基板支架,其可用以散布來自一來源的熱,以提供半導體基板的加熱。在某些實施例中,熱處理腔室包含具有一或更多電阻加熱元件的烘烤板,該一或更多電阻加熱元件係埋設在該烘烤板中或者係與該烘烤板熱連通。該烘烤板可包含複數加熱器區,以控制各種區溫度。在某些實施例中,可設置一或更多近接銷(proximity pins)或MCA支架,以調整半導體基板在該烘烤板之上表面上方的高度而控制基板溫度。在某些實施例中,面板可定位在該烘烤板的上方,其中,面板溫度可進一步提供對於基板溫度的控制。
在大氣條件下的乾式蝕刻製程(例如乾式顯影)可在批次爐反應器中加以執行。該批次爐反應器可為用於一次處理數個基板的立式烘箱並且可在大壓力範圍內進行操作。例如,此種立式烘箱可在介於約50 Torr與約765 Torr之間、或等於或大於約760 Torr的壓力下進行操作。在高於大氣壓力的壓力下進行操作可有助於避免因為氧或空氣所引起的滲漏汙染。
圖6顯示依照某些實施例之用於執行光阻乾式蝕刻之示範批次立式爐的示意圖。批次立式爐600可為用於基板606之批次處理的立式爐。批次立式爐600可包含用於固持複數基板606的基板支架620。如圖6所示,複數基板606可被支撐並且互相堆疊。基板606之每一者可藉由基板支架620所支撐並且藉由重力所固持。此允許數十或數百個基板606在一次運轉中透過批次立式爐600進行批次處理。批次立式爐600包含複數加熱元件610以使複數基板606經歷升高的溫度。如圖6所示,複數加熱元件610可圍繞批次立式爐600的腔室壁602。然而,吾人將理解,複數加熱元件610可併入基板支架620中。在某些實施例中,基板支架620可為用於固持複數基板606之每一者的複數板。在某些實施例中,基板支架620可例如在開槽支撐框架中的邊緣處支撐複數基板606之每一者。
批次立式爐600更包含一或更多蝕刻氣體入口630,以將蝕刻氣體輸送到由批次立式爐600之腔室壁602所包圍的爐反應器中。一或更多蝕刻氣體入口630可額外或替代地輸送用於沉積操作的前驅物氣體或用於吹掃操作的吹掃氣體。一或更多蝕刻氣體入口630可提供從側邊到側邊或平行橫越複數基板606之基板表面的氣體流632。此提升了遍佈基板606的氣體流均勻度。複數基板606之每一者可包括含金屬EUV光阻。蝕刻氣體可與含金屬EUV光阻進行反應,以從複數基板移除含金屬EUV光阻的一或更多部分。此可在斜角邊緣清理製程、乾式顯影、或其他光阻處理操作中發生。
在某些實施例中,批次立式爐600更包含馬達650,其經設置以使基板支架620轉動。如此一來,可使複數基板606轉動並且同時曝露至升高的溫度及/或曝露至蝕刻氣體。此減輕了可歸因於氣體入口及/或出口位置的潛在差異。在某些實施例中,批次立式爐600更包含一或更多氣體出口640。一或更多氣體出口640可經設置以從批次立式爐600移除蝕刻副產物以及殘留氣體。在某些實施例中,一或更多氣體出口640可耦接至真空幫浦或排氣風扇。
在某些實施例中,批次立式爐600的腔室壁602包含對蝕刻氣體具有抵抗力的材料,尤其,其中蝕刻氣體包含基於鹵素的化合物,例如HBr、HCl、或BCl
3。例如,腔室壁602的材料可包括石英、陶瓷材料、或低溫聚合物材料,而非更容易受腐蝕之基於鋁的材料。在某些實施例中,低溫聚合物材料可為聚四氟乙烯(PTFE,polytetrafluoroethylene)。批次立式爐600可用於不要求低溫、蝕刻反應物化學計量之嚴格控制、或遍佈基板表面之氣體流均勻度的乾式顯影或其他光阻製程。
在大氣條件下的乾式蝕刻製程(例如乾式顯影)可在單批次或小批次緩衝模組中加以執行。此種緩衝模組可提供受控大氣。受控大氣可用於加熱步驟或冷卻步驟。受控大氣可包括對空氣或環境氣體的曝露,或者受控大氣可包括對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。在某些實施例中,受控大氣可在大氣壓力或高於大氣壓力的壓力下操作。
該緩衝模組可容納一或更多基板,其中,基板可包含一含金屬EUV光阻。單批次或小批次緩衝模組可包含用於將蝕刻氣體輸送至一或更多基板的一或更多蝕刻氣體入口。蝕刻氣體可移除含金屬EUV光阻的一或更多部分。在某些實施例中,該緩衝模組可用於300 mm晶圓FOUP或儲存箱。該緩衝模組可直接用於執行緩慢及/或高溫脫氣(degas)操作以移除蝕刻副產物,例如揮發性含錫副產物。
在大氣條件下的乾式蝕刻製程(例如乾式顯影)可在晶圓清理腔室中加以執行。晶圓清理腔室可裝有用於將氣體或液體輸送至半導體基板的一或更多排放噴嘴。在某些實施例中,晶圓清理腔室可具有基板支架或夾頭,其經設置而進行轉動,使得加工流體可被迫朝外離開轉動之基板的邊緣。在某些實施例中,基板支架或夾頭可包含或者可耦接至用於加熱半導體基板的一或更多加熱元件。加熱半導體基板一般可促進沖洗液體的蒸發。在某些實施例中,晶圓清理站可設置用於半導體基板的斜角邊緣清理。在某些實施例中,晶圓清理站可為無電漿熱處理站或腔室。在某些其他實施例中,晶圓清理站可經裝設以產生用於執行脫附、除渣、以及平滑化操作的直接或遠端電漿。
在某些實施例中,用以沉積與顯影光阻的方法包含在同樣用於乾式顯影含金屬EUV光阻的處理腔室中,執行半導體基板的斜角邊緣清理。在某些實施例中,用以沉積與顯影光阻的方法包含在同樣用於執行半導體基板之斜角邊緣清理的處理腔室中,乾式顯影含金屬EUV光阻。
圖7A與7B顯示依照某些實施例之用於執行光阻乾式蝕刻之晶圓清理站的示意圖。在某些實施例中,晶圓清理站700可為旋轉-沖洗-乾燥清理站。晶圓清理站700包含基板支架710、液體噴嘴714、以及氣體噴嘴716。液體噴嘴714可安裝在液體輸送臂或可動擺臂704上,以使液體噴嘴714安裝在液體輸送擺臂704的末端。氣體噴嘴716可安裝在氣體輸送臂或可動擺臂706上,以使氣體噴嘴716安裝在氣體輸送擺臂706的末端。如圖7A與7B所示,兩噴嘴714、716經定位而使得液體或氣體的輸送將被引導至半導體基板702的中心。加工流體可從液體噴嘴714配送至半導體基板702。在某些實施例中,加工流體可如同水噴射流般加以配送。在清理操作期間,半導體基板702可持續轉動,且液體噴嘴714可持續將加工流體輸送至半導體基板702,以使加工流體因為作用在加工流體上的離心力而從半導體基板702的邊緣被拋出。液體噴嘴714可朝向半導體基板702的邊緣樞轉。氣體噴嘴716可協助乾燥半導體基板702的表面。氣體噴嘴716可樞轉以使其沿著一軸進行轉動,其中氣體噴嘴716可樞轉而遠離半導體基板702的中心。換言之,氣體噴嘴716可朝向半導體基板702的邊緣而徑向朝外地移動。氣體噴嘴716可設置用於其他移動方法,例如平移或轉動。可經由控制器以及回饋迴路,控制液體噴嘴714及/或氣體噴嘴716的定位。
晶圓清理站700可經修改或整合而用於在大氣條件下的乾式顯影及/或乾式斜角邊緣清理。具體而言,氣體噴嘴716與氣體輸送臂706可用以輸送用於光阻之顯影或光阻之斜角邊緣清理的蝕刻氣體。氣體輸送臂706係可動的,以將氣體噴嘴716精確定位在半導體基板702的某些局部區域之上。半導體基板702上方的局部氣體輸送可實現光阻之乾式顯影或斜角邊緣清理的更大均勻度。
圖8A與8B顯示依照某些實施例之用於執行光阻乾式蝕刻之晶圓清理與加熱站的示意圖。晶圓清理與加熱站800可設置用於局部加熱半導體基板802以及用於將流體(例如氣體或液體)輸送至半導體基板802。旋轉夾頭810可經設計而固持並且轉動半導體基板802。在某些實施例中,半導體基板802係藉由一系列的夾持銷816所固持。夾持銷816可穿過板825中的開口,該板可由石英或藍寶石所製成。板825可固定於旋轉夾頭810。當半導體基板802被定位在旋轉夾頭810上時,其係被固持於板825的上方,而使半導體基板802的下表面平行於板825並且與該板隔開一小間隙。在某些實施例中,晶圓清理與加熱站800更包含排氣風扇(未顯示),其用於從晶圓清理與加熱站800移除蝕刻副產物以及其他殘留氣體。在某些實施例中,晶圓清理與加熱站800更包含氣體源(未顯示),其用於將惰性氣體或稀釋劑氣體輸送到晶圓清理與加熱站800中。該氣體源可使壓力增加而高於大氣壓力及/或將除空氣之外的有用氣體輸送到半導體基板802。
與旋轉夾頭810相鄰的是可動擺臂830。可動擺臂830被安裝用於繞著其驅動馬達834進行樞轉運動。可動擺臂830供應有排放流體,該排放流體係透過其排放噴嘴832向下排放。可動擺臂830可在以實線所示的待命位置與以虛線所示的中央位置之間移動。因此,排放噴嘴832可掃描半導體基板802的整個半徑,並且在半導體基板802藉由旋轉夾頭810所轉動時,將排放流體配送到其整個面朝上之表面上。在本揭露內容的某些實施例中,排放流體包括用於含金屬EUV光阻之乾式顯影或含金屬EUV光阻之斜角邊緣清理的蝕刻氣體。在本揭露內容的某些實施例中,晶圓清理與加熱站800可曝露至開放空氣環境。例如,晶圓清理與加熱站800中的壓力可介於約50 Torr與約765 Torr之間。在本揭露內容的某些實施例中,晶圓清理與加熱站800可處於受控大氣中。例如,受控大氣可包含對大氣壓力或高於大氣壓力之壓力的曝露。受控大氣可包含對惰性氣體或稀釋劑氣體(例如氮、水蒸氣、二氧化碳、氬、氦、或其組合)的曝露。
在板825下方的是加熱組件850。加熱組件850係安裝在固定柱820上,因此不會轉動。加熱組件850可包含用於複數可獨立控制加熱區的複數加熱元件852。在某些實施例中,複數加熱元件852包含複數LED,例如藍光LED。因此,加熱組件850可為輻射加熱組件。複數加熱元件852可連接至控制器854,其中,控制器854可控制複數加熱元件852的開啟與關閉、以及電力。在某些實施例中,控制器854進一步與用於可動擺臂830之定位的驅動馬達834通信。
如圖8A所示,複數加熱元件852可為排列成數個同心圓的複數LED。例如,複數LED可排列成二十個同心圓,且每一個圓為十六的倍數。因此,藉由此種排列,每一個同心圓可被獨立地控制成個別的加熱區。半導體基板802可被考慮分成N個區1、2、3、4、...N,其對應於加熱組件850之可獨立控制加熱區的數量。此種排列允許半導體基板802的快速局部加熱。
供應至加熱組件850之可獨立控制加熱區之電力的控制可對應於排放噴嘴832的徑向位置。因此,控制器854可基於排放噴嘴832的徑向位置而控制對相關加熱區之加熱元件852的電力供應。
在某些實施例中,排放噴嘴832可定位在半導體基板802的區域之上,以進行含金屬EUV光阻的乾式顯影。再者,加熱組件850可提供半導體基板802之區域的局部加熱,以促進含金屬EUV光阻的乾式顯影。在某些實施例中,晶圓清理與加熱站800中之含金屬EUV光阻的乾式顯影可在大氣條件下加以執行。
在某些實施例中,排放噴嘴832可定位在半導體基板802的斜角邊緣區域之上,以進行半導體基板802的斜角邊緣清理。具體來說,斜角邊緣清理係將半導體基板802的斜角邊緣曝露至蝕刻氣體,以進行含金屬EUV光阻的移除。儘管較高的溫度可加快蝕刻速率,但可在各種溫度使用蝕刻氣體來移除含金屬EUV光阻。在某些實施例中,加熱組件850可在半導體基板802的斜角邊緣處提供局部加熱,以促進含金屬EUV光阻的移除。在某些實施例中,晶圓清理與加熱站800中之含金屬EUV光阻的斜角邊緣清理可在大氣條件下加以執行。
本揭露內容的一設備係設置用於EUV光阻的乾式蝕刻。乾式蝕刻可在大氣條件下加以執行或者在具有或不具有真空設施的一處理腔室中加以執行。在某些實施例中,該處理腔室為無電漿熱處理腔室。該設備可經設置以執行其他處理操作,例如沉積、斜角與背側清理、塗佈後烘烤、EUV掃描、曝光後烘烤、光阻重工、除渣、平滑化、固化、以及其他操作。在某些實施例中,該設備係經設置以執行所有乾式操作。在某些實施例中,該設備係經設置以執行所有濕式操作。在某些實施例中,該設備係經設置以執行濕式操作與乾式操作的組合。
圖9描繪依照某些實施例之用於執行乾式蝕刻之示範處理站的示意圖。複數處理站900可被包含在共同處理工具環境中。舉例而言,圖10描繪多站處理工具1000的一實施例,例如可自Lam Research Corporation (Fremont, CA)所購得的VECTOR®處理工具。在某些實施例中,可藉由一或更多電腦控制器1050以編程方式調整包括以下所詳述者的處理站1000的一或更多硬體參數。
可將處理站設置成群集工具中的模組。圖10描繪半導體製程群集工具架構,其具有適用於實施在此所揭露之實施例的沉積與圖案化模組。如以上參考圖4與5所述以及如以下進一步參考圖10所述,此種群集處理工具架構可包括光阻沉積、光阻加工、光阻斜角邊緣清理、光阻烘烤、光阻曝光(EUV掃描器)、光阻乾式顯影、以及蝕刻模組。
在某些實施例中,可在相同模組中連續地執行某些處理功能。例如,可在相同模組中執行乾式顯影與烘烤或乾式顯影與斜角邊緣清理。
回到圖9,處理站900與反應物輸送系統901流體連通,以將處理氣體輸送至分配噴淋頭906。反應物輸送系統901可選地包含混合槽904,該混合槽用於對輸送到噴淋頭906的處理氣體進行混合及/或調和。一或更多混合槽入口閥920可控制往混合槽904的處理氣體之導入。在使用電漿曝露的情況下,亦可將電漿輸送至噴淋頭906或者可在處理站900中產生電漿。如上所述,在至少某些實施例中,係偏好非電漿熱曝露。
圖9包含可選的汽化點903,其用以將待供應至混合槽904的液體反應物汽化。在某些實施例中,可設置位於汽化點903上游的液體流量控制器(LFC,liquid flow controller),以控制汽化及輸送至處理站900之液體的質量流量。舉例來說,LFC可包括位於該LFC下游的熱質量流量計(MFM,mass flow meter)。接著,LFC的柱塞閥可響應於由與MFM電性連通的比例-積分-微分(PID,proportional-integral-derivative)控制器所提供的回饋控制信號而加以調整。
噴淋頭906朝向基板912分配處理氣體。在圖9所示之實施例中,基板912係位於噴淋頭906下方並且顯示置於基座908上。噴淋頭906可具有任何合適的形狀,並且可具有任何合適的通口數量及配置,該通口用於將處理氣體分配至基板912。
在某些實施例中,可升起或降下基座908以將基板912曝露至介於基板912與噴淋頭906之間的一容積。吾人將明白,在某些實施例中,可藉由合適的電腦控制器950以編程方式來調整基座高度。
在某些實施例中,可經由加熱器910對基座908進行溫度控制。在某些實施例中,可在將EUV光阻非電漿熱曝露至乾式顯影化學品或乾式清理化學品期間,將基座908加熱至大於50℃且高達300℃或更高的溫度,例如50℃至230℃,例如約100℃至200℃。
又,在某些實施例中,可選地,可藉由蝶形閥918來提供處理站900的壓力控制。如圖9之實施例所示,蝶形閥918調節由下游真空幫浦(未顯示)所提供的真空。然而,在某些實施例中,處理站900可處於開放空氣環境中而不具有真空設施。處理站900可執行光阻處理操作,例如乾式顯影、烘烤、及/或乾式斜角邊緣清理。
在某些實施例中,可相對於基座908而調整噴淋頭906的位置,以改變基板912與噴淋頭906之間的一容積。又,吾人將明白,可藉由本揭露內容之範圍內的任何合適機構來改變基座908及/或噴淋頭906的垂直位置。在某些實施例中,基座908可包括用以轉動基板912之方位的一旋轉軸。吾人將明白,在某些實施例中,可藉由一或更多合適的電腦控制器950以編程方式執行這些示範調整的一或更多者。
在可使用電漿的情況下,例如在基於溫和電漿的乾式顯影實施例及/或在相同腔室中所執行的蝕刻操作中,噴淋頭906及基座908係與射頻(RF,radio frequency)電源914及匹配網路916電性連通,以對電漿進行供電。在某些實施例中,藉由控制處理站壓力、氣體濃度、RF源功率、RF源頻率、以及電漿功率脈衝時序的一或更多者,可對電漿能量進行控制。舉例來說,RF電源914與匹配網路916可在任何合適的功率下進行操作,以形成具有期望自由基物種組成的電漿。合適功率的範例係高達約500 W。
在某些實施例中,可經由輸入/輸出控制(IOC,input/output control)定序指令來提供用於控制器950的指令。在一範例中,用以設定處理階段之條件的指令可被包含在處理配方的對應配方階段中。在某些情況下,可依序排列處理配方階段,使得處理階段的所有指令係與該處理階段同時執行。在某些實施例中,用以設定一或更多反應器參數的指令可被包含在配方階段中。舉例來說,配方階段可包含用以設定蝕刻氣體(例如含鹵素化合物)之流率的指令、以及用於配方階段的時間延遲指令。在某些實施例中,控制器950可包含以下就圖10之系統控制器1050所述的任何特徵。
如上所述,一或更多處理站可被包含在多站處理工具中。圖10描繪適用於實施在此所述之各種光阻處理操作之示範多站處理工具1000的示意圖。圖10顯示具有入站裝載室1002以及出站裝載室1004(可選地,其一者或兩者可包含遠端電漿源)之多站處理工具1000之一實施例。處於大氣壓力下之機器人1006係設置成將晶圓從透過晶圓艙1008裝載之卡匣經由大氣通口1010移動到入站裝載室1002內。藉由機器人1006將晶圓放置在入站裝載室1002中的基座1012上,將大氣通口1010關閉,並且將該裝載室抽空。在入站裝載室1002包含遠端電漿源的情況下,晶圓可在被導入到處理腔室1014中之前曝露至遠端電漿加工,以在該裝載室中加工基板表面。又,亦可在入站裝載室1002中加熱基板,例如以移除水分及吸附的氣體。接著,開啟往處理腔室1014的腔室運送通口1016,且另一機器人(未顯示)將基板放入反應器中位於(顯示於反應器中)第一站的基座上以進行處理。雖然圖10中所描繪之實施例包含裝載室,但吾人將明白,在某些實施例中,可提供基板直接進入到處理站中。
所描繪之處理腔室1014包含四個處理站,在圖10所示之實施例中從1到4編號。每一站具有一加熱基座(顯示於站1之1018)、以及氣體管線入口。吾人將明白,在某些實施例中,每一處理站可具有不同或多個目的。舉例來說,在某些實施例中,處理站可在乾式顯影與蝕刻處理模式之間切換。額外或替代地,在某些實施例中,處理腔室1014可包含一或更多相配對的乾式顯影與蝕刻處理站。雖然所描繪之處理腔室1014包含四個站,但吾人將理解,依據本揭露內容的處理腔室可具有任何合適數量的站。例如,在某些實施例中,處理腔室可具有五或更多個站,而在其他實施例中,處理腔室可具有三或更少個站。
圖10描繪用以在處理腔室1014內傳遞基板之基板搬運系統1090的一實施例。在某些實施例中,基板搬運系統1090可在各種處理站之間及/或在一處理站與一裝載室之間傳遞基板。吾人將明白,可使用任何合適之基板搬運系統。非限制性之範例包括基板旋轉料架(carousels)以及基板搬運機器人。圖10亦描繪用以控制處理工具1000之製程條件及硬體狀態之系統控制器1050的一實施例。系統控制器1050可包含一或更多記憶體裝置1056、一或更多大量儲存裝置1054、以及一或更多處理器1052。處理器1052可包括CPU或電腦、類比、及/或數位輸入/輸出連接件、步進馬達控制器板等等。
在某些實施例中,系統控制器1050控制處理工具1000的所有活動。系統控制器1050執行儲存在大量儲存裝置1054中、加載至記憶體裝置1056中並且在處理器1052上執行的系統控制軟體1058。或者,可將控制邏輯硬編碼於控制器1050中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGA)以及類似者可用於這些目的。在以下論述中,在使用「軟體」或「碼」的任何地方,皆可使用功能上相當的硬編碼邏輯來代替。系統控制軟體1058可包含用以控制下者的指令:時序、氣體之混合、氣體流率、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾頭及/或承載盤位置、以及由處理工具1000所執行之特定製程的其他參數。系統控制軟體1058可以任何合適的方式加以設置。例如,可寫入各種處理工具構件子程式或控制物件,以控制用以實現各種處理工具製程之處理工具構件的操作。系統控制軟體1058可以任何合適的電腦可讀程式語言來進行編碼。
在某些實施例中,系統控制軟體1058可包含用以控制上述各種參數的輸入/輸出控制(IOC)定序指令。在某些實施例中,可使用儲存在與系統控制器1050相關聯之大量儲存裝置1054及/或記憶體裝置1056上的其他電腦軟體及/或程式。用於此目的之程式或程式片段的範例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、以及電漿控制程式。
基板定位程式可包含用於處理工具構件之程式碼,該等處理工具構件係用於將基板裝載至基座1018上並且控制基板與處理工具1000之其他部分之間的間隔。
處理氣體控制程式可包含用於控制各種氣體組成(例如含鹵素化合物)與流率、以及可選地用於在沉積前使氣體流入到一或更多處理站中以穩定處理站中之壓力的碼。壓力控制程式可包含用於藉由調節例如處理站之排放系統中的節流閥、進入處理站之氣體流量等等而控制處理站中之壓力的碼。
加熱器控制程式可包含用於控制通往用以加熱基板之加熱單元之電流的碼。或者,加熱器控制程式可控制熱傳氣體(例如氦)往基板的輸送。
電漿控制程式可包含用於依照在此之實施例來設定施加至一或更多處理站中之處理電極的RF功率位準的碼。
壓力控制程式可包含用於依照在此之實施例來維持反應腔室中之壓力的碼。
在某些實施例中,可存在與系統控制器1050相關聯的使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形軟體顯示器、以及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等等)。
在某些實施例中,藉由系統控制器1050所調整之參數可與製程條件有關。非限制性之範例包含處理氣體組成與流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)等等。可以配方(其可利用使用者介面加以輸入)的形式將這些參數提供給使用者。
可藉由系統控制器1050之類比及/或數位輸入連接件,從各種處理工具感測器提供用以監視製程的信號。用以控制製程之信號可在處理工具1000之類比與數位輸出連接件上輸出。可被監視之處理工具感測器的非限制性之範例包括質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。經適當程式化之回饋及控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
系統控制器1050可提供用以實施上述沉積製程之程式指令。該等程式指令可控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等等。該等指令可控制該等參數,以操作依照在此所述之各種實施例的沉積、烘烤、斜角邊緣清理、曝光、顯影、蝕刻、以及其他光阻處理操作。
系統控制器1050通常將包含一或更多記憶體裝置及一或更多處理器,其設置成執行該等指令,使得該設備將依照所揭露之實施例來執行方法。含有用以依照所揭露之實施例來控制製程操作之指令的機器可讀媒體可耦接至系統控制器1050。
在某些實施例中,系統控制器1050為系統之部分,其可為上述範例之部分。此種系統可包括半導體處理設施,其包含處理工具、腔室、處理用平台、及/或特定處理構件(晶圓基座、氣體流動系統等等)。這些系統可與電子設備整合在一起,以控制其在處理半導體晶圓或基板之前、期間、以及之後的操作。此等電子設備可被稱為「控制器」,其可控制該系統之各種構件或子部件。取決於處理條件及/或系統類型,系統控制器1050可經程式化以控制在此所揭露之任何製程,包括處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出與特定系統連接或介接之工具及其他傳遞工具及/或裝載室的晶圓傳遞。
廣泛地講,系統控制器1050可被定義為具有各種積體電路、邏輯、記憶體、及/或軟體的電子設備,該電子設備接收指令、發出指令、控制操作、進行清理操作、進行終點量測等等。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位信號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可為以各種獨立設定值(或程式檔案)形式傳送至系統控制器1050的指令,以定義用以在半導體晶圓上或對一系統實現特定製程的操作參數。在某些實施例中,該等操作參數可為製程工程師所定義之配方的部分,以在晶圓之一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的生產期間實現一或更多處理步驟。
在某些實施例中,系統控制器1050設置有用以處理半導體基板的指令。該等指令包含用於進行下列步驟的碼:在處理腔室中將含金屬EUV光阻提供於半導體基板上,以及經由蝕刻氣體分配器或蝕刻氣體輸送噴嘴將蝕刻氣體輸送至半導體基板,以在大氣條件下從半導體基板乾式蝕刻含金屬EUV光阻的一或更多部分。在某些實施例中,在大氣條件下乾式蝕刻含金屬EUV光阻的一或更多部分之步驟包含藉由相對於含金屬EUV光阻之曝光部分而選擇性地移除含金屬EUV光阻之未曝光部分,以對含金屬EUV光阻進行乾式顯影。在某些實施例中,在大氣條件下乾式蝕刻含金屬EUV光阻的一或更多部分之步驟包含從半導體基板的斜角邊緣乾式清理含金屬EUV光阻。
在某些實施例中,系統控制器1050可為電腦的一部分或耦接至該電腦,該電腦係與該系統整合在一起、耦接至該系統、或網路連接至該系統、或為其組合。例如,系統控制器1050可位在「雲端(cloud)」中或為晶圓廠主電腦系統的全部或一部分,此可允許晶圓處理的遠端存取。該電腦可對該系統進行遠端存取,以監視生產操作的當前進度、檢查過去生產操作的歷史、從複數生產操作來檢查趨勢或性能指標、改變當前處理的參數、依當前處理來設定處理步驟、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含使用者介面,其可進行參數及/或設定值的輸入或程式化,這些參數及/或設定值之後從該遠端電腦傳送至該系統。在某些範例中,系統控制器1050接收具有資料形式的指令,該指令規定待於一或更多操作期間執行之每一處理步驟的參數。吾人應理解這些參數可特定於待執行之製程的類型以及系統控制器1050所介接或控制之工具的類型。因此,如上所述,可以下列方式來分配系統控制器1050:例如藉由包含以網路連接在一起並且為一共同目的(例如在此所述的製程與控制)而運作的一或更多分離控制器。為此種目的而分配的控制器之一範例可為在腔室上之一或更多積體電路,該積體電路係與遠端設置(例如平台等級或作為遠端電腦之部分)的一或更多積體電路通信,以聯合控制在腔室上的製程。
示範的系統可包含但不限於電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清理腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、原子層蝕刻(ALE,atomic layer etch)腔室或模組、離子植入腔室或模組、軌道式腔室或模組、EUV微影腔室(掃描器)或模組、乾式顯影腔室或模組、以及可聯合或用於半導體晶圓之生產及/或製造的任何其他半導體處理系統。
如上所述,根據待由該工具所執行的處理步驟,系統控制器1050可與下列其中一或多者進行通信:其他工具電路或模組、其他工具構件、群集工具、其他工具介面、相鄰工具、鄰近工具、設置遍佈於工廠的工具、主電腦、另一控制器、或用於原料運送而將晶圓容器運至與運離半導體製造廠中之工具位置及/或裝載通口的工具。
現在說明感應耦合電漿(ICP,inductively coupled plasma)反應器,其在某些實施例中可適用於蝕刻操作,該等蝕刻操作適用於某些實施例的實施。雖然在此係說明ICP反應器,但應理解,在某些實施例中,亦可使用電容耦合電漿反應器。
圖11顯示用於實施在此所述之某些實施例與操作之示範感應耦合電漿設備的橫剖面示意圖。該設備可用於例如乾式顯影及/或斜角邊緣清理的各種光阻處理操作,該設備的一範例為Lam Research Corporation (Fremont, CA)所生產之Kiyo®反應器。在其他實施例中,具有執行乾式顯影及/或斜角邊緣清理之功能的其他工具或工具類型可用於實作。
感應耦合電漿設備1100包含在結構上由腔室壁1101及窗部1111所界定的整體處理腔室1124。腔室壁1101可由不銹鋼或鋁所製成。窗部1111可由石英或其他介電材料所製成。可選的內部電漿網格1150將該整體處理腔室分成上部子腔室1102以及下部子腔室1103。在大多數實施例中,可將電漿網格1150移除,從而利用由子腔室1102及1103所形成的腔室空間。夾頭1117係定位在下部子腔室1103中並且靠近底部內表面。夾頭1117係經設置以接收並固持半導體晶圓1119,在該半導體晶圓上執行蝕刻與沉積製程。夾頭1117可為用於支撐晶圓1119(當存在時)的靜電夾頭。在某些實施例中,邊緣環(未顯示)圍繞夾頭1117,並且具有與晶圓1119(當存在於夾頭1117之上時)之頂表面大致呈平面的上表面。夾頭1117亦包含用以對晶圓1119進行夾持與去夾持(dechucking)的靜電電極。可為了此目的而設置濾波器與DC箝制電源(未顯示)。亦可提供用於將晶圓1119從夾頭1117提起的其他控制系統。可使用RF電源1123來對夾頭1117進行充電。RF電源1123係透過連接件1127而連接至匹配電路1121。匹配電路1121係透過連接件1125而連接至夾頭1117。依此方式,RF電源1123連接至夾頭1117。在各種實施例中,靜電夾頭的偏壓功率可設定在約50 V或者可取決於依照所揭露之實施例執行的製程而設定在不同的偏壓功率。舉例來說,偏壓功率可介於約20 V與約100 V之間、或介於約30 V與約150 V之間。
用於產生電漿之元件包括定位在窗部1111上方之線圈1133。在某些實施例中,於所揭露之實施例中不使用線圈。線圈1133係由導電材料所製成並且包含至少一整匝(complete turn)。圖11所示之線圈1133的範例包含三匝。以符號顯示線圈1133的截面,且具有「X」的線圈旋轉延伸進入頁面,而具有「●」的線圈旋轉延伸出頁面外。用於產生電漿的元件亦包括設置成將RF功率供應至線圈1133的RF電源1141。一般而言,RF電源1141係透過連接件1145而連接至匹配電路1139。匹配電路1139係透過連接件1143而連接至線圈1133。以此方式,RF電源1141連接至線圈1133。可選的法拉第屏蔽1149a係定位在線圈1133與窗部1111之間。法拉第屏蔽1149a可相對於線圈1133保持隔開的關係。在某些實施例中,法拉第屏蔽1149a係配置在窗部1111正上方。在某些實施例中,法拉第屏蔽1149b係位於窗部1111與夾頭1117之間。在某些實施例中,法拉第屏蔽1149b未相對於線圈1133保持隔開的關係。例如,法拉第屏蔽1149b可在窗部1111正下方而不具有間隙。線圈1133、法拉第屏蔽1149a、以及窗部1111各自設置成實質上相互平行。法拉第屏蔽1149a可防止金屬或其他物種沉積在處理腔室1124的窗部1111上。
處理氣體可透過定位在上部子腔室1102中之一或更多主氣流入口1160及/或透過一或更多側氣流入口1170流入到處理腔室內。同樣地,雖然未明確顯示,但類似的氣流入口可用於將處理氣體供應至電容耦合電漿處理腔室。真空幫浦(例如一階或二階機械乾式幫浦及/或渦輪分子幫浦)1140可用於將處理氣體抽出處理腔室1124並且維持處理腔室1124內的壓力。例如,在ALD之吹掃操作期間,真空幫浦可用於抽空下部子腔室1103。閥控制之導管可用於將真空幫浦流體連接至處理腔室1124,以選擇性地控制真空幫浦所提供之真空環境的施用。此可在操作電漿處理期間使用閉環控制之流動限制裝置加以完成,例如節流閥(未顯示)或鐘擺閥(未顯示)。同樣地,對電容耦合電漿處理腔室亦可使用真空幫浦及閥控式流體連接。
在設備1100之操作期間,一或更多處理氣體可透過氣流入口1160及/或1170加以供應。在某些實施例中,處理氣體可僅透過主氣流入口1160,或僅透過側氣流入口1170加以供應。在某些情況下,圖式中所示之氣流入口可用更複雜的氣流入口(例如一或更多噴淋頭)代替。法拉第屏蔽1149a及/或可選網格1150可包含內部通道及孔,其允許處理氣體輸送至處理腔室1124。法拉第屏蔽1149a與可選網格1150中之一者或兩者可作為用於處理氣體之輸送的噴淋頭。在某些實施例中,液體汽化及輸送系統可位於處理腔室1124的上游,如此一旦液體反應物或前驅物被汽化,所汽化之反應物或前驅物即經由氣流入口1160及/或1170被導入到處理腔室1124中。
射頻功率係從RF電源1141供應至線圈1133,以使RF電流流過線圈1133。流過線圈1133之RF電流在線圈1133周圍產生電磁場。電磁場在上部子腔室1102內產生感應電流。各種所產生之離子及自由基與晶圓1119之物理及化學交互作用蝕刻晶圓1119之特徵部並且選擇性地在該晶圓上沉積層。
若使用電漿網格1150而存在有上部子腔室1102及下部子腔室1103兩者,則感應電流作用在上部子腔室1102中存在的氣體上,以在上部子腔室1102中產生電子-離子電漿。可選之內部電漿網格1150限制下部子腔室1103中之熱電子數量。在某些實施例中,設備1100係設計並操作成使得存在於下部子腔室1103中之電漿為離子-離子電漿。
上部電子-離子電漿與下部離子-離子電漿兩者均可含有正及負離子,但離子-離子電漿將具有更大的負離子對正離子比率。揮發性蝕刻及/或沉積副產物可透過通口1122而從下部子腔室1103被去除。在此所揭露之夾頭1117可在介於約10℃與約250℃之間的升高溫度下操作。該溫度將取決於製程操作及特定配方。
當設備1100安裝在無塵室或生產設施中時,其可耦接至設施(未顯示)。設施包括提供處理氣體、真空、溫度控制、以及環境粒子控制之配管。當安裝在目標生產設施中時,這些設施係耦接至設備1100。此外,設備1100可耦接至傳遞腔室,其允許機器人使用典型自動化來傳遞半導體晶圓進出設備1100。
在某些實施例中,系統控制器1130(其可包括一或更多物理或邏輯控制器)控制處理腔室1124之某些或全部操作。系統控制器1130可包括一或更多記憶體裝置及一或更多處理器。在某些實施例中,設備1100包括切換系統,用於在執行所揭露之實施例時控制流率及持續時間。在某些實施例中,設備1100可具有高達約600 ms或高達約750 ms的切換時間。切換時間可取決於流動化學、所選配方、反應器結構、以及其他因素。
在某些實施例中,系統控制器1130為系統的部分,該系統可為上述範例的部分。此種系統可包含半導體處理設施,其包含處理工具、腔室、處理用平台、及/或特定處理構件(晶圓基座、氣體流動系統等等)。這些系統可與電子設備整合在一起,以控制其在處理半導體晶圓或基板之前、期間、以及之後的操作。該電子設備可整合於系統控制器1130中,其可控制該系統的各種構件或子部件。取決於處理參數及/或系統類型,系統控制器1130可經程式化以控制在此所揭露之任何製程,包括處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出與特定系統連接或介接之工具及其他傳遞工具及/或裝載室的晶圓傳遞。
廣泛地講,系統控制器1130可被定義為具有各種積體電路、邏輯、記憶體、及/或軟體的電子設備,該電子設備接收指令、發出指令、控制操作、進行清理操作、進行終點量測等等。積體電路可包含 : 儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可為以各種獨立設定值(或程式檔案)形式傳送至該控制器的指令,以定義用以在半導體晶圓上或對一系統實現特定製程的操作參數。在某些實施例中,該等操作參數可為製程工程師所定義之配方的部分,以在晶圓之一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的生產或移除期間實現一或更多處理步驟。
在某些實施例中,系統控制器1130可為電腦的一部分或耦接至該電腦,該電腦係與該系統整合在一起、耦接至該系統、或網路連接至該系統、或為其組合。例如,該控制器可位在「雲端」中或為晶圓廠主電腦系統的全部或一部分,此可允許晶圓處理的遠端存取。該電腦可對該系統進行遠端存取,以監視生產操作的當前進度、檢查過去生產操作的歷史、從複數生產操作來檢查趨勢或性能指標、改變當前處理的參數、依當前處理來設定處理步驟、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含使用者介面,其可進行參數及/或設定值的輸入或程式化,這些參數及/或設定值之後從該遠端電腦傳送至該系統。在某些範例中,系統控制器1130接收具有資料形式的指令,該指令規定待於一或更多操作期間執行之每一處理步驟的參數。吾人應理解這些參數可特定於待執行之製程的類型以及該控制器所介接或控制之工具的類型。因此,如上所述,可以下列方式來分配系統控制器1130:例如藉由包含以網路連接在一起並且為一共同目的(例如在此所述的製程與控制)而運作的一或更多分離控制器。為此種目的而分配的控制器之一範例可為在腔室上之一或更多積體電路,該積體電路係與遠端設置(例如平台等級或作為遠端電腦之部分)的一或更多積體電路通信,以聯合控制在腔室上的製程。
示範的系統可包含但不限於電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清理腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、軌道式腔室或模組、EUV微影腔室(掃描器)或模組、乾式顯影腔室或模組、以及可聯合或用於半導體晶圓之生產及/或製造的任何其他半導體處理系統。
如上所述,根據待由該工具所執行的處理步驟,該控制器可與下列其中一或多者進行通信:其他工具電路或模組、其他工具構件、群集工具、其他工具介面、相鄰工具、鄰近工具、設置遍佈於工廠的工具、主電腦、另一控制器、或用於原料運送而將晶圓容器運至與運離半導體製造廠中之工具位置及/或裝載通口的工具。
EUVL圖案化可使用通常稱為掃描器之任何合適的工具來執行,例如Veldhoven, NL的ASML所供應之TWINSCAN NXE: 3300B®平台。EUVL圖案化工具可為供基板移動進出以進行如在此所述之沉積及蝕刻的獨立裝置。或者,如下所述,EUVL圖案化工具可為在較大之多構件工具上的模組。圖12描繪適用於實施在此所述之製程的半導體製程群集工具架構,其具有和傳遞模組介接的沉積與圖案化模組。雖然可在沒有真空整合設備的情況下執行製程,但此種設備在某些實施例中可能係有利的。
圖12描繪適用於實施在此所述之製程的半導體製程群集工具架構,其具有和傳遞模組介接的沉積與圖案化模組。用於在多個儲存設施與處理模組之間「傳遞」晶圓之傳遞模組的佈設可稱為「群集工具架構」系統。沉積與圖案化模組係依照特定製程之要求而被真空整合。其他模組(例如用於蝕刻)亦可包含於該群集中。
真空運送模組(VTM,vacuum transport module)1238與四個處理模組1220a-1220d(其可分別被最佳化以執行各種生產製程)介接。舉例來說,處理模組1220a-1220d可實施以執行沉積、蒸發、ELD、乾式顯影、蝕刻、剝除、及/或其他半導體製程。例如,模組1220a可為ALD反應器,其可被操作以在非電漿中執行如在此所述之熱原子層沉積,例如可自Lam Research Corporation (Fremont, CA)所購得的Vector工具。又,模組1220b可為PECVD工具,例如Lam Vector®。吾人應理解,該圖式不一定按比例繪製。
氣室1242及1246(亦稱為裝載室或傳遞模組)與VTM 1238及圖案化模組1240介接。例如,如上所述,合適之圖案化模組可為Veldhoven, NL的ASML所供應之TWINSCAN NXE: 3300B®平台。此工具架構允許工件(例如半導體基板或晶圓)在真空下傳遞,而不在曝光前反應。考慮到環境氣體(例如H
2O、O
2等等)對入射光子之強光吸收,且EUVL亦需大幅下降的壓力,因而促使沉積模組與微影工具整合。
如上所述,此整合架構僅係用於實施所述製程之工具的一可能實施例。該等製程亦可使用更習知之獨立EUVL掃描器及沉積反應器(例如Lam Vector工具)來實施,其為獨立或與其他工具(例如蝕刻、剝除等等)整合在群集架構中(例如, Lam Kiyo或Gamma工具)作為模組,例如參考圖12所述,但不具有整合的圖案化模組。
氣室1242可為「輸出」裝載室,其係指基板從用於沉積模組1220a之VTM 1238傳遞至圖案化模組1240,而氣室1246可為「進入」 裝載室,其係指基板自圖案化模組1240傳遞返回VTM 1238。進入裝載室1246亦可對工具外部提供介面,用於基板之進入與移出。每一處理模組具有將模組介接至VTM 1238的端面(facet)。例如,沉積處理模組1220a具有端面1236。在每一端面內,感測器(例如所示的感測器1-18)用以偵測晶圓1226在對應站之間移動時的通過。圖案化模組1240及氣室1242與1246可類似地裝配額外的端面與感測器(未顯示)。
主要VTM機器人1222在模組(包括氣室1242與1246)之間傳遞晶圓1226。在一實施例中,機器人1222具有一個手臂,而在另一實施例中,機器人1222具有兩個手臂,其中每一手臂具有末端執行器1224以挑選晶圓(例如晶圓1226)進行運送。前端機器人1244用以將晶圓1226自輸出氣室1242傳遞至圖案化模組1240中、自圖案化模組1240傳遞至進入氣室1246。前端機器人1244亦可在進入裝載室與工具外部之間運送晶圓1226,用於基板之進入與移出。因進入氣室模組1246具有匹配大氣與真空之間環境的能力,故晶圓1226能在兩壓力環境之間移動而不受損。
應注意到,EUVL工具通常在比沉積工具更高的真空下操作。若為此情況,則期望在沉積至EUVL工具之間傳遞期間增加基板之真空環境,以允許基板在進入圖案化工具之前脫氣。輸出氣室1242可藉由將傳遞的晶圓維持在較低壓力(不高於圖案化模組1240內的壓力)達一段時間並排出任何排放氣來提供此功能,使得圖案化工具1240的光學件不受來自基板的排放氣所汙染。合適的輸出排放氣氣室壓力不超過1E-8 Torr。
圖13與14提供替代處理工具架構的配置,例如在可自Lam Research Corporation, Fremont, CA所購得的EOS或Sense.i產品(其可用於某些實施例)中可被至少部分地實施。如圖13與14所示,光阻處理軌道可用於實施乾式沉積之EUV光阻的濕式顯影。在此所述之各種實施例可使用如圖13與14所示之群集架構加以執行。
揭露用於金屬及/或金屬氧化物光阻之乾式蝕刻的製程與設備,其例如在EUV圖案化之背景下形成圖案化遮罩。
吾人應理解,在此所述之範例與實施例僅係為了說明之目的,並且將對熟習本技術者建議根據該等範例與實施例的各種修改或變化。雖然已為了簡潔而省略各種細節,但可實施各種設計替代物。因此,本發明範例被視為係例示性而非限制性,且本揭露內容並不受限於在此所給定的細節,而係可在本揭露內容的範圍內進行修改。
100:製程
102:方塊
104:方塊
106:方塊
108:方塊
110:方塊
112:方塊
114:方塊
200:晶圓
202:基板
204:基板層
206:光圖案化含金屬EUV光阻膜
206a:非EUV曝光區域
206b:EUV曝光區域
300:處理腔室
310:基板支架
320:半導體基板
330:蝕刻氣體分配器
340:蝕刻氣體輸送噴嘴
400:軌道式系統
402:卡匣
404:卡匣
410:卡匣安裝區段
420:處理區段
421:沉積腔室
422:晶圓清理腔室
423:加工腔室
424:烘烤腔室
425:擦洗站
426:顯影腔室
430:介面區段
440:曝光區段
450:中央通道
455:運送機器人
500:軌道式微影系統
510:前端模組
512:前開式晶圓艙
514:第一處理架
516:第二處理架
518:前端機器人
520:中央模組
524:第一處理架
526:第二處理架
528:中央機器人
530:後模組
534:第一處理架
536:第二處理架
538:後端機器人
540:掃描器
550:控制器
600:批次立式爐
602:腔室壁
606:基板
610:加熱元件
620:基板支架
630:蝕刻氣體入口
632:氣體流
640:氣體出口
650:馬達
700:晶圓清理站
702:半導體基板
704:液體輸送擺臂
706:氣體輸送擺臂
710:基板支架
714:液體噴嘴
716:氣體噴嘴
800:晶圓清理與加熱站
802:半導體基板
810:旋轉夾頭
825:板
830:可動擺臂
832:排放噴嘴
834:驅動馬達
850:加熱組件
852:加熱元件
854:控制器
900:處理站
901:反應物輸送系統
903:汽化點
904:混合槽
906:噴淋頭
908:基座
910:加熱器
912:基板
914:射頻(RF)電源
916:匹配網路
918:蝶形閥
920:混合槽入口閥
1000:多站處理工具
1002:入站裝載室
1004:出站裝載室
1006:機器人
1008:晶圓艙
1010:大氣通口
1012:基座
1014:處理腔室
1016:腔室運送通口
1018:加熱基座
1050:系統控制器
1052:處理器
1054:大量儲存裝置
1056:記憶體裝置
1058:系統控制軟體
1090:基板搬運系統
1100:感應耦合電漿設備
1101:腔室壁
1102:上部子腔室
1103:下部子腔室
1111:窗部
1117:夾頭
1119:半導體晶圓
1121:匹配電路
1122:通口
1123:RF電源
1125:連接件
1127:連接件
1130:系統控制器
1133:線圈
1139:匹配電路
1140:真空幫浦
1141:RF電源
1143:連接件
1145:連接件
1150:電漿網格
1160:主氣流入口
1170:側氣流入口
1220a:處理模組
1220b:處理模組
1220c:處理模組
1220d:處理模組
1222:機器人
1224:末端執行器
1226:晶圓
1236:端面
1238:真空運送模組
1240:圖案化模組
1242:氣室
1244:前端機器人
1246:氣室
W:半導體晶圓
圖1呈現依照某些實施例之用於沉積並且顯影光阻之示範方法的流程圖。
圖2A-2C顯示依照某些實施例之乾式顯影之各種處理階段的橫剖面示意圖。
圖3A與3B顯示依照某些實施例之用於執行光阻乾式蝕刻之示範處理腔室的示意圖。
圖4顯示依照某些實施例之用於光阻處理之示範系統架構或軌道式系統的示意圖。
圖5顯示依照某些實施例之用於光阻處理之替代示範系統架構或軌道式系統的示意圖。
圖6顯示依照某些實施例之用於執行光阻乾式蝕刻之示範批次立式爐的示意圖。
圖7A與7B顯示依照某些實施例之用於執行光阻乾式蝕刻之晶圓清理站的示意圖。
圖8A與8B顯示依照某些實施例之用於執行光阻乾式蝕刻之晶圓清理與加熱站的示意圖。
圖9描繪依照某些實施例之用於執行乾式蝕刻之示範處理站的示意圖。
圖10描繪適用於實施在此所述之各種光阻處理操作之示範多站處理工具的示意圖。
圖11顯示用於實施在此所述之某些實施例與操作之示範感應耦合電漿設備的橫剖面示意圖。
圖12描繪適用於實施在此所述之製程的半導體製程群集工具架構,其具有和傳遞模組介接的沉積與圖案化模組。
圖13與14呈現各種示範工具架構,其可用以執行依照所揭露之實施例的方法。
300:處理腔室
310:基板支架
320:半導體基板
340:蝕刻氣體輸送噴嘴
Claims (40)
- 一種用於基板處理的設備,包含: 一處理腔室,其中該處理腔室曝露至大氣條件; 一基板支架,用以在該處理腔室中固持一半導體基板; 一加熱組件,面向在該基板支架上的該半導體基板,其中該加熱組件包含複數加熱元件;以及 一蝕刻氣體輸送噴嘴,定位在該基板支架之上,其中該蝕刻氣體輸送噴嘴係可移動的,以將蝕刻氣體的輸送定位至在該半導體基板之上的一位置。
- 如請求項1所述之用於基板處理的設備,其中該半導體基板包含一含金屬EUV光阻,其中可藉由該蝕刻氣體來移除該含金屬EUV光阻的一或更多部分。
- 如請求項1所述之用於基板處理的設備,其中曝露至大氣條件的該處理腔室係曝露至介於約50 Torr與約765 Torr之間的大氣壓力。
- 如請求項1所述之用於基板處理的設備,其中曝露至大氣條件的該處理腔室係曝露至受控大氣,其中對該受控大氣的曝露包含對大於約760 Torr之腔室壓力的曝露及/或對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。
- 如請求項1所述之用於基板處理的設備,其中該複數加熱元件包含複數LED,該複數LED係排列在複數可獨立控制加熱區中。
- 如請求項1所述之用於基板處理的設備,其中該蝕刻氣體輸送噴嘴係耦接至一可動擺臂,該可動擺臂經設置以將該蝕刻氣體輸送噴嘴定位於該半導體基板的中心與邊緣之間。
- 如請求項1所述之用於基板處理的設備,其中該基板支架經設置以使該半導體基板轉動。
- 如請求項1所述之用於基板處理的設備,更包含: 一控制器,設有用以處理該半導體基板的指令,該指令包含用於進行下列步驟的碼: 在該處理腔室中,將一含金屬EUV光阻提供於該半導體基板上;以及 經由該蝕刻氣體輸送噴嘴,將該蝕刻氣體輸送至該半導體基板,以在大氣條件下從該半導體基板乾式蝕刻該含金屬EUV光阻的一或更多部分。
- 如請求項8所述之用於基板處理的設備,其中在大氣條件下乾式蝕刻該含金屬EUV光阻的該一或更多部分之步驟包含藉由相對於該含金屬EUV光阻之曝光部分而選擇性地移除該含金屬EUV光阻之未曝光部分,以對該含金屬EUV光阻進行乾式顯影。
- 如請求項8所述之用於基板處理的設備,其中在大氣條件下乾式蝕刻該含金屬EUV光阻的該一或更多部分之步驟包含從該半導體基板的一斜角邊緣乾式清理該含金屬EUV光阻。
- 如請求項1至10其中任一項所述之用於基板處理的設備,更包含: 一排氣風扇,經設置以移除該處理腔室中的蝕刻副產物以及其他殘留氣體。
- 如請求項1至10其中任一項所述之用於基板處理的設備,更包含: 一氣體源,耦接至該處理腔室,以將惰性氣體及/或稀釋劑氣體輸送至該處理腔室。
- 如請求項1至10其中任一項所述之用於基板處理的設備,其中該處理腔室為一無電漿熱處理腔室。
- 一種基板處理設備,包含: 一處理腔室,其中該處理腔室曝露至大氣條件; 一烘烤板,用以支撐一半導體基板並且包含複數加熱區,該複數加熱區之每一者包含一或更多加熱元件;以及 一蝕刻氣體分配器,定位在該烘烤板之上,其中該蝕刻氣體分配器經設置以將蝕刻氣體輸送至該半導體基板。
- 如請求項14所述之基板處理設備,其中該半導體基板包含一含金屬EUV光阻,其中可藉由該蝕刻氣體來移除該含金屬EUV光阻的一或更多部分。
- 如請求項14所述之基板處理設備,其中曝露至大氣條件的該處理腔室係曝露至介於約50 Torr與約765 Torr之間的大氣壓力。
- 如請求項14所述之基板處理設備,其中曝露至大氣條件的該處理腔室係曝露至受控大氣,其中對該受控大氣的曝露包含對大於約760 Torr之腔室壓力的曝露及/或對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。
- 如請求項14所述之基板處理設備,其中該烘烤板包含一上表面以及用於將該半導體基板支撐在高於該烘烤板之該上表面之一高度的複數最小接觸面積(MCA,minimum contact area)支架。
- 如請求項14至18其中任一項所述之基板處理設備,其中該蝕刻氣體分配器包含一噴淋頭,該噴淋頭具有面向該半導體基板的一面板,其中該面板包含用於將該蝕刻氣體輸送至該半導體基板的複數穿孔。
- 如請求項14至18其中任一項所述之基板處理設備,其中該處理腔室為一無電漿熱處理腔室。
- 如請求項14至18其中任一項所述之基板處理設備,更包含: 一控制器,設有用以處理該半導體基板的指令,該指令包含用於進行下列步驟的碼: 在該處理腔室中,將一含金屬EUV光阻提供於該半導體基板上;以及 經由該蝕刻氣體分配器,將該蝕刻氣體輸送至該半導體基板,以在大氣條件下從該半導體基板乾式蝕刻該含金屬EUV光阻的一或更多部分。
- 一種基板處理設備,包含: 一爐反應器,具有用以固持複數半導體基板的一基板支架; 複數加熱元件,用以將該複數半導體基板加熱至一升高之溫度;以及 一或更多蝕刻氣體入口,用以將蝕刻氣體輸送到該爐反應器中,其中該一或更多蝕刻氣體入口經設置以將蝕刻氣體輸送至該複數半導體基板。
- 如請求項22所述之基板處理設備,其中該爐反應器曝露至大氣條件。
- 如請求項23所述之基板處理設備,其中大氣條件包含對受控大氣的曝露,其中該一或更多蝕刻氣體入口更設置以輸送氮、水蒸氣、二氧化碳、氬、氦、或其組合,以提供該受控大氣。
- 如請求項22所述之基板處理設備,更包含: 一或更多氣體出口,用以從該爐反應器移除蝕刻副產物以及其他殘留氣體;以及 一馬達,用以轉動該基板支架。
- 如請求項22至25其中任一項所述之基板處理設備,其中該爐反應器的腔室壁包含石英、陶瓷材料、或低溫聚合物材料。
- 如請求項22至25其中任一項所述之基板處理設備,其中該複數半導體基板之每一者包含一含金屬EUV光阻,其中可藉由該蝕刻氣體來移除該含金屬EUV光阻的一或更多部分。
- 一種軌道式微影系統,包含: 一卡匣安裝區段,其中該卡匣安裝區段包含用以接收並且回傳一半導體基板的一或更多晶圓艙; 一處理區段,其中該處理區段包含用於該半導體基板之光阻處理的複數處理站,其中該複數處理站包含: 一沉積腔室,用以將含金屬EUV光阻沉積於該半導體基板上; 一熱處理腔室;以及 一基板清理腔室,其中該複數處理站之至少一者係曝露至大氣條件並且經設置以執行從該半導體基板乾式移除該含金屬EUV光阻之一或更多部分;以及 一曝光區段,其中該曝光區段包含用以將該半導體基板曝露至EUV輻射的一掃描器;以及 一介面區段,用以在該處理區段與該曝光區段之間傳遞該半導體基板。
- 如請求項28所述之軌道式微影系統,其中該複數處理站更包含: 六甲基二矽氮烷(HMDS,hexamethyldisilazane)加工腔室,用以加工該半導體基板的一表面,其中該HMDS加工腔室經設置以執行在大氣條件下之該含金屬EUV光阻之該一或更多部分的乾式移除。
- 如請求項28所述之軌道式微影系統,其中該複數處理站之該至少一者包含用以固持該半導體基板的一基板支架以及用以將蝕刻氣體輸送至該半導體基板的一氣體分配器,其中可藉由該蝕刻氣體來移除該含金屬EUV光阻的該一或更多部分。
- 一種用以執行EUV光阻之乾式顯影的方法,該方法包含下列步驟: 在一處理腔室中,將一含金屬EUV光阻提供於一半導體基板上;以及 藉由對一蝕刻氣體的曝露,在該處理腔室中乾式蝕刻該含金屬EUV光阻,其中乾式蝕刻該含金屬EUV光阻之步驟係在大氣條件下執行。
- 如請求項31所述之用以執行EUV光阻之乾式顯影的方法,其中大氣條件包含介於約50 Torr與約765 Torr之間的大氣壓力。
- 如請求項31所述之用以執行EUV光阻之乾式顯影的方法,其中大氣條件包含受控大氣,其中該受控大氣包含該半導體基板對大於約760 Torr之腔室壓力的曝露及/或該半導體基板對氮、水蒸氣、二氧化碳、氬、氦、或其組合的曝露。
- 如請求項31所述之用以執行EUV光阻之乾式顯影的方法,更包含: 在同樣用於乾式蝕刻該含金屬EUV光阻的該處理腔室中,以升高之溫度來烘烤該含金屬EUV光阻。
- 如請求項31所述之用以執行EUV光阻之乾式顯影的方法,其中乾式蝕刻該含金屬EUV光阻之步驟包含藉由透過對乾式顯影化學品的曝露而選擇性地移除該含金屬EUV光阻之一部分,以對該含金屬EUV光阻進行乾式顯影。
- 如請求項35所述之用以執行EUV光阻之乾式顯影的方法,更包含: 在同樣用於乾式顯影該含金屬EUV光阻的該處理腔室中,執行該半導體基板的斜角邊緣清理。
- 如請求項31所述之用以執行EUV光阻之乾式顯影的方法,其中乾式蝕刻該含金屬EUV光阻之步驟包含將該半導體基板加熱至等於或大於約100℃的溫度。
- 如請求項37所述之用以執行EUV光阻之乾式顯影的方法,其中加熱該半導體基板之步驟包含局部加熱該半導體基板的區域。
- 如請求項31至38其中任一項所述之用以執行EUV光阻之乾式顯影的方法,其中乾式蝕刻該含金屬EUV光阻之步驟包含將一可動排放噴嘴定位在該半導體基板之上,以優先將該蝕刻氣體引導至該半導體基板的一或更多區域。
- 如請求項31至38其中任一項所述之用以執行EUV光阻之乾式顯影的方法,其中該處理腔室包含: 一基板支架,與用於該半導體基板之局部加熱的複數可獨立控制加熱區耦接;以及 一可動排放噴嘴,定位在該基板支架之上,以將該蝕刻氣體引導至該半導體基板的目標區域。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063198804P | 2020-11-13 | 2020-11-13 | |
US63/198,804 | 2020-11-13 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202234180A true TW202234180A (zh) | 2022-09-01 |
TWI811842B TWI811842B (zh) | 2023-08-11 |
Family
ID=81602525
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112126160A TW202405583A (zh) | 2020-11-13 | 2021-11-11 | 光阻乾式移除用的處理工具 |
TW110141961A TWI811842B (zh) | 2020-11-13 | 2021-11-11 | 光阻乾式移除用的處理工具 |
TW112146727A TW202414121A (zh) | 2020-11-13 | 2021-11-11 | 光阻乾式移除用的處理工具 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112126160A TW202405583A (zh) | 2020-11-13 | 2021-11-11 | 光阻乾式移除用的處理工具 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112146727A TW202414121A (zh) | 2020-11-13 | 2021-11-11 | 光阻乾式移除用的處理工具 |
Country Status (6)
Country | Link |
---|---|
US (2) | US20230107357A1 (zh) |
JP (2) | JP2023520391A (zh) |
KR (2) | KR20230152171A (zh) |
CN (2) | CN115152008A (zh) |
TW (3) | TW202405583A (zh) |
WO (1) | WO2022103764A1 (zh) |
Families Citing this family (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102678588B1 (ko) | 2018-11-14 | 2024-06-27 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
CN113785381A (zh) | 2019-04-30 | 2021-12-10 | 朗姆研究公司 | 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理 |
EP3908882A4 (en) | 2020-01-15 | 2022-03-16 | Lam Research Corporation | UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION |
US11621172B2 (en) | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
DE102022206124A1 (de) * | 2022-06-20 | 2023-12-21 | Carl Zeiss Smt Gmbh | Vorrichtung und verfahren zum bearbeiten einer oberfläche eines optischen elements einer lithographieanlage |
US20240096622A1 (en) * | 2022-09-21 | 2024-03-21 | Tokyo Electron Limited | Method and Apparatus for In-Situ Dry Development |
US20240160100A1 (en) * | 2022-11-14 | 2024-05-16 | Applied Materials, Inc. | Integrated solution with low temperature dry develop for euv photoresist |
CN117075442B (zh) * | 2023-08-24 | 2024-04-26 | 上海图双精密装备有限公司 | 一种基于彩胶工艺的光刻机标记识别方法 |
Family Cites Families (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS62160981A (ja) * | 1986-01-08 | 1987-07-16 | Mitsubishi Heavy Ind Ltd | 石油タンカ−の改造法 |
JPS61234035A (ja) * | 1985-03-29 | 1986-10-18 | Fujitsu Ltd | 遠紫外線照射ドライ現像方法 |
KR920004854B1 (ko) * | 1988-06-14 | 1992-06-19 | 삼성전자 주식회사 | 멀티채널시스템의 페이지 업/다운 모드 수행방법 |
US5094936A (en) * | 1988-09-16 | 1992-03-10 | Texas Instruments Incorporated | High pressure photoresist silylation process and apparatus |
JPH08339950A (ja) * | 1995-06-09 | 1996-12-24 | Sony Corp | フォトレジストパターン形成方法及びフォトレジスト処理装置 |
EP1123423B1 (en) * | 1998-09-16 | 2007-08-01 | Applied Materials, Inc. | High rate silicon deposition method at low pressures |
JP2002118096A (ja) * | 2000-10-06 | 2002-04-19 | Sony Corp | アッシング装置 |
CN1260778C (zh) * | 2000-12-04 | 2006-06-21 | 株式会社荏原制作所 | 基片加工方法 |
US20050142885A1 (en) * | 2002-08-30 | 2005-06-30 | Tokyo Electron Limited | Method of etching and etching apparatus |
JP4459666B2 (ja) * | 2004-03-12 | 2010-04-28 | 株式会社半導体エネルギー研究所 | 除去装置 |
KR101170861B1 (ko) * | 2004-09-01 | 2012-08-03 | 액셀리스 테크놀로지스, 인크. | 포토레지스터 제거 레이트를 증가시키는 플라즈마 애싱프로세스 및 냉각 수단을 갖는 장치 |
JP4530933B2 (ja) * | 2005-07-21 | 2010-08-25 | 大日本スクリーン製造株式会社 | 基板熱処理装置 |
US7534627B2 (en) * | 2006-08-07 | 2009-05-19 | Sokudo Co., Ltd. | Methods and systems for controlling critical dimensions in track lithography tools |
US8435723B2 (en) * | 2008-09-11 | 2013-05-07 | Nikon Corporation | Pattern forming method and device production method |
JP5225815B2 (ja) * | 2008-11-19 | 2013-07-03 | 東京エレクトロン株式会社 | インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体 |
US8410394B2 (en) * | 2010-01-08 | 2013-04-02 | Uvtech Systems, Inc. | Method and apparatus for processing substrate edges |
JP5003773B2 (ja) * | 2010-02-15 | 2012-08-15 | 東京エレクトロン株式会社 | 現像装置、現像方法及び記憶媒体 |
JP5572560B2 (ja) * | 2011-01-05 | 2014-08-13 | 東京エレクトロン株式会社 | 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法 |
KR20140075636A (ko) * | 2012-12-11 | 2014-06-19 | 노벨러스 시스템즈, 인코포레이티드 | 전기충진 진공 도금 셀 |
WO2014159427A1 (en) * | 2013-03-14 | 2014-10-02 | Applied Materials, Inc | Resist hardening and development processes for semiconductor device manufacturing |
US9469912B2 (en) * | 2014-04-21 | 2016-10-18 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
US20160086864A1 (en) * | 2014-09-24 | 2016-03-24 | Lam Research Corporation | Movable gas nozzle in drying module |
JP6314779B2 (ja) * | 2014-10-01 | 2018-04-25 | 東京エレクトロン株式会社 | 液処理方法、記憶媒体及び液処理装置 |
JP6494417B2 (ja) * | 2015-05-20 | 2019-04-03 | 株式会社ディスコ | プラズマエッチング装置 |
US10866516B2 (en) * | 2016-08-05 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal-compound-removing solvent and method in lithography |
KR101966808B1 (ko) * | 2016-09-30 | 2019-04-08 | 세메스 주식회사 | 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치 |
JP6597872B2 (ja) * | 2018-11-13 | 2019-10-30 | 東京エレクトロン株式会社 | 基板処理方法 |
US11217444B2 (en) * | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
WO2020132281A1 (en) * | 2018-12-20 | 2020-06-25 | Lam Research Corporation | Dry development of resists |
JP2023515693A (ja) * | 2020-03-02 | 2023-04-13 | インプリア・コーポレイション | 無機レジストパターニング用のプロセス環境 |
US11621172B2 (en) * | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
-
2021
- 2021-11-09 KR KR1020237036296A patent/KR20230152171A/ko not_active Application Discontinuation
- 2021-11-09 JP JP2022559416A patent/JP2023520391A/ja active Pending
- 2021-11-09 KR KR1020227029421A patent/KR102673863B1/ko active IP Right Grant
- 2021-11-09 US US17/759,281 patent/US20230107357A1/en active Pending
- 2021-11-09 CN CN202180015520.8A patent/CN115152008A/zh active Pending
- 2021-11-09 CN CN202211142175.7A patent/CN115598943A/zh active Pending
- 2021-11-09 WO PCT/US2021/058647 patent/WO2022103764A1/en active Application Filing
- 2021-11-11 TW TW112126160A patent/TW202405583A/zh unknown
- 2021-11-11 TW TW110141961A patent/TWI811842B/zh active
- 2021-11-11 TW TW112146727A patent/TW202414121A/zh unknown
-
2023
- 2023-10-05 US US18/377,245 patent/US20240036483A1/en active Pending
- 2023-10-19 JP JP2023179933A patent/JP7527456B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
CN115598943A (zh) | 2023-01-13 |
WO2022103764A1 (en) | 2022-05-19 |
TWI811842B (zh) | 2023-08-11 |
KR102673863B1 (ko) | 2024-06-11 |
JP2023174888A (ja) | 2023-12-08 |
CN115152008A (zh) | 2022-10-04 |
KR20230152171A (ko) | 2023-11-02 |
JP7527456B2 (ja) | 2024-08-02 |
JP2023520391A (ja) | 2023-05-17 |
US20240036483A1 (en) | 2024-02-01 |
TW202405583A (zh) | 2024-02-01 |
TW202414121A (zh) | 2024-04-01 |
US20230107357A1 (en) | 2023-04-06 |
KR20220130783A (ko) | 2022-09-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI811842B (zh) | 光阻乾式移除用的處理工具 | |
WO2020264571A1 (en) | Dry chamber clean of photoresist films | |
US20230314954A1 (en) | Dry backside and bevel edge clean of photoresist | |
JP7382512B2 (ja) | 照射フォトレジストパターニングのための統合乾式プロセス | |
US20230416606A1 (en) | Photoresist development with organic vapor | |
KR102680084B1 (ko) | 금속-함유 포토레지스트의 재작업 (rework) | |
KR102676684B1 (ko) | 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어 | |
WO2024076679A1 (en) | Dry chamber clean using thermal and plasma processes | |
WO2024196745A1 (en) | Multi-step thermal chamber clean | |
TW202431348A (zh) | 使用熱及電漿製程的乾式腔室清潔 |