CN115152008A - 用于干法去除光致抗蚀剂的处理工具 - Google Patents

用于干法去除光致抗蚀剂的处理工具 Download PDF

Info

Publication number
CN115152008A
CN115152008A CN202180015520.8A CN202180015520A CN115152008A CN 115152008 A CN115152008 A CN 115152008A CN 202180015520 A CN202180015520 A CN 202180015520A CN 115152008 A CN115152008 A CN 115152008A
Authority
CN
China
Prior art keywords
semiconductor substrate
metal
chamber
processing
dry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180015520.8A
Other languages
English (en)
Inventor
德赖斯·狄克特斯
蒂莫西·威廉·威德曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202211142175.7A priority Critical patent/CN115598943A/zh
Publication of CN115152008A publication Critical patent/CN115152008A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Environmental & Geological Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

含金属极紫外辐射(EUV)光致抗蚀剂的干法显影或干法去除在大气条件下进行或在没有真空设备的处理工具中进行。可以在大气压或过大气压下进行含金属EUV光致抗蚀剂的干法去除。可以通过暴露于空气环境或使用非氧化性气体来执行含金属EUV光致抗蚀剂的干法去除。处理室或模块可以被修改或集成以利用烘烤、晶片清洁、晶片处理或其他光致抗蚀剂加工功能执行含金属EUV光致抗蚀剂的干法去除。在一些实施方案中,用于干法去除含金属的EUV光致抗蚀剂的处理室包括用于局部加热半导体衬底的加热组件和用于在半导体衬底上方进行局部气体输送的可移动排放喷嘴。

Description

用于干法去除光致抗蚀剂的处理工具
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
半导体器件(例如集成电路)的制造是一种涉及光刻的多步骤工艺。通常,该工艺包括在晶片上沉积材料并通过光刻技术对材料进行图案化以形成半导体器件的结构特征(例如晶体管和电路)。本领域已知的典型光刻工艺的步骤包括:准备衬底;涂敷光致抗蚀剂,例如通过旋涂进行;将光致抗蚀剂以所需图案暴露,使光致抗蚀剂的暴露区域或多或少地溶于显影液;通过应用显影剂溶液去除光致抗蚀剂的暴露或未暴露区域进行显影;以及随后处理以在衬底的已去除光致抗蚀剂的区域上产生特征,例如通过蚀刻或材料沉积来产生特征。
半导体设计的发展创造了对在半导体衬底材料上创造更小的特征的需求,并受到能力的推动。这种技术进步在“摩尔定律”中被表征为密集集成电路中晶体管的密度每两年翻一番。事实上,芯片设计和制造已经取得了进步,使得现代微处理器可能在单个芯片上包含数十亿个晶体管和其他电路特征。此类芯片上的单个特征可能为约22纳米(nm)或更小,在某些情况下小于10nm。
制造具有如此小的特征的设备的一个挑战是能否可靠且可重复地创建具有足够分辨率的光刻掩模。当前的光刻工艺通常使用193nm紫外(UV)光来暴露光致抗蚀剂。光的波长明显大于要在半导体衬底上产生的特征的期望尺寸这一事实产生了固有问题。实现小于光波长的特征尺寸需要使用复杂的分辨率增强技术,例如多图案化。因此,在开发使用具有从10nm至15nm(例如13.5nm)的波长的更短波长的光(例如极紫外辐射(EUV))的光刻技术方面存在显著的兴趣和研究努力。
然而,EUV光刻工艺可能会带来挑战,包括低功率输出和图案化过程中的光损失。与193nmUV光刻中使用的那些类似的传统有机化学放大抗蚀剂(CAR)在用于EUV光刻时具有潜在的缺点,特别是当它们在EUV区域具有低吸收系数,并且光活化化学品的扩散会导致模糊或线条边缘粗糙度时。此外,为了提供图案化下伏器件层所需的抗蚀刻性,在传统CAR材料中图案化的小特征可能会导致存在图案崩塌的风险的高深宽比。因此,仍然需要改进的EUV光致抗蚀剂材料,其具有诸如减小的厚度、更大的吸光度和更大的抗蚀刻性等特性。
这里提供的背景描述是为了总体呈现本技术的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本技术的现有技术。
发明内容
本文提供了一种装置。该装置包括处理室,其中所述处理室暴露于大气条件。该装置还包括衬底支撑件,其用于将所述半导体衬底保持在所述处理室中;加热组件,其面向在所述衬底支撑件上的所述半导体衬底,其中所述加热组件包括多个加热元件;以及蚀刻气体输送喷嘴,其定位在所述衬底支撑件上方,其中所述蚀刻气体输送喷嘴能移动以将蚀刻气体的输送定位到所述半导体衬底上方的位置。
在一些实现方案中,所述半导体衬底包含含金属EUV抗蚀剂,其中所述含金属EUV抗蚀剂的一个或多个部分能被所述蚀刻气体去除。在一些实现方案中,暴露于大气条件的所述处理室暴露于介于约50托和约765托之间的大气压强。在一些实现方案中,暴露于大气条件的所述处理室暴露于受控气氛,其中暴露于所述受控气氛包括暴露于大于约760托的室压强和/或暴露于氮气、水蒸气、二氧化碳、氩气、氦气或其组合。在一些实现方案中,所述多个加热元件包括多个LED,所述多个LED被布置在多个独立可控的加热区中。在一些实现方案中,所述蚀刻气体输送喷嘴耦合到可移动摆臂,所述可移动摆臂被配置为将所述蚀刻气体输送喷嘴从所述半导体衬底的中心到边缘定位。在一些实现方案中,控制器被配置有用于加工所述半导体衬底的指令,所述指令包括用于下述操作的代码:在所述处理室中在所述半导体衬底上提供含金属EUV抗蚀剂;以及经由所述蚀刻气体输送喷嘴将所述蚀刻气体输送至所述半导体衬底,以在大气条件下从所述半导体衬底干法蚀刻所述含金属EUV抗蚀剂的一个或多个部分。在一些实现方案中,所述装置还包括排气扇,其被配置成去除所述处理室中的蚀刻副产物和其他残留气体。在一些实现方案中,所述装置还包括气体源,其耦合到所述处理室,以用于将惰性气体和/或稀释气体输送到所述处理室。在一些实现方案中,所述处理室是无等离子体热处理室。
本文提供了一种衬底处理装置。该装置包括处理室,其中所述处理室暴露于大气条件;用于支撑半导体衬底并且包括多个加热区的烘烤板,所述多个加热区中的每一个包括一个或多个加热元件;以及蚀刻气体分配器,其位于所述烘烤板上方,其中所述蚀刻气体分配器被配置为将蚀刻气体输送到所述半导体衬底。
在一些实现方案中,所述半导体衬底包含含金属EUV抗蚀剂,其中所述含金属EUV抗蚀剂的一个或多个部分能被所述蚀刻气体去除。在一些实现方案中,暴露于大气条件的所述处理室暴露于介于约50托和约765托之间的大气压强。在一些实现方案中,暴露于大气条件的所述处理室暴露于受控气氛,其中暴露于所述受控气氛包括暴露于大于约760托的室压强和/或暴露于氮气、水蒸气、二氧化碳、氩气、氦气或其组合。在一些实现方案中,所述烘烤板包括上表面和多个最小接触面积(MCA)支撑件,以将所述半导体衬底支撑在所述烘烤板的所述上表面上方的一定高度处。在一些实现方案中,所述蚀刻气体分配器包括具有面对所述半导体衬底的面板的喷头,其中所述面板包括用于将所述蚀刻气体输送到所述半导体衬底的多个通孔。在一些实现方案中,所述处理室是无等离子体热处理室。在一些实现方案中,所述装置还包括控制器,其被配置有用于加工所述半导体衬底的指令,所述指令包括用于下述操作的代码:在所述处理室中在所述半导体衬底上提供含金属EUV抗蚀剂;以及经由所述蚀刻气体分配器将所述蚀刻气体输送至所述半导体衬底,以在大气条件下从所述半导体衬底干法蚀刻所述含金属EUV抗蚀剂的一个或多个部分。
本文还提供了一种衬底处理装置。该装置包括:烘箱反应器,其具有用于保持多个半导体衬底的衬底支撑件;多个加热元件,其用于将所述多个半导体衬底加热到高温;以及一个或多个蚀刻气体入口,其用于将蚀刻气体输送到所述烘箱反应器中,其中所述一个或多个蚀刻气体入口被配置为将蚀刻气体输送到所述多个半导体衬底。
在一些实现方案中,所述烘箱反应器暴露于大气条件。在一些实现方案中,大气条件包括暴露于受控气氛,其中所述一个或多个蚀刻气体入口还被配置为输送氮气、水蒸气、二氧化碳、氩气、氦气或其组合以提供所述受控气氛。在一些实现方案中,所述装置还包括:一个或多个气体出口,其用于从所述烘箱反应器中去除蚀刻副产物和其他残留气体;以及用于旋转所述衬底支撑件的马达。在一些实现方案中,所述烘箱反应器的室壁包括石英、陶瓷材料或低温聚合物材料。在一些实现方案中,所述多个半导体衬底中的每一个包含含金属EUV抗蚀剂,其中所述含金属EUV抗蚀剂的一个或多个部分能被所述蚀刻气体去除。
本文还提供了一种轨道光刻系统。该轨道光刻系统包括:盒安装部分,其中,所述盒安装部分包括用于接收和返回半导体衬底的一个或多个晶舟;以及加工部分,其中,所述加工部分包括用于所述半导体衬底的光致抗蚀剂加工的多个处理站。所述多个处理站包括:沉积室,其用于在所述半导体衬底上沉积含金属EUV抗蚀剂;热处理室;以及衬底清洁室,其中所述多个处理站中的至少一个暴露于大气条件并且被配置为从所述半导体衬底执行干法去除所述含金属EUV抗蚀剂的一个或多个部分。该轨道光刻系统还包括:暴露部分,其中所述暴露部分包括用于将所述半导体衬底暴露于EUV辐射的扫描仪;以及接口部分,其用于在所述加工部分和所述暴露部分之间传送所述半导体衬底。
在一些实现方案中,所述多个处理站还包括:六甲基二硅氮烷(HMDS)处理室,其用于处理所述半导体衬底的表面,其中所述HMDS处理室被配置为在大气条件下执行所述含金属EUV抗蚀剂的一个或多个部分的干法去除。在一些实现方案中,所述多个处理站中的所述至少一个包括用于保持所述半导体衬底的衬底支撑件和用于将蚀刻气体输送到所述半导体衬底的气体分配器,其中所述含金属EUV抗蚀剂的所述一个或多个部分能通过所述蚀刻气体去除。
本文还提供了一种进行EUV抗蚀剂的干法显影的方法。该方法包括在处理室中,在半导体衬底上提供含金属EUV抗蚀剂,以及通过暴露于蚀刻气体在处理室中干法蚀刻含金属EUV抗蚀剂,其中干法蚀刻含金属EUV抗蚀剂在大气条件下执行。
在一些实现方案中,大气条件包括介于约50托和约765托之间的大气压强。在一些实现方案中,大气条件包括受控气氛,其中受控气氛包括将半导体衬底暴露于大于约760托的室压强和/或将半导体衬底暴露于氮气、水蒸气、二氧化碳、氩气、氦气,或其组合。在一些实现方案中,该方法进一步包括在与干法蚀刻含金属EUV抗蚀剂相同的处理室中在高温下烘烤含金属EUV抗蚀剂。在一些实现方案中,干法蚀刻含金属EUV抗蚀剂包括:通过经由暴露于干法显影化学品选择性地去除一部分EUV抗蚀剂来干法显影含金属EUV抗蚀剂。在一些实现方案中,该方法还包括在与干法显影含金属EUV抗蚀剂相同的处理室中执行半导体衬底的倒角边缘清洁。在一些实现方案中,干法蚀刻含金属EUV抗蚀剂包括将半导体衬底加热到等于或大于约100℃的温度。在一些实现方案中,加热半导体衬底包括局部加热半导体衬底的区域。在一些实现方案中,干法蚀刻含金属EUV抗蚀剂包括将可移动的排放喷嘴定位在半导体衬底上方以优先将蚀刻气体引导至半导体衬底的一个或多个区域。在一些实现方案中,处理室包括与多个独立可控加热区耦合的衬底支撑件以对半导体衬底进行局部加热,以及位于衬底支撑件上方的可移动的排放喷嘴以将蚀刻气体引导至半导体衬底的目标区域。
附图说明
图1呈现了根据一些实施方案的用于沉积和显影光致抗蚀剂的示例性方法的流程图。
图2A-2C示出了根据一些实施方案的干法显影的各种处理阶段的横截面示意图。
图3A和3B示出了根据一些实施方案的用于对光致抗蚀剂进行干法蚀刻的示例处理室的示意图。
图4示出了根据一些实施方案的用于光致抗蚀剂处理的示例性系统架构或轨道系统的示意图。
图5示出了根据一些实施方案的用于光致抗蚀剂处理的替代示例系统架构或轨道系统的示意图。
图6示出了根据一些实施方案的用于执行光致抗蚀剂的干法蚀刻的示例性批量立式烘箱的示意图。
图7A和7B示出了根据一些实施方案的被配置用于执行光致抗蚀剂的干法蚀刻的晶片清洁站的示意图。
图8A和8B示出了根据一些实施方案的被配置用于执行光致抗蚀剂的干法蚀刻的晶片清洁和加热站的示意图。
图9描绘了根据一些实施方案的用于执行干法蚀刻的示例性处理站的示意图。
图10描绘了适用于实施本文所述的各种光致抗蚀剂处理操作的示例性多站处理工具的示意图。
图11示出了用于实施本文所述的某些实施方案和操作的示例性电感耦合等离子体装置的横截面示意图。
图12描绘了具有与传送模块接口的沉积和图案化模块的半导体工艺集群工具架构,其适用于本文描述的工艺的实施。
图13和14呈现了各种示例工具架构,这些工具架构可用于执行根据所公开实施方案的方法。
具体实施方式
本公开总体上涉及半导体加工领域。在特定方面,本公开涉及在EUV图案化和EUV图案化膜显影的背景下处理EUV光致抗蚀剂(例如,含对EUV敏感的金属和/或金属氧化物的光致抗蚀剂)以形成图案化掩模的方法和装置。
将详细参照本公开内容的具体实施方案。具体实施方案的示例描绘于附图中。虽然本公开内容将结合这些具体实施方案进行描述,但应理解,不应将本公开内容限制于这些具体实施方案。相反,其应包含落在本公开内容的精神和范围内的置换、修改和等同方案。在以下说明中,提出了许多特定细节以提供对本公开内容的彻底理解。本公开内容可以在缺少这些特定细节中的一些或全部的情况下实施。在其它情况下,公知的加工操作并未详加描述,以免不必要地使本公开内容难以理解。
介绍
在半导体制造中,半导体加工中的薄膜图案化通常是重要的步骤。图案化涉及光刻。在常规的光刻技术(例如,193nm光刻)中,图案是通过以下方式印刷的:从光子源发射光子至掩模上并且将图案印刷至光敏感的光致抗蚀剂上,由此在光致抗蚀剂中引起化学反应,其在显影后去除光致抗蚀剂的某些部分以形成图案。
先进技术节点(如国际半导体技术发展蓝图(International TechnologyRoadmap for Semiconductors)所定义的)包括22nm、16nm以及其它的节点。在16nm节点中,例如,在镶嵌结构中的典型的通孔或线的宽度通常不大于约30nm。先进半导体集成电路(IC)及其它设备上的特征的缩放正驱动光刻技术以改善分辨率。
极紫外光(EUV)光刻可通过移动至比用常规的光刻方法所能实现的更小的成像源波长而扩展光刻技术。大约10-20nm、或11-14nm波长(例如13.5nm波长)的EUV光源可用于尖端光刻工具(也称为扫描仪)。EUV辐射在许多固体与流体材料(包括石英与水蒸气)中被强吸收。
EUV光刻使用EUV抗蚀剂,EUV抗蚀剂被图案化而形成掩模以用于蚀刻下伏层。EUV抗蚀剂可以是基于聚合物的化学放大抗蚀剂(CAR),CAR是通过基于液体的旋涂技术所产生。CAR的一替代方案为直接可光图案化的(photopatternable)含金属氧化物膜,该膜例如可从Inpria(Corvallis,OR)购得的、并且描述于例如美国专利公开US 2017/0102612、US2016/021660和US 2016/0116839,其并入本文中作为参考文件,至少因为其公开了可光图案化的含金属氧化物膜。这样的膜可通过旋涂技术或干法气相沉积来生产。含金属氧化物的膜可在真空环境中通过EUV暴露直接进行图案化(即,不使用单独的光致抗蚀剂),提供低于30nm(sub-30nm)的图案化分辨率,例如,如2018年6月12日授权且发明名称为EUVPHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS的美国专利9,996,004、和/或2019年5月9日申请且发明名称为METHODS FOR MAKING EUV PATTERNABLEHARD MASKS的申请PCT/US19/31618,这些公开内容至少涉及直接可光图案化的金属氧化物膜的组成、沉积及图案化以形成EUV抗蚀剂掩模,且并入本文中作为参考。通常,图案化涉及利用EUV辐射进行EUV抗蚀剂的暴露以在抗蚀剂中形成光图案,然后通过显影以根据光图案而去除抗蚀剂的一部分以形成掩模。
还应当理解,虽然本公开涉及光刻图案化技术和以EUV光刻术为例的材料,但它也适用于其他下一代光刻技术。除了包括目前正在使用和开发的标准13.5nm EUV波长的EUV以外,与这种光刻术最相关的辐射源是DUV(深紫外),其一般指使用248nm或193nm准分子激光源;X射线,其正式包括X射线范围的较低能量范围内的EUV;以及电子束,其可以覆盖很宽的能量范围。具体方法可能取决于半导体衬底和最终半导体器件中使用的特定材料和应用。因此,本申请中描述的方法仅仅是可用于本技术的方法和材料的示例。
直接可光图案化的EUV抗蚀剂可由混合在有机成分内的金属和/或金属氧化物所组成、或包含它们。金属/金属氧化物是非常有前景的,因为它们可增强EUV光子吸附并且产生二次电子和/或对下伏的膜堆叠和设备层显示出增加的蚀刻选择性。迄今为止,已经使用湿法(溶剂)方案进行这些光致抗蚀剂的显影,湿法方案需要将晶片移动至轨道(track),在该处使晶片暴露于显影溶剂、干燥以及烘烤。湿法显影不仅限制产量,而且还可能由于精细特征之间的溶剂蒸发过程中的表面张力效应而导致线崩塌。
已经提出干法显影技术以通过消除衬底分层和界面失效来克服这些问题。干法显影可以提高性能(例如,防止湿法显影中由于表面张力和分层引起的线路塌陷)并且(例如,通过避免湿法显影轨迹)提高产量。其他优点可能包括无需使用有机溶剂显影剂、降低对粘附问题的敏感性、增加EUV吸收以提高剂量效率以及缺乏基于溶解度的限制。干法显影还可以提供更多的可调性,并提供进一步的关键尺寸(CD)控制和浮渣去除。
干法显影有其自身的挑战,包括未暴露和EUV暴露的抗蚀剂材料之间的蚀刻选择性,这可能导致与湿法显影相比,对有效抗蚀剂暴露的剂量尺寸比要求更高。由于在蚀刻气体下暴露时间较长,次优选择性也会导致PR角变圆,这可能增加后续传送蚀刻步骤中的线CD变化。
EUV抗蚀剂的干法蚀刻
本公开提供了在大气条件下对含金属EUV抗蚀剂进行干法蚀刻。如本文所使用的“大气条件”可构成暴露于非真空条件。具体地,大气条件可以构成约50托和约760托之间(即,大气压强)或约50托和约765托之间的室压强。本公开中的工具和室可以在高于大气压的过压下操作。例如,过压可以比大气压高约0.1托至约5托或约0.3托至约1.5托。在一些实施方案中,大气条件可构成室压强大于约760托的受控气氛。在高于大气压的压强下操作可能有助于避免氧气或空气造成的泄漏污染。
大气条件可以包括暴露于环境气体(例如空气),其中环境气体可包括氧气、氮气、二氧化碳、水蒸气或它们的组合。替代地,大气条件可以包括暴露于受控气氛,其中暴露于受控气氛包括暴露于氮气、水蒸气、二氧化碳、氩气、氦气或其组合。在一些实施方案中,受控气氛包括暴露于属于非氧化性气体的气体。此类气体可用作惰性或稀释气体,其有助于提供可用于避免氧气或空气泄漏污染的过压。尽管含金属EUV抗蚀剂的干法蚀刻可以在大气条件下发生,但是应当理解,在其内进行干法蚀刻的室可以包括也可以不包括真空设备。不是将含金属EUV抗蚀剂暴露于真空环境进行干法蚀刻,而是将含金属EUV抗蚀剂暴露于大气条件,其中大气条件可包括暴露于空气或暴露于受控环境(例如,高于大气压强))。本公开中的干法蚀刻可应用于光图案化的含金属EUV抗蚀剂的干法显影、沉积的含金属EUV抗蚀剂的倒角边缘清洁或其他涉及干法蚀刻的光致抗蚀剂工艺。避免湿法清洁/显影等同方案使成本降低。此外,在干法清洁/显影等同方案中避免真空设备或其操作降低了成本。
含对EUV敏感的金属或金属氧化物的膜,例如有机锡氧化物,设置在半导体衬底上。不需要的EUV敏感金属或含金属氧化物的薄膜可选地通过倒角边缘清洁去除。含对EUV敏感的金属或金属氧化物的膜直接通过EUV暴露进行图案化。然后使用显影化学品显影图案以形成抗蚀剂掩模。在一些实施方案中,显影化学品包括含卤素化合物。可以在大气条件下将蚀刻气体输送到半导体衬底,以在显影和/或倒角边缘清洁期间去除EUV抗蚀剂。
干法蚀刻技术可以在使蚀刻气体流动时使用温和的等离子体(低功率)或热处理。可以在具有用于输送蚀刻气体的气体分配器和面向半导体衬底并且具有一个或多个加热元件的加热组件的处理室中执行大气条件下的干法蚀刻。在一些实施方案中,气体分配器可以包括位于衬底支撑件上方的蚀刻气体输送喷嘴。在一些实施方案中,蚀刻气体输送喷嘴连接到用于将蚀刻气体输送喷嘴定位在半导体衬底的某些区域上方的可移动摆臂。在一些实施方案中,加热组件包括多个独立可控的加热区。例如,加热组件可以位于半导体衬底下方并且可以包括多个加热元件,例如发光二极管(LED)。用于在大气条件下对EUV抗蚀剂进行干法蚀刻的处理室也可以是晶片清洁室、烘烤室或处理室。具体地,用于EUV抗蚀剂干法刻蚀的处理室可以是晶片旋转清洁室、倒角边缘清洁室、涂敷后烘烤室、暴露后烘烤室、批量烘箱反应器、缓冲模块、显影室或六甲基二硅氮烷(HMDS)处理室。这样的室可以是用于光致抗蚀剂加工的轨道系统或集群工具的一部分。这样,干法蚀刻工艺(例如,干法显影)可以与光致抗蚀剂加工中的其他工艺集成在同一站、模块或室中,而无需转移到分开的站、模块或室中。工具/室的集成提高了产量并降低了成本。
图1呈现了根据一些实施方案的用于沉积和显影光致抗蚀剂的示例方法的流程图。工艺100的操作可以以不同的顺序和/或以不同的、更少的或额外的操作来执行。可以参考图2A-2C来描述过程100的方面。可以使用图3A、3B、4-6、7A、7B、8A、8B和9-14中描述的装置或室来执行工艺100的一个或多个操作。在一些实施方案中,工艺100的操作可以至少部分地根据存储在一个或多个非暂时性计算机可读介质中的软件来实现。
在工艺100的框102,沉积光致抗蚀剂层。这可以是诸如气相沉积工艺之类的干法沉积工艺或诸如旋涂沉积工艺之类的湿式工艺。
光致抗蚀剂可以是含金属的EUV抗蚀剂。可以通过任何合适的技术,包括湿法(例如,旋涂)或干法(例如,CVD)沉积技术,将含对EUV敏感金属或金属氧化物的膜沉积在半导体衬底上。例如,已针对基于有机锡氧化物的EUV光致抗蚀剂组合物证明了所描述的工艺,其适用于商业可旋涂配方(例如可从Inpria Corp(Corvallis,OR)获得)和使用干法真空沉积技术涂敷的配方,下面进一步说明。
半导体衬底可以包括适用于光刻加工的任何材料构造,特别是适用于集成电路和其他半导体器件的生产。在一些实施方案中,半导体衬底是硅晶片。半导体衬底可以是具有不规则表面形貌的硅晶片,其上已经形成了特征(“下伏特征”)。(如本文所提及的,“表面”是本公开的膜将被沉积到其上或在加工期间将暴露于EUV的表面)。下伏特征可以包括在进行本公开的方法之前在处理期间其中已经去除(例如,通过蚀刻去除)材料的区域或其中已经添加(例如,通过沉积添加)材料的区域。这种在先加工可包括本公开的方法或迭代工艺(通过该迭代工艺在衬底上形成两个或更多个特征层)中的其他加工方法。
可以将EUV敏感薄膜沉积在半导体衬底上,这些薄膜可用作随后的EUV光刻和加工的抗蚀剂。这种EUV敏感薄膜包含在暴露于EUV时发生变化的材料,例如在低密度的富含M-OH的材料中与金属原子键合的庞大侧链取代基的损失,从而允许它们交联成更致密的M-O-M键合的金属氧化物材料。通过EUV图案化,产生相对于未暴露区域具有改变的物理或化学特性的膜区域。这些特性可以在后续加工中利用,例如溶解未暴露或暴露的区域,或者在暴露或未暴露的区域上选择性地沉积材料。在一些实施方案中,在进行这种后续加工的条件下,未暴露的膜比暴露的膜具有更疏水的表面。例如,材料的去除可以通过平衡膜的化学组成、密度和交联的差异来进行。如下文进一步描述的那样,去除可以通过干法加工进行。
在多种实施方案中,薄膜是有机金属材料,例如包含氧化锡的有机锡材料,或其他金属氧化物材料/部分。有机金属化合物可以在有机金属前体与逆反应物的气相反应中制备。在多种实施方案中,有机金属化合物是通过将具有大体积烷基或氟烷基的有机金属前体与逆反应物的特定组合混合并在气相中聚合该混合物以产生沉积在半导体衬底上的低密度、EUV敏感材料而形成的。
在多种实施方案中,有机金属前体在每个金属原子上包含至少一个烷基基团,其可以在气相反应中幸存下来,而与金属原子配位的其他配体或离子可以被逆反应物代替。有机金属前体包括具有下式的那些:
MaRbLc
(式1)
其中:M是具有高图案化辐射吸收截面的元素;R是烷基,例如CnH2n+1,优选其中n≥2;L是能与逆反应物反应的配体、离子或其他部分;a≥1;b≥1;并且c≥1。
在多种实施方案中,M具有等于或大于1×107cm2/mol的原子吸收截面。M可以例如选自锡、铪、碲、铋、铟、锑、碘、锗及其组合组成的群组。在一些实施方案中,M是锡。R可以是氟化的,例如具有式CnFxH(2n+1)。在多种实施方案中,R具有至少一个β-氢或β-氟。例如,R可以选自乙基、异丙基、正丙基、叔丁基、异丁基、正丁基、仲丁基、正戊基、异戊基、叔戊基、仲-戊基及其混合物。L可以是容易被逆反应物取代以产生M-OH部分的任何部分,例如选自由胺(例如二烷基氨基、单烷基氨基)、烷氧基、羧酸根、卤素及其混合物组成的群组的部分。
有机金属前体可以是多种候选金属-有机前体中的任何一种。例如,当M是锡时,这样的前体包括叔丁基三(二甲氨基)锡、异丁基三(二甲氨基)锡、正丁基三(二甲氨基)锡、仲丁基三(二甲氨基)锡、异丙基(三)二甲氨基锡、正丙基三(二甲氨基)锡、乙基三(二甲氨基)锡和类似的烷基(三)(叔丁氧基)锡化合物(例如叔丁基三(叔丁氧基)锡)。在一些实施方案中,有机金属前体是部分氟化的。
逆反应物具有取代反应性部分、配体或离子(例如,上式1中的L)以通过化学键连接至少两个金属原子的能力。逆反应物可以包括水、过氧化物(例如,过氧化氢)、二羟基或多羟基醇、氟化二羟基或多羟基醇、氟化二醇和其他羟基部分的来源。在多种实施方案中,逆反应物通过在相邻金属原子之间形成氧桥而与有机金属前体反应。其他潜在的逆反应物包括硫化氢和二硫化氢,它们可以通过硫桥交联金属原子。
除了有机金属前体和逆反应物之外,薄膜还可以包括可选材料,以改变膜的化学或物理性质,例如改变膜对EUV的敏感性或增强抗蚀刻性。可以引入这样的可选材料,例如通过在沉积在半导体衬底上之前、沉积薄膜之后或在沉积在半导体衬底上之前以及在沉积薄膜之后的气相形成期间进行掺杂。在一些实施方案中,可以引入温和的远程H2等离子体以便用Sn-H代替一些Sn-L键,这可以增加抗蚀剂在EUV下的反应性。
在多种实施方案中,可使用本领域已知的气相沉积设备和工艺制造EUV可图案化膜并将其沉积在半导体衬底上。在这样的工艺中,聚合的有机金属材料以气相或原位形成在半导体衬底的表面上。合适的工艺包括例如化学气相沉积(CVD)、原子层沉积(ALD)和具有CVD成分的ALD,该ALD例如在任一时间或空间分离金属前体和逆反应物的不连续的、类似ALD的工艺。
通常,方法包括将有机金属前体的蒸气流与逆反应物的蒸气流混合以形成聚合的有机金属材料,并将有机金属材料沉积到半导体衬底的表面上。在一些实施方案中,多于一种的有机金属前体包括在蒸气流中。在一些实施方案中,多于一种的逆反应物包含在蒸气流中。如本领域普通技术人员将理解的,该过程的混合和沉积方面可以在基本上连续的过程中同时进行。
在示例性的连续CVD工艺中,有机金属前体和逆反应物源的两个或更多个气流在分开的入口路径中被引入CVD装置的沉积室,在这里它们在气相中混合和反应,以(例如,通过金属-氧-金属键的形成而)形成附聚的聚合物材料。例如,可以使用分开的注入入口或双充气室喷头来引入所述流。该装置被配置为使得有机金属前体和逆反应物的流在室中混合,从而允许有机金属前体和逆反应物反应以形成聚合的有机金属材料。在不限制本技术的机制、功能或效用的情况下,据信来自这种气相反应的产物的分子量随着金属原子通过逆反应物交联而变得更重,然后被冷凝或以其他方式沉积到半导体衬底上。在多种实施方案中,大体积烷基的空间位阻防止密集网络的形成并产生光滑的、无定形的、低密度的膜。
CVD工艺通常在减压下(例如从10毫托到10托)进行。在一些实施方案中,该工艺在0.5至2托下进行。在一些实施方案中,半导体衬底的温度等于或低于反应物流的温度。例如,衬底温度可以是从0℃到250℃,或从环境温度(例如,23℃)到150℃。在各种工艺中,聚合有机金属材料在衬底上的沉积以与表面温度成反比的速率发生。
在一些实施方案中,EUV可图案化膜尤其使用本领域已知的湿法沉积设备和工艺制造并沉积在半导体衬底上。例如,有机金属材料通过旋涂在半导体衬底的表面上形成。
形成在半导体衬底表面上的可EUV图案化膜的厚度可根据表面特性、使用的材料和加工条件而变化。在各种实施方案中,膜厚度可以在0.5nm至100nm的范围内,并且可以是足够的厚度以在EUV图案化的条件下吸收大部分EUV光。EUV可图案化膜可能能够适应等于或大于30%的吸收率,从而具有朝向EUV可图案化膜的底部可用的明显更少的EUV光子。与EUV暴露膜的底部相比,较高的EUV吸收率导致EUV暴露膜顶部附近的交联和致密化程度更高。尽管不充分的交联可能导致抗蚀剂在湿法显影中更容易剥离或塌陷,例如在干法显影中不存在风险。全干法光刻方法可以通过更不透明的抗蚀剂膜促进更有效地利用EUV光子。尽管EUV光子的有效利用可能在EUV可图案化膜具有较高总吸收率的情况下发生,但应理解的是,在某些情况下,EUV可图案化膜可能小于约30%。作为比较,大多数其他抗蚀剂膜的最大总吸收率小于30%(例如,10%或更少,或5%或更少),使得抗蚀剂膜底部的抗蚀剂材料被充分暴露。在一些实施方案中,膜厚度为10nm至40nm或10nm至20nm。在不限制本公开内容的机制、功能或效用的情况下,据信,与现有技术的湿法旋涂工艺不同,本公开的工艺对衬底的表面粘附性能具有更少的限制,因此可应用于多种衬底。此外,如上文所讨论的,沉积膜可以与表面特征紧密保形(conform),从而在无需“填充”或以其他方式平坦化这种特征的情况下,在诸如具有下伏特征的衬底之类的衬底上方形成掩模方面提供优势。
在框104处,执行任选的清洁工艺以清洁半导体衬底的背面和/或倒角边缘。背面和/或倒角边缘清洁可以非选择性地蚀刻EUV抗蚀剂膜,以同等地去除衬底背面和倒角边缘上具有不同程度的氧化或交联的膜。在通过湿法沉积处理或干法沉积处理涂敷EUV可图案化膜期间,可以在衬底倒角边缘和/或背面上存在一些非预期的抗蚀剂材料沉积。非预期的沉积可能导致不期望的颗粒随后移动到半导体衬底的顶表面并且变成颗粒缺陷。此外,这种倒角边缘和背面沉积会导致下游加工问题,包括污染图案(扫描仪)和开发工具。传统上,这种倒角边缘和背面沉积物的去除是通过湿法清洁技术完成的。对于旋涂的光致抗蚀剂材料,该工艺称为边缘珠去除(EBR),并通过在衬底旋转时从倒角边缘上方和下方引导溶剂流来执行。相同的工艺可以应用于通过气相沉积技术沉积的可溶性有机锡氧化物基抗蚀剂。然而,在一些实施方案中,可以使用干法清洁技术执行倒角边缘和背面清洁。
衬底倒角边缘和/或背面清洁也可以是干法清洁工艺。在一些实施方案中,干法清洁工艺涉及具有以下一种或多种气体的蒸汽和/或等离子体:HBr、HCl、BCl3、SOCl2、Cl2、BBr3、H2、O2、PCl3、CH4、甲醇、氨、甲酸、NF3、HF。在一些实施方案中,干法清洁工艺可以使用与本文所述的干法显影工艺相同的化学品。例如,倒角边缘和/或背面清洁可以使用含卤素显影化学品。对于倒角边缘和/或背面清洁工艺,蒸汽和/或等离子体必须限制在衬底的特定区域,以确保仅去除背面和斜面,而不会使衬底正面上的任何膜降解。
工艺条件可针对倒角边缘和/或背面清洁进行优化。在一些实施方案中,更高的温度、更高的压力和/或更高的反应物流量可以导致增加的蚀刻速率。干法倒角边缘和背面清洁的合适工艺条件可能是:100–10000sccm的反应物流量(例如500sccm HCl、HBr、HI或H2和Cl2或Br2、BCl3或H2、或其他含卤素化合物),20到140℃(例如,80℃)的温度,20-1000毫托(例如,100毫托)的压强或50–765托(例如760托)的压强,0到500W的高频(例如,13.56MHz)等离子体功率,约10到20秒的持续时间,具体取决于光致抗蚀剂膜的组成和性能。斜面和/或背面清洁可以使用可从Lam研究公司(Fremont,CA)获得的
Figure BDA0003804959340000151
工具来完成。
干法清洁操作可以替代地扩展到完全光致抗蚀剂去除或光致抗蚀剂“再加工”,其中去除所涂敷的EUV光致抗蚀剂并且半导体衬底准备用于光致抗蚀剂再涂敷,例如当原始光致抗蚀剂损坏或有其他缺陷时。光致抗蚀剂再加工应在不损坏下伏半导体衬底的情况下完成,因此应避免使用氧基蚀刻。相反,可以使用如本文所述的含卤化物化学品的变体。应当理解,光致抗蚀剂再加工操作可以在工艺100的任何阶段进行。因此,光致抗蚀剂再加工操作可以在光致抗蚀剂沉积之后、在倒角边缘和/或背面清洁之后、在PAB处理之后、在EUV暴露之后、在PEB处理之后、在显影后、或在硬烘烤之后进行。在一些实施方案中,可以执行光致抗蚀剂再加工以非选择性地去除光致抗蚀剂的暴露和未暴露区域,但对底层具有选择性。
在一些实施方案中,光致抗蚀剂再加工工艺涉及具有以下一种或多种气体的蒸汽和/或等离子体:HBr、HCl、HI、BCl3、Cl2、BBr3、H2、PCl3、CH4、甲醇、氨、甲酸、NF3、HF。
工艺条件可针对光致抗蚀剂再加工进行优化。在一些实施方案中,更高的温度、更高的压力和/或更高的反应物流量可以导致增加的蚀刻速率。光致抗蚀剂再加工的合适工艺条件可以是:100–500sccm的反应物流量(例如500sccm HCl、HBr、HI、BCl3或H2和Cl2或Br2),20到140℃(例如,80℃)的温度,20-1000毫托(例如,300毫托)的压强或50–765托(例如760托)的压强,0到800W(例如500W)的高频(例如,13.56MHz)等离子体功率,0到200Vb的晶片偏置(更高的偏置可能与较硬的下伏衬底材料一起使用),以及约20秒到3分钟的持续时间,其足以完全去除EUV光致抗蚀剂,具体取决于光致抗蚀剂膜的组成和性能。应当理解,虽然这些条件适用于一些加工反应器,例如可从Lam研究公司(Fremont,CA)获得的Kiyo蚀刻工具,但根据加工反应器的能力,可以使用更宽范围的工艺条件。
在一些实施方案中,可以在大气条件下使用蚀刻气体来执行倒角边缘清洁。不需要真空设备来在处理室中产生真空环境,用于倒角边缘清洁的处理室可以暴露在大气条件下。在一些实施方案中,用于倒角边缘清洁的处理室可以将含金属EUV抗蚀剂暴露于非真空压强,其中非真空压强介于约50托和约765托之间、约100托和约765托之间,或在约300托和约765托之间。在一些实施方案中,用于倒角边缘清洁的处理室可以将含金属EUV抗蚀剂暴露于高于大气压的压强,其中室压大于约760托。在一些实施方案中,用于倒角边缘清洁的处理室可以将含金属EUV抗蚀剂暴露于空气或环境气体。环境气体可以包括氧气、氮气、二氧化碳、水蒸气或它们的组合。在一些实施方案中,用于倒角边缘清洁的处理室可将含金属EUV抗蚀剂暴露于受控气氛,其中受控气氛包括暴露于氮气、水蒸气、二氧化碳、氩气、氦气或它们的组合。
处理室可以包括用于温度控制的一个或多个加热器。一个或多个加热器可以耦合到加热组件,该加热组件在处理室中面向半导体衬底,以用于衬底温度控制。例如,加热组件可以位于衬底支撑件下方或介于衬底支撑件和半导体衬底之间。在一些实施方案中,可以使用诸如IR灯或一个或多个LED之类的辐射加热组件来控制衬底温度。在EUV抗蚀剂的倒角边缘清洁期间,半导体衬底可能经受升高的温度。可以调节温度,其中温度可以影响蚀刻的各个方面,例如蚀刻速率。在一些实施方案中,倒角边缘清洁包括将半导体衬底加热到等于或大于约50℃、等于或大于约100℃、等于或大于约120℃、或介于约100℃和约230℃之间的温度。
在一些实施方案中,用于倒角边缘和/或背面清洁的处理室可以是无等离子体热处理室。无等离子体热处理室可能无法产生直接或远程等离子体。在一些其他实施方案中,用于斜面和/或背面清洁的处理室可以耦合到直接或远程等离子体源。等离子体源可用于产生等离子体以用于斜面和/或背面清洁或随后的解吸、除渣和平滑操作。
在一些实施方案中,处理室中的倒角边缘清洁可以在烘烤室中进行,例如在框106处用于执行PAB暴露的烘烤室或在框110处用于执行PEB暴露的烘烤室中进行。在一些实施方案中,处理室中的倒角边缘清洁可以在用于在框112处执行显影的显影室中执行。在一些实施方案中,处理室中的倒角边缘清洁可以在晶片清洁室(例如旋转清洁室)中执行。在一些实施方案中,处理(process)室中的倒角边缘清洁可以在处理(treatment)室(例如HMDS处理(treatment)室)中执行。在一些实施方案中,处理室中的倒角边缘清洁可以在批量烘箱反应器中进行。在一些实施方案中,处理室中的倒角边缘清洁可以在单个或小批量缓冲模块中执行倒角边缘。
在过程100的框106中,在可EUV图案化膜的沉积并EUV暴露之后进行任选的涂覆后烘烤(PAB)。PAB处理可能涉及热处理、化学暴露和水分的组合,以增加可EUV图案化膜的EUV灵敏度,从而降低EUV剂量以在可EUV图案化膜中形成图案。可以调整和优化PAB处理温度以增加可EUV图案化膜的灵敏度。例如,处理温度可以介于约90℃和约200℃之间或介于约150℃和约190℃之间。在一些实施方案中,PAB处理可以在大气压和真空之间的压力下进行,处理持续时间为约1至15分钟,例如约2分钟。在一些实施方案中,PAB处理在约100℃和约230℃之间的温度和大气压下进行。
在工艺100的框108,含金属的EUV抗蚀剂膜暴露于EUV辐射以显影图案。一般而言,EUV暴露会导致化学组成发生变化,并且在含金属的EUV抗蚀剂膜中发生交联,从而产生可用于后续显影的蚀刻选择性对比。
然后可以通过将膜的区域暴露于EUV光,通常在相对高的真空下,对含金属的EUV抗蚀剂膜进行图案化。可用于本文的那些中的EUV设备和成像方法包括本领域已知的方法。特别地,如上所述,膜的暴露区域是通过EUV图案化产生的,其相对于未暴露区域具有改变的物理或化学特性。例如,在暴露区域,可能发生金属-碳键断裂,如通过β-氢化物消除,留下反应性和可接近的金属氢化物官能团,这些官能团可在随后的暴露后烘烤(PEB)步骤过程中通过金属-氧桥转化为氢氧化物和交联的金属氧化物部分。该工艺可用于产生化学对比度,以作为负形抗蚀剂进行显影。通常,烷基中更多数量的β-H会导致更敏感的膜。这也可以解释为具有更多分支的较弱的Sn-C键。在暴露之后,可以烘烤含金属的EUV抗蚀剂膜,以引起金属氧化物膜的额外交联。暴露区域和未暴露区域之间的性质差异可以在后续加工中加以利用,例如以溶解未暴露区域或在暴露区域上沉积材料。例如,可以使用干法方法显影图案以形成含金属氧化物的掩模。
具体而言,在多种实施方案中,存在于表面上的烃基封端的氧化锡在成像层的暴露区域中被转化为氢封端的氧化锡,特别是当暴露是在真空中使用EUV进行时。然而,将暴露的成像层从真空中去除到空气中,或控制引入氧气、臭氧、H2O2或水,会导致表面Sn-H氧化成Sn-OH。可以在后续加工中利用暴露和未暴露区域之间的性质差异,例如通过使经辐射区域、未辐射区域或两者与一种或多种试剂反应以选择性地向成像层添加材料或从成像层去除材料。
在不限制本技术的机制、功能或效用的情况下,EUV暴露(例如,在10mJ/cm2至100mJ/cm2的剂量下)会导致Sn-C键的断裂,从而导致烷基取代基的损失,减轻空间位阻并使得低密度膜会塌陷。此外,在β-氢化物消除反应中产生的反应性金属-H键可以与膜中的例如羟基之类的相邻活性基团发生反应,导致进一步的交联和致密化,并在暴露区域和未暴露区域之间产生化学对比度。
在将含金属的EUV抗蚀剂膜暴露于EUV光之后,提供光图案化的含金属EUV抗蚀剂。光图案化的含金属EUV抗蚀剂包括EUV暴露区域和未暴露区域。
在工艺100的框110,执行可选的暴露后烘烤(PEB)以进一步增加光图案化的含金属EUV抗蚀剂的蚀刻选择性对比度。可以在各种化学品的存在下对光图案化的含金属EUV抗蚀剂进行热处理,以促进EUV暴露区域的交联,或者在环境空气中的热板上简单地烘烤,例如在100℃和250℃之间烘烤介于1分钟到5分钟之间(例如在190℃下烘烤2分钟)。
在多种实施方案中,烘烤策略包括仔细控制烘烤环境、引入反应性气体和/或仔细控制烘烤温度的渐变(ramping)速率。有用的反应性气体的示例包括例如空气、H2O、H2O2蒸气、CO2、CO、O2、O3、CH4、CH3OH、N2、H2、NH3、N2O、NO、醇、乙酰丙酮、甲酸、Ar、He或他们的混合物。PEB处理被设计为在(1)驱动在EUV暴露期间产生的有机碎片的完全蒸发和(2)将通过EUV暴露产生的任何Sn-H、Sn-Sn或Sn自由基物质氧化成金属氢氧化物,以及(3)促进相邻Sn-OH基团之间的交联,以形成更密集的交联SnO2状网络。烘烤温度经过精心选择,以实现最佳的EUV光刻性能。过低的PEB温度会导致交联不足,从而导致用于在给定剂量下显影的化学对比度较小。过高的PEB温度也会产生不利影响,包括未暴露区域(在本示例中通过显影图案化薄膜以形成掩模而去除的区域)中的严重氧化和膜收缩以及在光图案化的含金属EUV抗蚀剂和底层之间的界面的不希望的相互扩散,这两者都可能导致化学对比度的损失和由于不溶性浮渣导致的缺陷密度增加。PEB处理温度可以在约100℃和约300℃之间、约170℃和约290℃之间、或约200℃和约240℃之间。在一些实施方案中,PEB处理可以在大气压和真空之间的压强下进行,处理持续时间为约1至15分钟,例如约2分钟。在一些实施方案中,可以重复PEB热处理以进一步增加蚀刻选择性。
在工艺100的框112,使光图案化的含金属EUV抗蚀剂显影以形成抗蚀剂掩模。在各种实施方案中,暴露区域被去除(正性)或未暴露区域被去除(负性)。在一些实施方案中,显影可以包括在光图案化的含金属EUV抗蚀剂的暴露或未暴露区域上进行选择性沉积,然后进行蚀刻操作。在多种实施方案中,这些工艺可以是干法工艺或湿法工艺。在一些实施方案中,可以在不激励等离子体的情况下利用含卤素气体流进行显影。或者,可以使用在远程等离子体源中激活或通过暴露于远程UV辐射激活的含卤素气体流来进行显影。显影用光致抗蚀剂可以包括选自由锡、铪、碲、铋、铟、锑、碘和锗组成的群组的元素。该元素可以具有高图案化辐射吸收截面。在一些实施方案中,该元素可以具有高EUV吸收截面。在一些实施方案中,含金属EUV抗蚀剂可具有大于30%的总吸收率。在全干法光刻工艺中,这可以更有效地利用EUV光子,从而能够开发出更厚、更不透EUV的光致抗蚀剂。
显影工艺的示例涉及含有有机锡氧化物的EUV敏感光致抗蚀剂薄膜(例如,10-30nm厚,例如20nm),经受EUV暴露剂量和暴露后烘烤,然后显影。例如,光致抗蚀剂膜可以基于诸如异丙基(三)(二甲氨基)锡之类的有机锡前体和水蒸气的气相反应而沉积,或者可以是包含在有机基质中的锡簇的旋涂膜。
图2A-2C显示了根据一些实施方案的干法显影的各个处理阶段的横截面示意图。图2A-2C中的示例说明了负性干法显影。如图2A所示,晶片200包括衬底202和待蚀刻的衬底层204。在一些实施方案中,衬底层204包括可灰化硬掩模,例如旋涂碳(SoC)或其他材料,例如硅、氧化硅、氮化硅、碳化硅等。在一些实施方案中,衬底层204可以是设置在衬底202上的层堆叠件。晶片200还包括光图案化的含金属EUV光致抗蚀剂膜206。例如,光图案化的含金属EUV光致抗蚀剂膜206可以是设置在待蚀刻的衬底层204上的含有机金属层。光图案化的含金属EUV抗蚀剂膜206可以具有介于约5nm至约50nm或约10nm至约30nm之间的厚度。在EUV扫描仪中光图案化之后和/或如上所述的PEB处理之后,可以在处理室中提供光图案化的含金属EUV抗蚀剂膜206。光图案化的含金属EUV抗蚀剂膜206包括非EUV暴露区域206a和EUV暴露区域206b。如图2B所示,光图案化的含金属EUV抗蚀剂膜206的非EUV暴露区域206a可以在干法显影工艺中通过暴露于干法显影化学品流而被去除而不激励等离子体。干法显影化学品可以包括含卤素化学品。在显影之后通过去除非EUV暴露区域206a形成抗蚀剂掩模208。此后,可以使用抗蚀剂掩模208来蚀刻待蚀刻的衬底层204以提供图2C中描绘的结构。
回到图1,在框112,通过暴露于干法显影化学品来显影光图案化的含金属EUV抗蚀剂,其中干法显影化学品是含卤素的化学品。在一些实施方案中,EUV抗蚀剂的干法显影与诸如含金属EUV抗蚀剂膜之类的干法沉积(例如,CVD)之类的其他干法加工操作相结合。
在一些实施方案中,半导体衬底的光致抗蚀剂加工可以结合所有干法步骤,所述步骤包括通过气相沉积、背面和/或倒角边缘干法清洁、PAB暴露、EUV光刻图案化、PEB暴露和干法显影形成膜。工艺100中的框102-114处的每个操作可以是干法处理操作。这样的加工操作可以避免与诸如湿法显影的湿法加工操作相关的材料和生产率成本。干法加工可以提供更多的可调性并进一步增加关键尺寸(CD)控制和浮渣去除。湿法显影受溶解度和簇大小(cluster size)的限制,而干法显影不受溶解度和簇大小的限制。湿法显影更容易出现干法显影避免的图案塌陷和分层问题。
在一些实施方案中,干法显影工艺可以通过使用温和等离子体(高压、低功率)或热工艺,同时使干法显影化学品流动来完成。例如,干法显影可以使用干法显影化学品在热工艺中进行。在一些实施方案中,干法显影能够快速去除未暴露的材料,留下暴露膜的图案,该图案可以通过基于等离子体的蚀刻工艺(例如常规蚀刻工艺)转移到下伏层中。
在热显影工艺中,衬底在处理室(例如烘箱)中暴露于显影化学品(例如路易斯酸)。代替需要用于在处理室中产生真空环境的真空设备,处理室可以暴露于大气条件。换言之,用于热显影的处理室可以将光图案化的含金属EUV抗蚀剂暴露于非真空压强,其中非真空压强介于约50托和约765托之间、约100托和约765托之间,或约300托和约765托之间。在一些实施方案中,用于热显影的处理室可以将含金属EUV抗蚀剂暴露于高于大气压的压强,其中室压大于约760托。在一些实施方案中,用于热显影的处理室可将光图案化的含金属EUV抗蚀剂暴露于空气或环境气体。环境气体可以包括氧气、氮气、二氧化碳、水蒸气或它们的组合。在一些实施方案中,用于热显影的处理室可将光图案化的含金属EUV抗蚀剂暴露于受控气氛,其中受控气氛包括暴露于氮气、水蒸气、二氧化碳、氩气、氦气或其组合。
用于热显影的处理室可以包括一个或多个用于温度控制的加热元件。一个或多个加热元件可以耦合到在处理室中面向半导体衬底的加热组件,以用于衬底温度控制。在一些实施方案中,一个或多个加热元件可以是一个或多个LED,其中LED可以布置在多个独立可控的加热区中。在EUV抗蚀剂的干法显影期间,半导体衬底可能经受升高的温度。可以调节温度,其中温度可以影响显影的各个方面,例如蚀刻选择性。在一些实施方案中,干法显影包括将半导体衬底加热到等于或大于约50℃、等于或大于约100℃、等于或大于约120℃、或介于约100℃和约230℃之间的温度。
用于热显影的处理室可以包括位于衬底支撑件上方的蚀刻气体输送喷嘴或蚀刻气体分配器。可以调节蚀刻气体流速,其中气体流量可能会影响显影期间暴露区域和未暴露区域之间的蚀刻选择性。在一些实施方案中,气体流速介于0.5SLM和约30SLM之间,介于约1SLM和约20SLM之间,或介于约2SLM和约15SLM之间。气体流速包括流动气体(包括蚀刻气体和载气,例如氮气、氩气等)的总流速。蚀刻气体流速可能只是总流速的一部分,其中蚀刻气体流速可以介于约0.01SLM和约1SLM之间。暴露的持续时间可以在热显影工艺中进行调整。暴露的持续时间会取决于希望去除多少抗蚀剂、显影化学品、抗蚀剂中的交联量以及抗蚀剂的组成和性质以及其他因素。在一些实施方案中,暴露持续时间可以介于约1秒至约50分钟之间、约3秒至约20分钟之间、或约10秒至约6分钟之间。
干法显影期间的蚀刻选择性可通过控制工艺条件(例如温度、压强、气流、气体成分和等离子体功率)以及其他可调工艺条件来调节。在单个步骤或多个步骤中调整蚀刻选择性可以实现所需的图案化特性。在一些实施方案中,干法显影期间的蚀刻选择性在一个或多个步骤中被调整以影响EUV抗蚀剂轮廓。更具体地,可以通过在一个或多个步骤上应用不同蚀刻选择性的显影化学品来控制EUV抗蚀剂轮廓中的锥度或内凹角的量。除渣、光致抗蚀剂再加工、固化、平滑和清洁操作也可以根据可调节的蚀刻选择性进行调节。
在一些实施方案中,用于干法显影的处理室可以是无等离子体热处理室。无等离子体热处理室可能无法产生直接或远程等离子体。在一些其他实施方案中,用于干法显影的处理室可以耦合到直接或远程等离子体源。等离子体源可用于产生等离子体以用于干法显影或随后的解吸、除渣和平滑操作。
在一些实施方案中,处理室中的干法显影可以在烘烤室中进行,例如在框106用于执行PAB暴露的烘烤室或在框110用于执行PEB暴露的烘烤室中进行。在一些实施方案中,处理室中的干法显影可以在晶片清洁室中进行,例如在框104处在用于进行倒角边缘清洁的倒角边缘清洁室中进行倒角边缘清洁。在一些实施方案中,处理室中的干法显影可以在晶片清洁室中进行,例如,在旋转清洁室中进行。在一些实施方案中,处理室中的干法显影可以在处理室(例如HMDS处理室)中执行。在一些实施方案中,处理室中的干法显影可以在批量烘箱反应器中进行。在一些实施方案中,处理室中的干法显影可以在单个或小批量缓冲模块中进行。
在工艺100的框114处,半导体衬底可选地经历硬烘烤。在硬烘烤工艺期间,半导体衬底经受升高的温度。例如,半导体衬底可以经受等于或大于约50℃、约100℃和约300℃之间、或约170℃和约290℃之间的高温。硬烘烤工艺可能会从干法显影中排出剩余的溶剂或蚀刻气体。
图3A和3B示出了根据一些实施方案的用于对光致抗蚀剂进行干法蚀刻的示例处理室的示意图。在图3A和3B中,处理室300对大气开放。处理室300包括台板或衬底支撑件310,半导体衬底320被支撑在其上。在一些实施方案中,衬底支撑件310可以耦合到一个或多个加热器(未示出),加热器被配置为控制半导体衬底320的衬底温度。在一些实施方案中,衬底支撑件310可以被配置为转动半导体衬底320,使得半导体衬底320旋转。在一些实施方案中,衬底支撑件310可以是用于PAB或PEB处理的烘烤板。令人惊奇的是,在不将处理室300置于真空的情况下,EUV抗蚀剂的干法蚀刻(例如,干法显影)可以在大气压强下在露天环境中进行,或者在高于大气压的受控气氛中进行。在一些实施方案中,用于执行干法蚀刻的处理室可以是基于非等离子体的热处理室。换言之,基于非等离子体的热处理室可能无法产生直接或远程等离子体。在一些其他实施方案中,用于执行干法蚀刻的处理室可以是基于等离子体的处理室。
在图3A中,装置包括处理室300,其中处理室300暴露于大气条件。在一些实施方案中,处理室300是烘烤室。在一些实施方案中,该装置包括用于在处理室300中支撑半导体衬底320的烘烤板310。在一些实施方案中,烘烤板310包括上表面和多个最小接触面积(MCA)支撑件(未示出)以用于在烘烤板310的上表面上方的高度处支撑半导体衬底。烘烤板310可以包括多个加热区(未示出),其中多个加热区中的每一个包括一个或多个加热元件。该装置还包括位于烘烤板310上方的蚀刻气体分配器330。蚀刻气体分配器330可以是具有面对半导体衬底320的面板的喷头,其中面板包括用于输送蚀刻气体到半导体衬底320的多个通孔。喷头可用于在干法显影、干法倒角边缘清洁或其他干法光致抗蚀剂工艺期间引入蚀刻气体。在一些实施方案中,喷头可以将蚀刻气体作为整体或在某些区域中局部地输送到半导体衬底320。尽管处理室300不一定被抽真空,但排气扇或泵可以耦合到处理室300以去除蚀刻副产物和其他残留气体。
在图3B中,装置包括处理室300,其中处理室300暴露于大气条件。应当理解,处理室300不必连接到任何真空泵或其他真空设备。然而,处理室300可以耦合到排气扇或泵以去除蚀刻副产物和其他残留气体。在一些实施方案中,处理室300是晶片旋转清洁室。在一些实施方案中,该装置包括用于将半导体衬底320保持在处理室300中的衬底支撑件310。衬底支撑件310可以被配置为旋转半导体衬底320。该装置还包括加热组件(未示出),其面向在处理室300中的半导体衬底320,其中加热组件包括多个加热元件。多个加热元件可以包括多个LED,其中多个LED可以布置在多个独立可控的加热区中。该装置还包括位于衬底支撑件310上方的蚀刻气体输送喷嘴340,其中蚀刻气体输送喷嘴340可移动以将蚀刻气体输送定位到半导体衬底320上方的位置。在一些实施方案中,蚀刻气体输送喷嘴340耦合到可移动的摇臂,以将蚀刻气体输送喷嘴340局部定位在半导体衬底320的某些区域上。例如,可移动的摇臂可以从半导体衬底320的中心到边缘径向定位蚀刻气体输送喷嘴340。在一些实施方案中,蚀刻气体输送喷嘴340与半导体衬底320的表面成角度或垂直。
用于光致抗蚀剂的干法显影或倒角边缘清洁的处理室可以在轨道光刻系统中实施。与光刻相关的许多步骤通常在具有以受控方式顺序处理半导体衬底的能力的多室系统或集群工具中执行。用于沉积和显影光致抗蚀剂材料的集群工具也可以称为轨道光刻工具。轨道光刻工具通常包括容纳多个专用于执行光刻各个方面的处理室的主机。一些可能是湿处理室,一些可能是干处理室。有些可能暴露在露天环境中,有些可能被封闭在真空环境中。用于干法去除的处理室可暴露于露天环境或轨道光刻工具中高于大气压的受控气氛。轨道光刻工具通常包括晶舟/盒安装设备,以接收半导体衬底并将其送回清洁室。轨道光刻工具还经常包括传送机械手以在各种室/站之间传送半导体衬底。
图4示出了根据一些实施方案的用于光致抗蚀剂加工的示例性系统架构或轨道系统的示意图。轨道系统400包括盒安装部分410、加工部分420、接口部分430和暴露部分440。半导体晶片W可以在盒402处接收并在盒404处返回。加工部分420可以具有多个处理室或站421–426。传送机械手455可以被配置为沿着用于在室之间传送半导体晶片的中心通道450移动。在本公开中,多个处理室或站421-426中的至少一个暴露于大气条件并被配置为从半导体晶片W干法去除含金属EUV抗蚀剂的一个或多个部分。
暴露部分440通过接口部分430连接到加工部分420。暴露部分440可以包括用于将半导体晶片W上的感光材料暴露于电磁辐射(例如,EUV辐射)的扫描仪。
加工部分420可以包括一个或多个沉积室421,以用于将光致抗蚀剂材料沉积到半导体晶片W上。沉积室421中的一个可以被配置为沉积抗蚀剂涂层,例如抗反射涂层,而沉积室421中的另一个可以被配置为沉积光致抗蚀剂材料。加工部分420还可以包括晶片清洁室422。例如,晶片清洁室422可以用纯水射流清洁半导体晶片W。加工部分420还可以包括处理室423。例如,处理室423可以包括用于使半导体晶片W的表面经受HMDS作用的粘附加工室。在一些实施方案中,加工部分420还包括一个或多个烘烤室424。例如,每个烘烤室424可以具有一个或多个烘烤板以用于加热半导体晶片W。烘烤室424可以执行光致抗蚀剂加工中的PAB处理或PEB处理。在一些实施方案中,加工部分420还包括用于用旋转刷擦洗半导体晶片W的擦洗站425。在一些实施方案中,加工部分420可选地包括显影室426,以使用显影化学品来显影光致抗蚀剂。
在本公开中,应当理解,用于干法去除的处理室可以是轨道光刻系统400中的处理室421-426中的任何一个。因此,不是用于干法去除的专用站,而是轨道光刻系统400中的处理室可以被修改以执行干法去除或集成以利用烘烤、清洁、擦洗、处理、沉积或其他光致抗蚀剂加工功能执行干法去除。举例而言,用于沉积含金属EUV抗蚀剂的沉积室421可以被配置为执行从半导体晶片W干法去除含金属EUV抗蚀剂的一个或多个部分。在另一个示例中,烘烤室424可以被配置为执行从半导体晶片W干法去除含金属EUV抗蚀剂的一个或多个部分。在又一示例中,晶片清洁室422可配置为执行干法去除半导体晶片W的一个或多个部分。在又一示例中,用于处理半导体晶片W的表面的HMDS处理室可以被配置为执行从半导体晶片W干法去除含金属EUV抗蚀剂的一个或多个部分。或者,可以修改显影室426以在干法去除的情况下执行烘烤、清洁、擦洗、处理、沉积或其他光致抗蚀剂处理功能。可以在大气条件下进行干法去除。
图5示出了根据一些实施方案的用于光致抗蚀剂加工的替代示例系统架构或轨道系统的示意图。轨道光刻系统500包括有时被称为工厂接口的前端模块510、中央模块520和有时被称为扫描仪接口的后模块530。前端模块510包括一个或多个晶舟或前开式统一晶舟(FOUP)512。FOUP512被配置为接收将在轨道光刻系统500中加工的一个或多个半导体晶片W。
前端模块510包括带有前端机械手518的第一加工架514和第二加工架516。中央模块520包括带有中央机械手528的第一加工架524和第二加工架526。后模块530包括带有后端机械手538的第一加工架534和第二加工架536。在一些实施方案中,后模块530中的加工架534和536可以被配置为用步进器或扫描仪540交换半导体晶片W。扫描仪540将沉积在半导体晶片上的光致抗蚀剂材料暴露于某种形式的电磁辐射(例如,EUV辐射)。
加工架514、516、524、526、534和536中的每一个可以包含竖直堆叠布置的多个加工模块。即,加工架514、516、524、526、534和536中的每一个可以包含多个堆叠的涂覆模块、热或烘烤模块、清洁模块、显影剂模块或适于执行光致抗蚀剂加工步骤的其他模块。举例而言,涂层模块可以沉积抗反射涂层或光致抗蚀剂层,热和烘烤模块可以执行烘烤/冷却操作,并且显影模块可以执行显影操作。轨道光刻系统500中的加工架可被修改以执行干法去除或集成以执行具有涂层、热或烘烤操作、清洁或其他光致抗蚀剂加工功能的干法去除。加工架可以暴露在大气条件下以进行干法去除。
在一些实施方案中,轨道光刻系统500还包括控制器550,其被配置为与扫描仪540通信,并且监视和控制在前端模块510、中央模块520和后模块530中执行的工艺的多个方面。控制器550的多个方面在下面进一步详细描述。
大气条件下的干法蚀刻工艺(例如,干法显影)可以在热处理室(例如烘烤板或烘箱)中进行。在一些实施方案中,热处理室可以额外地在光致抗蚀剂加工中执行PAB或PEB处理。在一些实施方案中,沉积和显影光致抗蚀剂的方法包括在与干法显影含金属EUV抗蚀剂相同的处理室中在高温下烘烤含金属EUV抗蚀剂。在一些实施方案中,沉积和显影光致抗蚀剂的方法包括在与从半导体衬底进行倒角边缘清洁含金属EUV抗蚀剂的处理室相同的处理室中在高温下烘烤含金属EUV抗蚀剂。
PAB或PEB热处理将衬底温度提高到高温,例如在约100℃和约170℃之间或在约120℃和约150℃之间。在一些实施方案中,可以使用诸如IR灯或一个或多个LED之类的辐射热源来控制衬底温度。辐射热源可以位于衬底下方。替代地,辐射热源可位于衬底上方。衬底温度可以由高温计在与辐射热源建立的反馈控制回路中主动控制。PAB或PEB热处理期间的气氛可通过使惰性气体(例如N2、Ar、He、Xe或Ne)流动来控制,其中惰性气体可以与O2和/或H2O混合。在一些实施方案中,PAB或PEB热处理期间的压强可以被控制为大气压或更低。在一些实施方案中,可以将PAB或PEB处理期间的压强控制到高于大气压。
在一些实施方案中,热处理室包括由高导电性金属(例如铝)制成的衬底支撑件,其可用于散布来自源的热量以提供对半导体衬底的加热。在一些实施方案中,热处理室包括烘烤板,该烘烤板具有嵌入烘烤板或与烘烤板热连通的一个或多个电阻加热元件。烘烤板可以包括多个加热区以控制各种区的温度。在一些实施方案中,一个或多个接近销或MCA支撑件可以被配置为调整半导体衬底在烘烤板的上表面上方的高度以控制衬底温度。在一些实施方案中,面板可位于烘烤板上方,其中面板温度可进一步提供对衬底温度的控制。
大气条件下的干法蚀刻工艺(例如,干法显影)可以在批量烘箱反应器中进行。批量烘箱反应器可以是用于一次处理多个衬底的立式烘箱并且可以在大的压强范围内操作。例如,这种立式烘箱可以在约50托和约765托之间,或者等于或大于约760托的压强下操作。在高于大气压下操作可能有助于避免氧气或空气造成的泄漏污染。
图6示出了根据一些实施方案的用于执行光致抗蚀剂的干法蚀刻的示例性批量立式烘箱的示意图。批量立式烘箱600可以是用于批量加工衬底606的立式烘箱。批量立式烘箱600可以包括用于保持多个衬底606的衬底支撑件620。如图6所示,可以支撑多个衬底606并相互堆叠。每个衬底606可由衬底支撑件620支撑并由重力保持。这允许数十或数百个衬底606在单次运行中通过批量立式烘箱600进行批量加工。批量立式烘箱600包括多个加热元件610,以使多个衬底606经受升高的温度。如图6所示,多个加热元件610可以围绕批量立式烘箱600的室壁602。然而,应当理解,多个加热元件610可以结合在衬底支撑件620中。在一些实施方案中,衬底支撑件620可以是用于保持多个衬底606中的每一个的多个板。在一些实施方案中,衬底支撑件620可以在诸如开槽支撑框架之类的边缘处支撑多个衬底606中的每一个。
批量立式烘箱600还包括一个或多个蚀刻气体入口630,其用于将蚀刻气体输送到由批量立式烘箱600的室壁602封闭的烘箱反应器中。一个或多个蚀刻气体入口630可以附加地或替代地输送用于沉积操作的前体气体或用于清扫操作的清扫气体。一个或多个蚀刻气体入口630可提供从一侧到另一侧或平行跨过多个衬底606的衬底表面的气流632。这促进了跨过衬底606的气流均匀性。多个衬底606中的每一个可以包括含金属EUV抗蚀剂。蚀刻气体可以与含金属EUV抗蚀剂反应以从多个衬底去除含金属EUV抗蚀剂的一个或多个部分。这可以发生在倒角边缘清洁工艺、干法显影或其他光致抗蚀剂加工操作中。
在一些实施方案中,批量立式烘箱600还包括马达650,该马达650被配置为旋转衬底支撑件620。这样,多个衬底606可以在暴露于高温和/或暴露于蚀刻气体的同时旋转。这减轻了可归因于气体入口和/或出口位置的潜在差异。在一些实施方案中,批量立式烘箱600还包括一个或多个气体出口640。一个或多个气体出口640可配置为从批量立式烘箱600去除蚀刻副产物和残留气体。在一些实施方案中,一个或多个气体出口640可以耦合到真空泵或排气扇。
在一些实施方案中,批量立式烘箱600的室壁602包含耐蚀刻气体的材料,特别是在蚀刻气体包括卤素基化合物(例如HBr、HCl或BCl3)的情况下。例如,室壁602的材料可以包括石英、陶瓷材料或低温聚合物材料,而不是更容易腐蚀的铝基材料。在一些实施方案中,低温聚合物材料可以是聚四氟乙烯(PTFE)。批量立式烘箱600可用于不需要低温、蚀刻反应物化学计量的严格控制或跨过衬底表面的气流均匀性的干法显影或其他光致抗蚀剂处理中。
大气条件下的干法蚀刻工艺(例如,干法显影)可以在单个或小批量缓冲模块中进行。这种缓冲模块可以提供受控气氛。受控气氛可用于加热步骤或冷却步骤。受控气氛可包括暴露于空气或环境气体,或受控气氛可包括暴露于氮气、水蒸气、二氧化碳、氩气、氦气或它们的组合。在一些实施方案中,受控气氛可以在大气压或高于大气压下操作。
缓冲模块可以保持一个或多个衬底,其中衬底可以包括含金属EUV抗蚀剂。单个或小批量缓冲模块可以包括一个或多个蚀刻气体入口,以用于将蚀刻气体输送到一个或多个衬底。蚀刻气体可以去除含金属EUV抗蚀剂的一个或多个部分。在一些实施方案中,缓冲模块可用于300mm晶片FOUP或存储箱中。缓冲模块可直接适用于执行缓慢和/或高温脱气操作以去除蚀刻副产物,例如挥发性含锡副产物。
大气条件下的干法蚀刻工艺(例如,干法显影)可以在晶片清洁室中进行。晶片清洁室可以配备一个或多个排放喷嘴,以将气体或液体输送到半导体衬底。在一些实施方案中,晶片清洁室可以具有被配置成旋转使得处理流体可以从旋转衬底的边缘向外驱动的衬底支撑件或卡盘。在一些实施方案中,衬底支撑件或卡盘可包括或可耦合至一个或多个加热元件以加热半导体衬底。加热半导体衬底通常可以促进冲洗液的蒸发。在一些实施方案中,晶片清洁站可以被配置用于半导体衬底的倒角边缘清洁。在一些实施方案中,晶片清洁站可以是无等离子体热处理站或室。在一些其他实施方案中,晶片清洁站可配备为产生直接或远程等离子体,以执行解吸、除渣和平滑操作。
在一些实施方案中,沉积和显影光致抗蚀剂的方法包括在与干法显影含金属EUV抗蚀剂相同的处理室中执行半导体衬底的倒角边缘清洁。在一些实施方案中,沉积和显影光致抗蚀剂的方法包括在与执行半导体衬底的倒角边缘清洁相同的处理室中干法显影含金属EUV抗蚀剂。
图7A和7B显示了根据一些实施方案的被配置用于执行光致抗蚀剂的干法蚀刻的晶片清洁站的示意图。在一些实施方案中,晶片清洁站700可以是旋转-漂洗-干洗站。晶片清洁站700包括衬底支撑件710、液体喷嘴714和气体喷嘴716。液体喷嘴714可安装在液体输送臂或可移动摆臂704上,使得液体喷嘴714安装在液体输送摆臂704的远端。气体喷嘴716可以安装在气体输送臂或可移动摆臂706上,使得气体喷嘴716安装在气体输送摆臂706的远端。如图7A和7B所示,喷嘴714、716都定位成使得液体或气体的输送将被引导到半导体衬底702的中心。处理流体可以从液体喷嘴714分配到半导体衬底702。在一些实施方案中,处理液可以作为水射流分配。在清洁操作期间,半导体衬底702可以旋转并且液体喷嘴714可以将处理流体输送到半导体衬底702,使得处理流体由于作用在处理流体上的离心力而被甩出半导体衬底702的边缘。液体喷嘴714可朝向半导体衬底702的边缘枢转。气体喷嘴716可帮助干燥半导体衬底702的表面。气体喷嘴716可枢转以使其沿轴线旋转,其中气体喷嘴716可以枢转远离半导体衬底702的中心。换句话说,气体喷嘴716可以朝向半导体衬底702的边缘径向向外移动。气体喷嘴716可以被配置用于其他移动方法,例如平移或旋转。液体喷嘴714和/或气体喷嘴716的定位可以通过控制器和反馈回路来控制。
晶片清洁站700可以被修改或集成以在大气条件下进行干法显影和/或干法倒角边缘清洁。具体而言,气体喷嘴716和气体输送臂706可用于输送蚀刻气体以用于光致抗蚀剂的显影或光致抗蚀剂的倒角边缘清洁。气体输送臂706是可移动的,以用于将气体喷嘴616精确定位在半导体衬底602的某些局部区域上。半导体衬底702上方的局部气体输送可以实现光致抗蚀剂的干法显影或倒角边缘清洁的更大均匀性。
图8A和8B示出了根据一些实施方案的被配置用于执行光致抗蚀剂干法蚀刻的晶片清洁和加热站的示意图。晶片清洁和加热站800可以被配置用于半导体衬底802的局部加热和用于将流体(例如,气体或液体)输送到半导体衬底802。旋转卡盘810可以被设计为保持和旋转半导体衬底802。在一些实施方案中,半导体衬底802由一系列夹持销816保持。夹持销816可以穿过板825中的开口,板825可以由石英或蓝宝石制成。板825可以固定到旋转卡盘810。当半导体衬底802定位在旋转卡盘810上时,它被保持在板825上方,使得半导体衬底802的下表面平行于板825并且与其间隔开小的间隙。在一些实施方案中,晶片清洁和加热站800还包括排气扇(未示出),其用于从晶片清洁和加热站800去除蚀刻副产物和其他残留气体。在一些实施方案中,晶片清洁和加热站800还包括用于将惰性或稀释气体输送到晶片清洁和加热站800中的气体源(未示出)。气体源可以将压强增加至高于大气压和/或将除空气之外的有用气体输送到半导体衬底802。
与旋转卡盘810相邻的是可移动摆臂830,可移动摆臂830安装成可围绕其驱动马达834进行枢转运动。可移动摆臂830被供应有排放流体,该排放流体通过其排放喷嘴832向下排放。可移动摆臂830可在实线所示的待机位置和虚线所示的中心位置之间移动。排放喷嘴832因此可以扫描半导体衬底802的整个半径,并且当半导体衬底802被旋转卡盘810旋转时,将排放流体分配到其整个面朝上的表面上。在本公开的一些实施方案中,排放流体包括用于干法显影含金属EUV抗蚀剂或倒角边缘清洁含金属EUV抗蚀剂的蚀刻气体。在本公开的一些实施方案中,晶片清洁和加热站800可以暴露于露天环境。例如,晶片清洁和加热站800中的压强可以介于约50托和约765托之间。在本公开的一些实施方案中,晶片清洁和加热站800可以处于受控气氛中。例如,受控气氛可包括暴露于大气压或高于大气压。受控气氛可包括暴露于惰性气体或稀释气体,例如氮气、水蒸气、二氧化碳、氩气、氦气或它们的组合。
在板825下方是加热组件850。加热组件850安装在固定柱820上,因此不旋转。加热组件850可以包括用于多个独立可控加热区的多个加热元件852。在一些实施方案中,多个加热元件852包括多个LED,例如蓝色LED。因此,加热组件850可以是辐射加热组件。多个加热元件852可以连接到控制器854,其中控制器854可以控制多个加热元件852的开启和关闭以及功率。在一些实施方案中,控制器854进一步与驱动马达834通信以定位可移动摆臂830。
如图8A所示,多个加热元件852可以是布置成若干同心圆的多个LED。例如,多个LED可以布置成二十个同心圆,每个圆是十六的倍数。因此,通过这种布置,每个同心圆可以各自被控制为单独的加热区。半导体衬底802可以被认为被划分为N个区1、2、3、4、...N,其对应于加热组件850的独立可控加热区的数量。这种布置允许对半导体衬底802进行快速局部加热。
对供应给加热组件850的独立可控加热区的功率的控制可以对应于排放喷嘴832的径向位置。因此,控制器854可以基于排放喷嘴832的径向位置控制对相关加热区的加热元件852的功率供应。
在一些实施方案中,排放喷嘴852可以定位在半导体衬底802的区域上方,以用于干法显影含金属EUV抗蚀剂。此外,加热组件850可以提供对半导体衬底802的区域的局部加热以促进含金属EUV抗蚀剂的干法显影。在一些实施方案中,可以在大气条件下执行晶片清洁和加热站800中的含金属EUV抗蚀剂的干法显影。
在一些实施方案中,排放喷嘴852可以定位在半导体衬底802的倒角边缘区域上方,以用于半导体衬底802的倒角边缘清洁。具体地,倒角边缘清洁使半导体衬底802的倒角边缘暴露于蚀刻气体以去除含金属EUV抗蚀剂。可以在各种温度下使用蚀刻气体去除含金属EUV抗蚀剂,但更高的温度可以加快蚀刻速率。在一些实施方案中,加热组件850可以在半导体衬底802的倒角边缘处提供局部加热以促进含金属EUV抗蚀剂的去除。在一些实施方案中,可以在大气条件下执行晶片清洁和加热站800中的含金属EUV抗蚀剂的倒角边缘清洁。
本公开的装置被配置用于EUV抗蚀剂的干法蚀刻。可以在大气条件下或在具有或不具有真空设备的处理室中执行干法蚀刻。在一些实施方案中,处理室是无等离子体热处理室。该装置可以被配置为执行其他处理操作,例如沉积、斜面和背面清洁、涂敷后烘烤、EUV扫描、暴露后烘烤、光致抗蚀剂再加工、除渣、平滑、固化和其他操作。在一些实施方案中,该装置被配置为执行所有干法操作。在一些实施方案中,该装置被配置为执行所有湿法操作。在一些实施方案中,该装置被配置为执行湿法操作和干法操作的组合。
图9描绘了根据一些实施方案的用于执行干法蚀刻的示例性处理站的示意图。多个处理站900可包含在共同的处理工具环境中。例如,图10描绘了多站式处理工具1000的实施方案,例如可购自Lam Research Corporation(Fremont,CA)的
Figure BDA0003804959340000331
处理工具。在一些实施方案中,处理站1000的一或更多硬件参数(包含以下所详细讨论者)可通过一或更多计算机控制器1050而以编程方式调整。
处理站可配置为集群工具中的模块。图9描绘了具有沉积以及图案化模块的半导体处理集群工具架构,其适用于进行本文所述的实施方案。这样的集群处理工具架构可包含抗蚀剂沉积、抗蚀剂处理、抗蚀剂倒角边缘清洁、抗蚀剂烘烤、抗蚀剂暴露(EUV扫描仪)、抗蚀剂干法显影以及蚀刻模块,如上文参考图4和5以及下文进一步参考图10描述。
在一些实施方案中,某些处理功能可在同一模块中连续地执行,例如干法显影和蚀刻。例如,干法显影和烘烤或干法显影和倒角边缘清洁可以在同一模块中进行。
回到图9,处理站900与反应物输送系统901流体连通,用于将工艺气体输送至分配喷头906。反应物输送系统901可选地包括混合容器904,其用于共混和/或调节工艺气体以输送至喷头906。一或更多混合容器入口阀920可控制工艺气体至混合容器904的引入。当使用等离子体暴露时,也可将等离子体输送至喷头906或可在处理站900中产生等离子体。如上所述,在至少一些实施方案中,非等离子体的热暴露是有利的。
图9包括可选的汽化点903,用于将待供应至混合容器904的液体反应物汽化。在一些实施方案中,可设置汽化点903上游的液体流量控制器(LFC)以控制用于汽化及输送至处理站900的液体的质量流量。例如,LFC可包括位于LFC下游的热质量流量计(MFM)。接着,可调整LFC的柱塞阀,以响应于由比例-积分-微分(PID)控制器(与MFM电气连接)所提供的反馈控制信号。
喷头906将工艺气体朝向衬底912分配。在图9所示的实施方案中,衬底912位于喷头906下方,并且显示为置于基座908上。喷头906可具有任何适当的形状,并且可具有任何适当数目和配置的通口,以将工艺气体分配至衬底912。
在一些实施方案中,基座908可以升高或降低以暴露衬底912给衬底912和喷头906之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器950通过编程方式进行调节。
在一些实施方案中,基座908可以通过加热器910进行温度控制。在一些实施方案中,在EUV抗蚀剂非等离子热暴露于干法显影化学品或干洗化学品期间,基座908可以被加热到大于50℃和高达300℃或更高的温度,例如50℃至230℃,例如约100℃至200℃。
此外,在一些实施方案中,对于处理站900的压力控制可任选地由蝶形阀918提供。如在图9的实施方案中所示,蝶形阀918对由下游真空泵(未示出)提供的真空进行调节。然而,在一些实施方案中,处理站900可处于没有真空设备的露天环境中。处理站900可以执行光致抗蚀剂处理操作,例如干法显影、烘烤和/或干法倒角边缘清洁。
在一些实施方案中,喷头906的位置可以相对于基座908调节以改变衬底912和喷头906之间的体积。此外,应当理解的是,基座908和/或喷头906的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座908可包含用于旋转衬底912的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器950以编程方式执行。
在可使用等离子体时,例如在温和的基于等离子体的干法显影实施方案和/或在相同室中实施的蚀刻操作中,喷头906和基座908电连接射频(RF)功率源914和匹配网络916来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源914和匹配网络916可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组成的等离子体。合适功率的示例最多至约500W。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器950的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,配方阶段可包括用于设定蚀刻气体(例如含卤素化合物)的流率的指令、以及用于配方阶段的时间延迟指令。在一些实施方案中,控制器950可包括下述关于图10的系统控制器1050的任何特征。
如上所述,一个或多个处理站可以包括在多站处理工具中。图10描绘了适用于实施本文所述的各种光致抗蚀剂加工操作的示例性多站处理工具1000的示意图。图10示出了具有入站装载锁1002和出站装载锁1004的多站加工工具1000的实施方案,入站装载锁1002和出站装载锁1004的一者或者两者可以任选地包含远程等离子体源。处于大气压的机械手1006被配置为将晶片从通过舱1008装载的盒经由大气端口1010移动至入站装载锁1002内。晶片由机械手1006放置在入站装载锁1002中的基座1012上,关闭大气端口1010,且抽空装载锁。当入站装载锁1002包含远程等离子体源时,晶片在被引入处理室1014之前,可以暴露至装载锁中的远程等离子体处理以处理衬底表面。此外,衬底另外也可以在入站装载锁1002中加热,例如以移除湿气和吸附的气体。接下来,通向处理室1014的室传输端口1016被打开,且另一个机械手(未示出)将衬底放置到在反应器中被示出的第一站的基座上的反应器中以用于加工。尽管在图10中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站中。
绘出的处理室1014包含4个处理站,图10所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为1018)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在干法显影与蚀刻处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室1014可以包含一个或多个干法显影与蚀刻处理站的匹配对。尽管绘出的处理室1014包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有五个或更多的站,而在其它实施方案中,处理室可以具有三个或者更少的站。
图10描绘了用于在处理室1014内传输衬底的衬底搬运系统1090的一些实施方案。在一些实施方案中,衬底搬运系统1090可以在各种处理站之间和/或处理站与装载锁之间传输衬底。应该理解的是,可以采用任何适当的衬底搬运系统。非限制性示例包含衬底转盘和搬运衬底的机械手。图10还绘出了采用来控制处理工具1000的处理条件和硬件状态的系统控制器1050的实施方案。系统控制器1050可以包含一个或多个存储器设备1056、一个或多个海量存储设备1054和一个或多个处理器1052。处理器1052可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器1050控制处理工具1000的所有活动。系统控制器1050执行存储在海量存储设备1054、载入存储器设备1056、以及在处理器1052上执行的系统控制软件1058。可替代地,控制逻辑可以在控制器1050中硬编码。特定应用集成电路、可编程逻辑设备(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件1058可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、衬底温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具1000执行的特定工艺的其它参数的指令。系统控制软件1058可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具工艺的处理工具组件的操作。系统控制软件1058可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件1058可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器1050关联的、存储在海量存储设备1054和/或存储器设备1056的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座1018,并控制衬底和处理工具1000的其它部分之间的间隔。
工艺气体控制程序可包含用于控制各种气体组成(例如,含卤素化合物)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以便稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器1050相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如点击设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器1050调节的参数与工艺条件相关。非限制性实例包含工艺气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器1050的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具1000的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
系统控制器1050可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本文所描述的多种实施方案操作沉积、烘烤、倒角边缘清洁、暴露、显影、蚀刻、以及其他光致抗蚀剂加工操作。
系统控制器1050将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的加工操作的指令的机器可读的介质可以耦合到系统控制器1050。
在一些实现方案中,系统控制器1050是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理条件和/或系统的类型,系统控制器1050可以被编程以控制本文公开的任何工艺,包含控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器1050可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器1050的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实施方案中,系统控制器1050配置有用于处理半导体衬底的指令。该指令包括用于以下的代码:在处理室中在半导体衬底上提供含金属EUV抗蚀剂,以及通过蚀刻气体分配器或蚀刻气体输送喷嘴将蚀刻气体输送到半导体衬底以在大气条件下从半导体衬底干法蚀刻含EUV抗蚀剂的一个或多个部分。在一些实施方案中,在大气条件下干法蚀刻含金属EUV抗蚀剂的一个或多个部分包括通过相对于含金属EUV抗蚀剂的暴露部分选择性地去除含金属EUV抗蚀剂的未暴露部分来干法显影含金属EUV抗蚀剂。在一些实施方案中,在大气条件下干法蚀刻含金属EUV抗蚀剂的一个或多个部分包括从半导体衬底的倒角边缘干洗含金属EUV抗蚀剂。
在一些实现方案中,系统控制器1050可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或者与该计算机耦合。例如,系统控制器1050可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器1050接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器1050被配置成连接或控制该工具类型。因此,如上所述,系统控制器1050可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例性系统可以包含等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描仪)或模块、干法显影室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器1050可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
现在描述感应耦合式等离子体(ICP)反应器,在某些实施方案中,其可适用于蚀刻操作,蚀刻操作适用于某些实施方案的实施。虽然本文描述ICP反应器,但应理解,在一些实施方案中,还可使用电容耦合式等离子体反应器。
图11显示了用于实施本文所述的某些实施方案和操作的示例性电感耦合等离子体装置的横截面示意图。该装置可用于各种光致抗蚀剂加工操作,例如干法显影和/或倒角边缘清洁,该装置的示例为由Lam Research Corp.(Fremont,CA)所生产的
Figure BDA0003804959340000401
反应器。在其他实施方案中,可使用具有执行干法显影和/或倒角边缘清洁的功能的其他工具或工具类型以供实施。
感应耦合式等离子体装置1100包括整体处理室1124,其在结构上由室壁1101和窗1111限定。室壁1101可以由不锈钢或铝制成。窗1111可以由石英或其他介电材料制成。任选的内部等离子体栅格1150将总处理室分为上副室1102和下副室1103。在大多数的实施方案中,等离子体栅格1150可以被移除,从而利用由副室1102和1103两者构成的室空间。卡盘1117定位在下副室1103中在底部内表面附近。卡盘1117被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片1119。卡盘1117可以是当晶片1119存在时用于支撑晶片1119的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘1117,并具有大致与晶片1119(当晶片存在于卡盘1117上方时)的顶面在同一平面的上表面。卡盘1117还包括用于夹紧和松开晶片1119的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片1119使其离开卡盘1117。卡盘1117可以用RF电源1123充电。RF电源1123通过连接件1127被连接到匹配电路1121。匹配电路1121通过连接件1125连接到卡盘1117。以这种方式,RF电源1123被连接到卡盘1117上。在多种实施方案中,可将静电卡盘的偏压电源设定为约50V,或取决于根据所公开的实施方案所执行的处理而设定为不同的偏压电源。例如,偏压电源可在约20Vb与约100V之间、或在约30V与约150V之间。
用于等离子体产生的元件包括位于窗1111上方的线圈1133。在一些实施方案中,所公开的实施方案中未使用线圈。线圈1133由导电材料制成,并包括至少一整匝。在图11中所示的线圈1133的示例包括三匝。线圈1133的横截面用符号示出,具有“X”符号的线圈表示线圈旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈1133的RF电源1141。一般地,RF电源1141通过连接件1145被连接到匹配电路1139。匹配电路1139通过连接件1143连接到线圈1133。以这种方式,RF电源1141被连接到线圈1133。任选的法拉第屏蔽件1149a被定位在线圈1133和窗1111之间。法拉第屏蔽件1149a可以以相对于线圈1133成隔开的关系被保持。在一些实施方案中,法拉第屏蔽件1149a被设置在窗1111的正上方。在一些实施方案中,法拉第屏蔽件1149b是在窗1111与卡盘1117之间。在一些实施方案中,法拉第屏蔽件1149b与线圈1133并非维持相隔开的关系。例如,法拉第屏蔽件1149b可直接在窗1111下方而没有间隙。线圈1133、法拉第屏蔽件1149a、以及窗1111中的每一者被配置为彼此实质上平行。法拉第屏蔽件1149a可防止金属或其它物质沉积于处理室1124的窗1111上。
工艺气体可以通过位于上副室1102中的一个或多个主气体流入口1160和/或通过一个或多个侧气体流入口1170流入处理室中。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应工艺气体。真空泵,例如,一级或两级干法机械泵和/或涡轮分子泵1140,可用于将工艺气体从处理室1124抽出并维持处理室1100内的压强。例如,该真空泵可用于在ALD清扫操作过程中排空下副室1103。阀控制的导管可用于使真空泵流体连接在处理室1124上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在装置1100的操作过程中,一种或多种工艺气体可通过气体流入口1160和/或1170供给。在某些实施方案中,工艺气体可以仅通过主气体流入口1160供给,或者仅通过侧气体流入口1170供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件1149a和/或任选的栅格1150可以包括使工艺气体能输送至处理室1124的内部通道和孔。法拉第屏蔽件1149和任选的栅格1150中的一者或两者可以作为用于输送工艺气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室1124的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口1160和/或1170引入到处理室1124中。
射频功率从RF电源1141供给到线圈1133以使RF电流流过线圈1133。流过线圈1133的RF电流产生围绕线圈1133的电磁场。该电磁场产生在上副室1102内的感应电流。所生成的各离子和自由基与晶片1119的物理和化学相互作用蚀刻晶片1119的特征并且选择性地在该晶片上沉积层。
如果使用等离子体栅格1150使得存在上副室1102和下副室1103二者,则感应电流作用于存在于上副室1102中的气体上以在上副室1102中产生电子-离子等离子体。任选的内部等离子体栅格650限制下副室1103中的热电子的量。在一些实施方案中,设计和操作所述装置1100使得存在于下副室1103中的等离子体是“离子-离子”等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口1122从下副室1103去除。本文所公开的卡盘1117可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
装置1100当安装在超净室或制造厂中时可耦合到设施(未示出)。这样的设施包括管道,管道提供工艺气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到装置1100。此外,装置1100可耦合在传送室上,从而允许使用例如典型的自动化由机械手传送半导体晶片进出装置1100。
在一些实施方案中,系统控制器1130(其可以包括一个或多个物理或逻辑控制器)控制处理室1124的操作中的一些或全部。系统控制器1130可以包括一个或多个存储器设备和一个或多个处理器。在一些实施方案中,该装置1100包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施方案中,该装置1100可具有高达约600ms或高达约750ms的切换时间。切换时间可以取决于流动化学品、配方选择、反应器架构以及其他因素。
在一些实现方案中,系统控制器或控制器1130是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以集成到控制器1130中,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,系统控制器1130可以被编程以控制本文公开的任何工艺,包括控制工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义而言,控制器1130可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造或去除期间完成一个或多个处理步骤。
在一些实现方式中,系统控制器1130可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器630接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器630可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描仪)或模块、干法光刻室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、集群工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
EUVL图案化的实施可利用任何合适的工具,其通常被称为扫描仪,例如由ASML(Veldhoven,NL)所提供的TWINSCAN NXE:
Figure BDA0003804959340000451
平台。EUVL图案化工具可以是独立的设备,衬底被移入其中或自其移出以用于本文所述的沉积与蚀刻。或者,如下所述,EUVL图案化工具可以是在较大的多构件工具上的模块。图12描绘了适用于实施本文所述的工艺的半导体处理集群工具架构,其具有与传送模块对接的沉积和图案化模块。虽然可在缺少真空整合装置的情况下进行这些工艺,但这样的装置在某些实现方案中可能是有利的。
图12描绘了适用于实施本文所述的工艺的半导体处理集群工具架构,其具有与传送模块对接的沉积和图案化模块。用于在多个储存装置与处理模块之间“传送”晶片的传送模块的布置可称为“集群工具架构”系统。根据特定处理的需求,沉积以及图案化模块是真空整合式的。在该集群上还可包括其它模块(例如用于蚀刻)。
真空传送模块(VTM)1238与四个处理模块1220a-1220d对接,其可各自进行优化以执行各种制造处理。作为一示例,处理模块1220a-1220d可用于执行沉积、蒸发、ELD、干法显影、蚀刻、剥除、和/或其它半导体处理。例如,模块1220a可以是ALD反应器,其可操作以在本文所述的非等离子体的热原子层沉积中执行,例如可购自Lam Research Corporation(Fremont,CA)的Vector工具。模块1220b可以是PEALD工具(例如Lam
Figure BDA0003804959340000461
)。应理解,图未必按比例绘制。
气锁1242及1246(亦称为装载锁或传送模块)与VTM 1238以及图案化模块1240对接。例如,如上所述,合适的图案化模块可为TWINSCAN NXE:
Figure BDA0003804959340000462
平台(由ASML(Veldhoven,NL)提供)。此工具架构容许工件(例如半导体衬底或晶片)在真空下传送,以便不在暴露之前反应。沉积模块与光刻工具的整合通过以下事实促成:考虑到环境气体(例如H2O、O2等)对于入射光子的强烈光学吸收性,EUVL还需要大幅降低的压力。
如上所述,该整合架构仅为用于所述处理的工具的一可能实施方案。这些处理的实行也可使用更为常规的独立EUVL扫描仪以及沉积反应器(例如Lam Vector工具)作为模块,其为独立的或与其它工具(例如蚀刻、剥除等(例如Lam Kiyo或Gamma工具))一同整合于集群架构中,例如参考图12所述的(但没有整合的图案化模块)。
气锁1242可以是“输出”装载锁,这是指将衬底从供沉积模块1220a使用的VTM1238传出至图案化模块1240,而气锁1246可以是“输入”装载锁,这是指将衬底从图案化模块1240传送回VTM 1238。输入装载锁1246也可提供至工具外部的接合部,以用于衬底的进出。每一处理模块具有将该模块对接至VTM 1238的小面(facet)。例如,沉积处理模块1220a具有小面1236。在每一小面内,传感器(例如,图中所示的传感器1-18)用于,当晶片1226在相应的站与站之间移动时,检测晶片的通过。图案化模块1240及气锁1242、1246可类似地装配有额外的小面以及传感器(未显示)。
主要VTM机械手1222在模块(包括气锁1242及1246)之间传送晶片1226。在一实施方案中,机械手1222具有一个臂,而在另一实施方案中,机械手1222具有两个臂,其中每一个臂具有末端执行器1224以拾取晶片(例如晶片1226)而进行输送。前端机械手1244用于将晶片1226从输出气锁1242传送至图案化模块1240中、从图案化模块1240传送至输入气锁1246中。前端机械手1244也可在输入装载锁与工具外部之间输送晶片1226,以用于衬底的进出。由于输入气锁模块1246能够匹配在大气与真空之间的环境,所以晶片1226能在这两个压力环境之间移动而不会受损。
应当注意,相比于沉积工具,EUVL工具通常在较高的真空下操作。如果情况是如此,则期望在由沉积传送至EUVL工具期间增加衬底的真空环境,以容许衬底在进入图案化工具之前进行除气。输出气锁1242可提供此功能,通过将所传送的晶片维持在较低压力(不高于图案化模块1240中的压力)一段时间并抽空任何离去气体(off-gassing),使得图案化工具1240的光学组件不会被来自衬底的离去气体所污染。输出离去气体气锁的合适压力不超过1E-8托。
图13和14提供了替代加工工具架构的配置,例如可以至少部分地在可用于某些实施方案的能从Lam Research Corporation(Fremont,CA)获得的EOS或Sense.i产品中实现。如图13和14所示,抗蚀剂加工轨迹可用于实现干法沉积EUV光致抗蚀剂的湿法显影。可以使用如图13和14所示的集群架构来执行本文描述的各种实施方案。
结论
公开了用于干法蚀刻金属和/或金属氧化物光致抗蚀剂例如以在EUV图案化的情况下形成图案化掩模的工艺和装置。
应当理解,本文描述的示例和实施方案仅用于说明目的,并且本领域技术人员将根据其提出各种修改或改变。尽管为清楚起见省略了各种细节,但可以实施各种设计替代方案。因此,本示例应被认为是说明性的而非限制性的,并且本公开不限于在此给出的细节,而是可以在本公开的范围内进行修改。

Claims (30)

1.一种装置,其包括:
处理室,其中所述处理室暴露于大气条件;
衬底支撑件,其用于将所述半导体衬底保持在所述处理室中;
加热组件,其面向在所述衬底支撑件上的所述半导体衬底,其中所述加热组件包括多个加热元件;以及
蚀刻气体输送喷嘴,其定位在所述衬底支撑件上方,其中所述蚀刻气体输送喷嘴能移动以将蚀刻气体的输送定位到所述半导体衬底上方的位置。
2.根据权利要求1所述的装置,其中所述半导体衬底包含含金属EUV抗蚀剂,其中所述含金属EUV抗蚀剂的一个或多个部分能被所述蚀刻气体去除。
3.根据权利要求1所述的装置,其中暴露于大气条件的所述处理室暴露于介于约50托和约765托之间的大气压强。
4.根据权利要求1所述的装置,其中暴露于大气条件的所述处理室暴露于受控气氛,其中暴露于所述受控气氛包括暴露于大于约760托的室压强和/或暴露于氮气、水蒸气、二氧化碳、氩气、氦气或其组合。
5.根据权利要求1所述的装置,其中,所述多个加热元件包括多个LED,所述多个LED被布置在多个独立可控的加热区中。
6.根据权利要求1所述的装置,其中所述蚀刻气体输送喷嘴耦合到可移动摆臂,所述可移动摆臂被配置为将所述蚀刻气体输送喷嘴从所述半导体衬底的中心到边缘定位。
7.根据权利要求1所述的装置,其中,所述衬底支撑件被配置为旋转所述半导体衬底。
8.根据权利要求1所述的装置,其还包括:
控制器,其被配置有用于加工所述半导体衬底的指令,所述指令包括用于下述操作的代码:
在所述处理室中在所述半导体衬底上提供含金属EUV抗蚀剂;以及
经由所述蚀刻气体输送喷嘴将所述蚀刻气体输送至所述半导体衬底,以在大气条件下从所述半导体衬底干法蚀刻所述含金属EUV抗蚀剂的一个或多个部分。
9.根据权利要求8所述的装置,其中在大气条件下干法蚀刻所述含金属EUV抗蚀剂的所述一个或多个部分包括:通过相对于所述含金属EUV抗蚀剂的已暴露部分选择性去除所述含金属EUV抗蚀剂的未暴露部分来干法显影所述含金属EUV抗蚀剂。
10.根据权利要求8所述的装置,其中在大气条件下干法蚀刻所述含金属EUV抗蚀剂的所述一个或多个部分包括:从所述半导体衬底的倒角边缘干法清洁所述含金属EUV抗蚀剂。
11.根据权利要求1-10中任一项所述的装置,其还包括:
排气扇,其被配置成去除所述处理室中的蚀刻副产物和其他残留气体。
12.根据权利要求1-10中任一项所述的装置,其还包括:
气体源,其耦合到所述处理室,以用于将惰性气体和/或稀释气体输送到所述处理室。
13.根据权利要求1-10中任一项所述的设备,其中,所述处理室是无等离子体热处理室。
14.一种装置,其包括:
处理室,其中所述处理室暴露于大气条件;
用于支撑半导体衬底并且包括多个加热区的烘烤板,所述多个加热区中的每一个包括一个或多个加热元件;以及
蚀刻气体分配器,其位于所述烘烤板上方,其中所述蚀刻气体分配器被配置为将蚀刻气体输送到所述半导体衬底。
15.根据权利要求14所述的装置,其中所述半导体衬底包含含金属EUV抗蚀剂,其中所述含金属EUV抗蚀剂的一个或多个部分能被所述蚀刻气体去除。
16.根据权利要求14所述的装置,其中暴露于大气条件的所述处理室暴露于介于约50托和约765托之间的大气压强。
17.根据权利要求14所述的装置,其中暴露于大气条件的所述处理室暴露于受控气氛,其中暴露于所述受控气氛包括暴露于大于约760托的室压强和/或暴露于氮气、水蒸气、二氧化碳、氩气、氦气或其组合。
18.根据权利要求14所述的装置,其中,所述烘烤板包括上表面和多个最小接触面积(MCA)支撑件,以将所述半导体衬底支撑在所述烘烤板的所述上表面上方的一定高度处。
19.根据权利要求14-18中任一项所述的装置,其中所述蚀刻气体分配器包括具有面对所述半导体衬底的面板的喷头,其中所述面板包括用于将所述蚀刻气体输送到所述半导体衬底的多个通孔。
20.根据权利要求14-18中任一项所述的装置,其中,所述处理室是无等离子体热处理室。
21.根据权利要求14-18中任一项所述的装置,其还包括:
控制器,其被配置有用于加工所述半导体衬底的指令,所述指令包括用于下述操作的代码:
在所述处理室中在所述半导体衬底上提供含金属EUV抗蚀剂;以及
经由所述蚀刻气体分配器将所述蚀刻气体输送至所述半导体衬底,以在大气条件下从所述半导体衬底干法蚀刻所述含金属EUV抗蚀剂的一个或多个部分。
22.一种装置,其包括:
烘箱反应器,其具有用于保持多个半导体衬底的衬底支撑件;
多个加热元件,其用于将所述多个半导体衬底加热到高温;以及
一个或多个蚀刻气体入口,其用于将蚀刻气体输送到所述烘箱反应器中,其中所述一个或多个蚀刻气体入口被配置为将蚀刻气体输送到所述多个半导体衬底。
23.根据权利要求22所述的装置,其中所述烘箱反应器暴露于大气条件。
24.根据权利要求23所述的装置,其中大气条件包括暴露于受控气氛,其中所述一个或多个蚀刻气体入口还被配置为输送氮气、水蒸气、二氧化碳、氩气、氦气或其组合以提供所述受控气氛。
25.根据权利要求22所述的装置,其还包括:
一个或多个气体出口,其用于从所述烘箱反应器中去除蚀刻副产物和其他残留气体;以及
用于旋转所述衬底支撑件的马达。
26.根据权利要求22-25中任一项所述的装置,其中,所述烘箱反应器的室壁包括石英、陶瓷材料或低温聚合物材料。
27.根据权利要求22-25中任一项所述的装置,其中所述多个半导体衬底中的每一个包含含金属EUV抗蚀剂,其中所述含金属EUV抗蚀剂的一个或多个部分能被所述蚀刻气体去除。
28.一种轨道光刻系统,其包括:
盒安装部分,其中,所述盒安装部分包括用于接收和返回半导体衬底的一个或多个晶舟;
加工部分,其中,所述加工部分包括用于所述半导体衬底的光致抗蚀剂加工的多个处理站,其中所述多个处理站包括:
沉积室,其用于在所述半导体衬底上沉积含金属EUV抗蚀剂;
热处理室;以及
衬底清洁室,其中所述多个处理站中的至少一个暴露于大气条件并且被配置为从所述半导体衬底执行干法去除所述含金属EUV抗蚀剂的一个或多个部分;和
暴露部分,其中所述暴露部分包括用于将所述半导体衬底暴露于EUV辐射的扫描仪;以及
接口部分,其用于在所述加工部分和所述暴露部分之间传送所述半导体衬底。
29.根据权利要求28所述的轨道光刻系统,其中,所述多个处理站还包括:
六甲基二硅氮烷(HMDS)处理室,其用于处理所述半导体衬底的表面,其中所述HMDS处理室被配置为在大气条件下执行所述含金属EUV抗蚀剂的一个或多个部分的干法去除。
30.根据权利要求28所述的轨道光刻系统,其中所述多个处理站中的所述至少一个包括用于保持所述半导体衬底的衬底支撑件和用于将蚀刻气体输送到所述半导体衬底的气体分配器,其中所述含金属EUV抗蚀剂的所述一个或多个部分能通过所述蚀刻气体去除。
CN202180015520.8A 2020-11-13 2021-11-09 用于干法去除光致抗蚀剂的处理工具 Pending CN115152008A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211142175.7A CN115598943A (zh) 2020-11-13 2021-11-09 用于干法去除光致抗蚀剂的处理工具

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198804P 2020-11-13 2020-11-13
US63/198,804 2020-11-13
PCT/US2021/058647 WO2022103764A1 (en) 2020-11-13 2021-11-09 Process tool for dry removal of photoresist

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202211142175.7A Division CN115598943A (zh) 2020-11-13 2021-11-09 用于干法去除光致抗蚀剂的处理工具

Publications (1)

Publication Number Publication Date
CN115152008A true CN115152008A (zh) 2022-10-04

Family

ID=81602525

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202180015520.8A Pending CN115152008A (zh) 2020-11-13 2021-11-09 用于干法去除光致抗蚀剂的处理工具
CN202211142175.7A Pending CN115598943A (zh) 2020-11-13 2021-11-09 用于干法去除光致抗蚀剂的处理工具

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202211142175.7A Pending CN115598943A (zh) 2020-11-13 2021-11-09 用于干法去除光致抗蚀剂的处理工具

Country Status (6)

Country Link
US (2) US20230107357A1 (zh)
JP (2) JP2023520391A (zh)
KR (1) KR20230152171A (zh)
CN (2) CN115152008A (zh)
TW (2) TWI811842B (zh)
WO (1) WO2022103764A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
DE102022206124A1 (de) * 2022-06-20 2023-12-21 Carl Zeiss Smt Gmbh Vorrichtung und verfahren zum bearbeiten einer oberfläche eines optischen elements einer lithographieanlage
US20240096622A1 (en) * 2022-09-21 2024-03-21 Tokyo Electron Limited Method and Apparatus for In-Situ Dry Development
US20240160100A1 (en) * 2022-11-14 2024-05-16 Applied Materials, Inc. Integrated solution with low temperature dry develop for euv photoresist
CN117075442B (zh) * 2023-08-24 2024-04-26 上海图双精密装备有限公司 一种基于彩胶工艺的光刻机标记识别方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62160981A (ja) * 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
US5094936A (en) * 1988-09-16 1992-03-10 Texas Instruments Incorporated High pressure photoresist silylation process and apparatus
JPH08339950A (ja) * 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
DE69936727D1 (de) * 1998-09-16 2007-09-13 Applied Materials Inc Verfahren zum aufbringen von silizium mit hoher rate bei niedrigen druck
EP1405336A2 (en) * 2000-12-04 2004-04-07 Ebara Corporation Substrate processing method
US20050142885A1 (en) * 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
JP4530933B2 (ja) * 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
JP5003773B2 (ja) * 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
JP5572560B2 (ja) * 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
CN103866365A (zh) * 2012-12-11 2014-06-18 诺发系统公司 电镀填充真空电镀槽
US9411237B2 (en) * 2013-03-14 2016-08-09 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20160086864A1 (en) * 2014-09-24 2016-03-24 Lam Research Corporation Movable gas nozzle in drying module
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
US11947262B2 (en) * 2020-03-02 2024-04-02 Inpria Corporation Process environment for inorganic resist patterning
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists

Also Published As

Publication number Publication date
US20230107357A1 (en) 2023-04-06
TW202405583A (zh) 2024-02-01
CN115598943A (zh) 2023-01-13
JP2023520391A (ja) 2023-05-17
WO2022103764A1 (en) 2022-05-19
JP2023174888A (ja) 2023-12-08
TW202234180A (zh) 2022-09-01
TWI811842B (zh) 2023-08-11
US20240036483A1 (en) 2024-02-01
KR20220130783A (ko) 2022-09-27
KR20230152171A (ko) 2023-11-02

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20230107357A1 (en) Process tool for dry removal of photoresist
EP3990986A1 (en) Dry chamber clean of photoresist films
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
WO2022125388A1 (en) Photoresist development with organic vapor
KR102673863B1 (ko) 포토레지스트의 건식 제거를 위한 프로세스 툴
TW202414121A (zh) 光阻乾式移除用的處理工具
WO2023009364A1 (en) Rework of metal-containing photoresist
KR20230159895A (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
CN118159914A (zh) 用于阻止蚀刻停止的金属氧化物基光致抗蚀剂的循环显影

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination