KR20220130783A - 포토레지스트의 건식 제거를 위한 프로세스 툴 - Google Patents

포토레지스트의 건식 제거를 위한 프로세스 툴 Download PDF

Info

Publication number
KR20220130783A
KR20220130783A KR1020227029421A KR20227029421A KR20220130783A KR 20220130783 A KR20220130783 A KR 20220130783A KR 1020227029421 A KR1020227029421 A KR 1020227029421A KR 20227029421 A KR20227029421 A KR 20227029421A KR 20220130783 A KR20220130783 A KR 20220130783A
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
metal
process chamber
chamber
dry
Prior art date
Application number
KR1020227029421A
Other languages
English (en)
Other versions
KR102673863B1 (ko
Inventor
드라이스 딕투스
티모시 윌리엄 와이드먼
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237036296A priority Critical patent/KR20230152171A/ko
Publication of KR20220130783A publication Critical patent/KR20220130783A/ko
Application granted granted Critical
Publication of KR102673863B1 publication Critical patent/KR102673863B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

금속-함유 극자외선 (EUV) 포토레지스트의 건식 현상 또는 건식 제거는 대기 조건들에서 수행되거나 진공 장비 없이 프로세스 툴들에서 수행된다. 금속-함유 EUV 포토레지스트의 건식 제거는 대기압 하 또는 대기압 이상에서 수행될 수도 있다. 금속-함유 EUV 포토레지스트의 건식 제거는 대기 분위기 (air enviro㎚ent) 에 노출되거나 비산화 가스들을 사용하여 수행될 수도 있다. 프로세스 챔버 또는 모듈은 소성, 웨이퍼 세정, 웨이퍼 처리, 또는 다른 포토레지스트 프로세싱 기능을 사용하여 금속-함유 EUV 포토레지스트의 건식 제거를 수행하도록 수정되거나 통합될 수도 있다. 일부 실시 예들에서, 금속-함유 EUV 포토레지스트의 건식 제거를 위한 프로세스 챔버는 반도체 기판의 국부화된 가열을 위한 가열 어셈블리 및 반도체 기판 위의 국부화된 가스 전달을 위한 이동식 배출 노즐을 포함한다.

Description

포토레지스트의 건식 제거를 위한 프로세스 툴
집적 회로들과 같은 반도체 디바이스들의 제조는 포토리소그래피 (photolithography) 를 수반하는 다단계 프로세스이다. 일반적으로, 프로세스는 웨이퍼 상에 재료의 증착, 및 반도체 디바이스의 구조적 피처들 (예를 들어, 트랜지스터들 및 회로) 을 형성하기 위해 리소그래픽 기법들을 통해 재료를 패터닝하는 것을 포함한다. 당업계에 공지된 통상적인 포토리소그래피 프로세스의 단계들은: 기판을 준비하는 단계; 스핀 코팅에 의해서와 같이 포토레지스트를 도포하는 단계; 포토레지스트의 노출된 영역들로 하여금 현상 용액에 보다 큰 또는 보다 덜 용해성 (soluble) 이 되게 하도록, 목표된 패턴의 광에 포토레지스트를 노출하는 단계; 포토레지스트의 노출된 영역들 또는 비노출 (unexposed) 영역들을 제거하기 위해 현상 용액을 도포함으로써 현상하는 단계; 및 에칭 또는 재료 증착에 의해서와 같이 포토레지스트가 제거된 기판의 영역들 상에 피처들을 생성하기 위한 후속 프로세싱 단계를 포함한다.
반도체 설계의 진화는 반도체 기판 재료들로 훨씬 보다 작은 피처들을 생성할 필요성을 생성하였고, 능력에 의해 구동되었다. 이 기술의 진보는 치밀 집적 회로들에서 트랜지스터들의 밀도가 2 년마다 두 배가 되는 "Moore의 법칙"으로 특징지어진다. 사실, 칩 설계 및 제작은 최신 마이크로프로세서들이 단일 칩 상에 수십억 개의 트랜지스터들 및 다른 회로 피처들을 포함할 수도 있도록 발전되었다. 이러한 칩들 상의 개별적인 피처들은 대략 22 나노미터 (㎚) 이하, 일부 경우들에서 10 ㎚ 미만일 수도 있다.
이러한 작은 피처들을 갖는 디바이스를 제조하는 것의 일 과제는 충분한 분해능을 갖는 포토리소그래피 마스크들을 신뢰성 있고 재생 가능하게 생성하는 능력이다. 현재 포토리소그래피 프로세스들은 통상적으로 포토레지스트를 노출하기 위해 통상적으로 193 ㎚ 자외선 광 (UV light) 을 사용한다. 광이 반도체 기판 상에 생성될 목표된 사이즈의 피처들보다 훨씬 보다 큰 파장을 갖는다는 사실은 고유의 문제들을 생성한다. 광의 파장보다 작은 피처 사이즈들을 달성하는 것은 멀티패터닝과 같은 복잡한 분해능 향상 기법들의 사용을 필요로 한다. 따라서, 10 ㎚ 내지 15 ㎚, 예를 들어, 13.5 ㎚의 파장을 갖는 극자외선 (Extreme Ultraviolet Radiation; EUV) 과 같은 보다 짧은 파장 광을 사용하는 포토리소그래피 기법들의 개발에 상당한 관심 및 연구 노력이 있다.
그러나, EUV 포토리소그래피 프로세스들은 낮은 전력 출력 및 패터닝 동안 광의 손실을 포함하는 문제들을 제시할 수 있다. 193 ㎚ UV 리소그래피에 사용된 것들과 유사한 종래의 유기 CAR (Chemically Amplified Resists) 은 EUV 리소그래피에 사용될 때, 특히 EUV 영역에서 낮은 흡수 계수들을 갖고, 광-활성화된 화학 종의 확산은 블러 (blur) 또는 라인 에지 거칠기를 발생시킬 수 있기 때문에 잠재적인 결점들을 갖는다. 또한, 하부 디바이스 층들을 패터닝하기 위해 요구된 에칭 내성을 제공하기 위해, 종래의 CAR 재료들의 패터닝된 작은 피처들은 패턴 붕괴의 위험이 있는 고 종횡비들을 발생시킬 수 있다. 따라서, 감소된 두께, 보다 큰 흡광도, 및 보다 큰 에칭 내성과 같은 특성들을 갖는, 개선된 EUV 포토레지스트 재료들에 대한 필요성이 남아 있다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 기술 (technology) 의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 기술에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
기판 프로세싱을 위한 장치가 본 명세서에 제공된다. 장치는 프로세스 챔버를 포함하고, 프로세스 챔버는 대기 조건들 (atmospheric conditions) 에 노출된다. 장치는 프로세스 챔버 내에 반도체 기판을 홀딩하기 위한 기판 지지부; 기판 지지부 상의 반도체 기판과 대면하는 가열 어셈블리로서, 가열 어셈블리는 복수의 가열 엘리먼트들을 포함하는, 가열 어셈블리; 및 기판 지지부 위에 포지셔닝된 에칭 가스 전달 노즐로서, 에칭 가스 전달 노즐은 반도체 기판 위의 위치로 에칭 가스의 전달을 포지셔닝하도록 이동 가능한, 에칭 가스 전달 노즐을 더 포함한다.
일부 구현 예들에서, 반도체 기판은 금속-함유 EUV 레지스트를 포함하고, 금속-함유 EUV 레지스트의 하나 이상의 부분들은 에칭 가스에 의해 제거 가능하다. 일부 구현 예들에서, 대기 조건들에 노출된 프로세스 챔버는 약 50 Torr 내지 약 765 Torr의 대기압에 노출된다. 일부 구현 예들에서, 대기 조건들에 노출된 프로세스 챔버는 제어된 분위기에 노출되고, 제어된 분위기에 대한 노출은 약 760 Torr보다 큰 챔버 압력에 대한 노출 및/또는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 노출을 포함한다. 일부 구현 예들에서, 복수의 가열 엘리먼트들은 복수의 LED들을 포함하고, 복수의 LED들은 복수의 독립적으로 제어 가능한 가열 존들 내에 배치된다. 일부 구현 예들에서, 에칭 가스 전달 노즐은 이동식 스윙 암 (movable swing arm) 에 커플링되고, 이동식 스윙 암은 반도체 기판의 중심으로부터 에지로 에칭 가스 전달 노즐을 포지셔닝하도록 구성된다. 일부 구현 예들에서, 반도체 기판을 프로세싱하기 위한 인스트럭션들로 구성된 제어기로서, 인스트럭션들은 반도체 기판 상에 금속-함유 EUV 레지스트를 프로세스 챔버 내에 제공하고, 대기 조건들 하에서 반도체 기판으로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들을 건식 에칭하기 위해 에칭 가스 전달 노즐을 통해 반도체 기판으로 에칭 가스를 전달하기 위한 코드를 포함한다. 일부 구현 예들에서, 장치는 프로세스 챔버 내의 에칭 부산물들 및 다른 잔류 가스들을 제거하도록 구성된 배기 팬을 더 포함한다. 일부 구현 예들에서, 장치는 불활성 가스 및/또는 희석 가스를 프로세스 챔버로 전달하기 위해 프로세스 챔버에 커플링된 가스 소스를 더 포함한다. 일부 구현 예들에서, 프로세스 챔버는 플라즈마-프리 (plasma-free) 열적 프로세스 챔버이다.
또한 기판 프로세싱 장치가 본 명세서에 제공된다. 장치는 프로세스 챔버로서, 대기 조건들에 노출되는, 프로세스 챔버; 반도체 기판을 지지하고 복수의 가열 존들을 포함하는 소성 플레이트 (bake plate) 로서, 복수의 가열 존들 각각은 하나 이상의 가열 엘리먼트들을 포함하는, 소성 플레이트; 및 소성 플레이트 위에 포지셔닝된 에칭 가스 분배기로서, 에칭 가스 분배기는 반도체 기판으로 에칭 가스를 전달하도록 구성되는, 에칭 가스 분배기를 포함한다.
일부 구현 예들에서, 반도체 기판은 금속-함유 EUV 레지스트를 포함하고, 금속-함유 EUV 레지스트의 하나 이상의 부분들은 에칭 가스에 의해 제거 가능하다. 일부 구현 예들에서, 대기 조건들에 노출된 프로세스 챔버는 약 50 Torr 내지 약 765 Torr의 대기압에 노출된다. 일부 구현 예들에서, 대기 조건들에 노출된 프로세스 챔버는 제어된 분위기에 노출되고, 제어된 분위기에 대한 노출은 약 760 Torr보다 큰 챔버 압력에 대한 노출 및/또는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 노출을 포함한다. 일부 구현 예들에서, 소성 플레이트는 상부 표면 및 소성 플레이트의 상부 표면 위의 높이에서 반도체 기판을 지지하기 위한 복수의 MCA (minimum contact area) 지지부들을 포함한다. 일부 구현 예들에서, 에칭 가스 분배기는 반도체 기판과 대면하는 대면 플레이트를 갖는 샤워헤드를 포함하고, 대면 플레이트는 반도체 기판으로의 에칭 가스의 전달을 위한 복수의 쓰루-홀들을 포함한다. 일부 구현 예들에서, 프로세스 챔버는 플라즈마-프리 (plasma-free) 열적 프로세스 챔버이다. 일부 구현 예들에서, 장치는 반도체 기판을 프로세싱하기 위한 인스트럭션들로 구성된 제어기를 더 포함하고, 인스트럭션들은 반도체 기판 상에 금속-함유 EUV 레지스트를 프로세스 챔버 내에 제공하고, 대기 조건들 하에서 반도체 기판으로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들을 건식 에칭하기 위해 에칭 가스 분배기를 통해 반도체 기판으로 에칭 가스를 전달하기 위한 코드를 포함한다.
또한 기판 프로세싱 장치가 본 명세서에 제공된다. 장치는 복수의 반도체 기판들을 홀딩하기 위한 기판 지지부를 갖는 퍼니스 반응기; 상승된 온도로 복수의 반도체 기판들을 가열하기 위한 복수의 가열 엘리먼트들; 및 퍼니스 반응기 내로 에칭 가스를 전달하기 위한 하나 이상의 에칭 가스 유입구들로서, 하나 이상의 에칭 가스 유입구들은 복수의 반도체 기판들로 에칭 가스를 전달하도록 구성되는, 에칭 가스 유입구들을 포함한다.
일부 구현 예들에서, 퍼니스 반응기는 대기 조건들에 노출된다. 일부 구현 예들에서, 대기 조건들은 제어된 분위기로의 노출을 포함하고, 하나 이상의 에칭 가스 유입구들은 제어된 분위기를 제공하도록 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들을 전달하도록 더 구성된다. 일부 구현 예들에서, 장치는 퍼니스 반응기로부터 에칭 부산물들 및 다른 잔류 가스들의 제거를 위한 하나 이상의 가스 유출구들, 및 기판 지지부를 회전시키기 위한 모터를 더 포함한다. 일부 구현 예들에서, 퍼니스 반응기의 챔버 벽들은 석영, 세라믹 재료, 또는 저온 폴리머 재료를 포함한다. 일부 구현 예들에서, 복수의 반도체 기판들 각각은 금속-함유 EUV 레지스트를 포함하고, 금속-함유 EUV 레지스트의 하나 이상의 부분들은 에칭 가스에 의해 제거 가능하다.
또한 트랙 리소그래피 시스템이 본 명세서에 제공된다. 트랙 리소그래피 시스템은 카세트 마운팅 섹션으로서, 반도체 기판을 수용하고 리턴하기 위한 하나 이상의 포드들을 포함하는, 카세트 마운팅 섹션; 프로세싱 섹션으로서, 프로세싱 섹션은 반도체 기판의 포토레지스트 프로세싱을 위한 복수의 프로세싱 스테이션들을 포함하는, 프로세싱 섹션을 포함한다. 복수의 프로세싱 스테이션들은, 반도체 기판 상에 금속-함유 EUV 레지스트를 증착하기 위한 증착 챔버; 열적 프로세스 챔버; 및 기판 세정 챔버로서, 복수의 프로세싱 스테이션들 중 적어도 하나는 대기 조건들에 노출되고 반도체 기판으로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들의 건식 제거를 수행하도록 구성되는, 기판 세정 챔버를 포함한다. 트랙 리소그래피 시스템은 노출 섹션으로서, 반도체 기판을 EUV 복사선에 노출시키기 위한 스캐너를 포함하는, 노출 섹션; 및 프로세싱 섹션과 노출 섹션 사이에서 반도체 기판을 이송하기 위한 인터페이스 섹션을 더 포함한다.
일부 구현 예들에서, 복수의 프로세싱 스테이션들은 반도체 기판의 표면을 처리하기 위한 HMDS (hexamethyldisilazane) 처리 챔버를 더 포함하고, HMDS 처리 챔버는 대기 조건들 하에서 금속-함유 EUV 레지스트의 하나 이상의 부분들의 건식 제거를 수행하도록 구성된다. 일부 구현 예들에서, 복수의 프로세싱 스테이션들 중 적어도 하나는 반도체 기판을 홀딩하기 위한 기판 지지부 및 반도체 기판으로의 에칭 가스의 전달을 위한 가스 분배기를 포함하고, 금속-함유 EUV 레지스트의 하나 이상의 부분들은 에칭 가스에 의해 제거 가능하다.
EUV 레지스트의 건식 현상을 수행하기 위한 방법이 또한 본 명세서에 제공된다. 방법은 반도체 기판 상에 금속-함유 EUV 레지스트를 프로세스 챔버 내에 제공하는 단계, 및 에칭 가스에 노출함으로써 프로세스 챔버 내에서 금속-함유 EUV 레지스트를 건식 에칭하는 단계를 포함하고, 금속-함유 EUV 레지스트의 건식 에칭은 대기 조건들 하에서 수행된다.
일부 구현 예들에서, 대기 조건들은 약 50 Torr 내지 약 765 Torr의 대기압을 포함한다. 일부 구현 예들에서, 대기 조건들은 제어된 분위기 (controlled atmosphere) 를 포함하고, 제어된 분위기는 약 760 Torr보다 큰 챔버 압력에 대한 반도체 기판의 노출 및/또는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 반도체 기판의 노출을 포함한다. 일부 구현 예들에서, 방법은 금속-함유 EUV 레지스트를 건식 에칭할 때와 동일한 프로세스 챔버에서 상승된 온도에서 금속-함유 EUV 레지스트를 소성하는 단계를 더 포함한다. 일부 구현 예들에서, 금속-함유 EUV 레지스트를 건식 에칭하는 것은 건식 현상 화학 물질로의 노출에 의해 EUV 레지스트의 일부를 선택적으로 제거함으로써 금속-함유 EUV 레지스트를 건식 현상하는 것을 포함한다. 일부 구현 예들에서, 방법은 금속-함유 EUV 레지스트를 건식 현상하는 것과 동일한 프로세스 챔버 내에서 반도체 기판의 베벨 에지 세정을 수행하는 단계를 더 포함한다. 일부 구현 예들에서, 금속-함유 EUV 레지스트를 건식 에칭하는 단계는 반도체 기판을 약 100 ℃ 이상의 온도로 가열하는 것을 포함한다. 일부 구현 예들에서, 반도체 기판을 가열하는 것은 반도체 기판의 영역들을 국부적으로 가열하는 것을 포함한다. 일부 구현 예들에서, 금속-함유 EUV 레지스트를 건식 에칭하는 단계는 에칭 가스를 반도체 기판의 하나 이상의 영역들로 우선적으로 지향시키도록 반도체 기판 위에 이동식 배출 노즐을 포지셔닝하는 것을 포함한다. 일부 구현 예들에서, 프로세스 챔버는 반도체 기판의 국부적인 가열을 위해 복수의 독립적으로 제어 가능한 가열 존들과 커플링된 기판 지지부, 및 에칭 가스를 반도체 기판의 타깃 영역들로 지향시키기 위해 기판 지지부 위에 포지셔닝된 이동식 배출 노즐을 포함한다.
도 1은 일부 실시 예들에 따라 포토레지스트를 증착하고 현상하기 위한 예시적인 방법의 흐름도를 제공한다.
도 2a 내지 도 2c는 일부 실시 예들에 따른 건식 현상의 다양한 프로세싱 단계들의 단면 개략도들을 도시한다.
도 3a 및 도 3b는 일부 실시 예들에 따른 포토레지스트의 건식 에칭을 수행하기 위한 예시적인 프로세스 챔버들의 개략도들을 도시한다.
도 4는 일부 실시 예들에 따른 포토레지스트 프로세싱을 위한 예시적인 시스템 아키텍처 또는 트랙 시스템의 개략적인 예시를 도시한다.
도 5는 일부 실시 예들에 따른 포토레지스트 프로세싱을 위한 대안적인 예시적인 시스템 아키텍처 또는 트랙 시스템의 개략적인 예시를 도시한다.
도 6은 일부 실시 예들에 따른 포토레지스트의 건식 에칭을 수행하기 위한 예시적인 배치 수직 퍼니스 (batch vertical furnace) 의 개략적인 예시를 도시한다.
도 7a 및 도 7b는 일부 실시 예들에 따른 포토레지스트의 건식 에칭을 수행하도록 구성된 웨이퍼 세정 스테이션들의 개략적인 도시들을 도시한다.
도 8a 및 도 8b는 일부 실시 예들에 따른 포토레지스트의 건식 에칭을 수행하도록 구성된 웨이퍼 세정 스테이션 및 가열 스테이션의 개략도들을 도시한다.
도 9는 일부 실시 예들에 따른 건식 에칭을 수행하기 위한 예시적인 프로세스 스테이션의 개략적인 예시를 도시한다.
도 10은 본 명세서에 기술된 다양한 포토레지스트 프로세싱 동작들의 구현에 적합한 예시적인 멀티-스테이션 프로세싱 툴의 개략적인 예시를 도시한다.
도 11은 본 명세서에 기술된 특정한 실시 예들 및 동작들을 구현하기 위한 예시적인 유도 커플링된 플라즈마 장치의 단면 개략도를 도시한다.
도 12는 본 명세서에 기술된 프로세스들의 구현 예들에 적합한, 이송 모듈과 인터페이싱하는 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다.
도 13 및 도 14는 개시된 실시 예들에 따른 방법들을 수행하도록 사용될 수도 있는 다양한 예시적인 툴 아키텍처들을 제시한다.
본 개시 (disclosure) 는 일반적으로 반도체 프로세싱의 분야에 관한 것이다. 특정한 양태들에서, 본 개시는 패터닝 마스크를 형성하기 위해 EUV 패터닝 및 EUV 패터닝된 막 현상의 맥락에서 EUV 포토레지스트들 (예를 들어, EUV-감응 (sensitive) 금속 및/또는 금속 옥사이드-함유 포토레지스트들) 의 프로세싱을 위한 방법들 및 장치들에 관한 것이다.
본 개시의 특정한 실시 예들에 대한 참조가 본 명세서에서 상세히 이루어진다. 구체적인 실시 예들의 예들은 첨부된 도면들에 예시된다. 본 개시가 이들 구체적인 실시 예들과 함께 기술될 것이지만, 이는 이러한 특정한 실시 예들로 본 개시를 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 반대로, 이는 본 개시의 정신 및 범위 내에 포함될 수도 있는 바와 같이 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 이하의 기술에서, 본 개시의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 본 개시는 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 본 개시를 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
도입
반도체 프로세싱에서 박막들의 패터닝은 종종 반도체들의 제조에서 중요한 단계이다. 패터닝은 리소그래피 (lithography) 를 수반한다. 193 ㎚ 포토리소그래피와 같은 종래의 포토리소그래피에서, 패턴들은 광자 소스로부터 마스크 상으로 광자들을 방출하고 패턴을 감광성 포토레지스트 상에 프린팅하여, 현상 후 패턴을 형성하기 위해 포토레지스트에서 포토레지스트의 특정한 부분들을 제거하는 화학 반응을 유발함으로써 프린팅된다.
(ITRS (International Technology Roadmap for Semiconductors) 에 의해 규정된) 발전된 기술 노드들은 22 ㎚, 16 ㎚, 및 이를 넘어서는 노드들을 포함한다. 16 ㎚ 노드에서, 예를 들어, 다마신 구조체의 통상적인 비아 또는 라인의 폭은 통상적으로 약 30 ㎚보다 크지 않다. 발전된 반도체 집적 회로들 (Integrated Circuits; IC들) 및 다른 디바이스들 상의 피처들의 스케일링은 분해능을 개선하기 위해 리소그래피를 구동한다.
극자외선 (EUV) 리소그래피는 종래의 포토리소그래피 방법들로 달성될 수 있는 것보다 작은 이미징 소스 파장들로 이동함으로써 리소그래피 기술 (technology) 을 확장할 수 있다. 대략 10 내지 20 ㎚, 또는 11 내지 14 ㎚ 파장, 예를 들어 13.5 ㎚ 파장의 EUV 광원들이 또한 스캐너들로 지칭되는, 최첨단 리소그래피 툴들에 사용될 수 있다. EUV 방사선은 석영 및 수증기를 포함하는 넓은 범위의 고체 (solid) 재료 및 유체 (fluid) 재료에 강하게 흡수된다.
EUV 리소그래피는 하부 층들을 에칭하는데 사용하기 위해 마스크들을 형성하도록 패터닝된 EUV 레지스트들을 사용한다. EUV 레지스트들은 액체-기반 스핀-온 (spin-on) 기법들 (techniques) 에 의해 생성된 폴리머-기반 CAR들 (Chemically Amplified Resists) 일 수도 있다. CAR들에 대한 대안은, 예를 들어, 적어도 포토패터닝 가능한 금속 옥사이드-함유 막들의 개시를 위해 본 명세서에 참조로 인용된, 미국 특허 공보 US 2017/0102612, US 2016/021660 및 US 2016/0116839에 기술되고, OR, Corvallis 소재의, Inpria로부터 입수 가능한 것과 같은 직접 포토패터닝 가능한 금속 옥사이드-함유 막들이다. 이러한 막들은 스핀-온 기법들에 의해 생성되거나 건식 기상 증착될 수도 있다. 금속 옥사이드-함유 막은 예를 들어, 2018년 6월 12일 허여되고 명칭이 EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS인 미국 특허 제 9,996,004 호, 2019년 5월 9일에 출원되고 명칭이 METHODS FOR MAKING EUV PATTERNABLE HARD MASKS인 PCT/US19/31618 호에 기술된 바와 같이, 30 ㎚ 이하의 패터닝 분해능을 제공하는 진공 분위기에서 EUV 노출에 의해 직접 (즉, 별도의 포토레지스트를 사용하지 않고) 패터닝될 수 있고, 적어도 EUV 레지스트 마스크들을 형성하기 위해 직접 포토패터닝 가능한 금속 옥사이드 막들의 조성, 증착 및 패터닝에 관한 이의 개시들이 본 명세서에 참조로서 인용된다. 일반적으로, 패터닝은 레지스트 내에 포토 패턴을 형성하기 위해 EUV 방사선으로 EUV 레지스트의 노출, 이어서 마스크를 형성하기 위해 포토 패턴에 따라 레지스트의 일부를 제거하기 위한 현상을 수반한다.
본 개시가 EUV 리소그래피에 의해 예시된 리소그래피 패터닝 기법들 및 재료들에 관한 것이지만, 이는 또한 다른 차세대 리소그래피 기법들에 적용 가능하다는 것이 또한 이해되어야 한다. 현재 사용 및 개발 중인 표준 13.5 ㎚ EUV 파장을 포함하는 EUV에 더하여, 이러한 리소그래피와 가장 관련이 있는 방사선 소스들은, 일반적으로 248 ㎚ 또는 193 ㎚ 엑시머 레이저 소스들의 사용을 지칭하는 DUV (Deep-UV), X-선 범위의 보다 저 에너지 범위의 EUV를 공식적으로 포함하는 X-선, 뿐만 아니라 넓은 에너지 범위를 커버할 수 있는 e-빔이다. 특정한 방법들은 반도체 기판 및 궁극적인 반도체 디바이스에 사용된 특정한 재료들 및 적용 예들에 종속될 수도 있다. 따라서, 본 출원에 기술된 방법들은 단지 본 기술에서 사용될 수도 있는 방법들 및 재료들의 예시이다.
직접 포토패터닝 가능한 EUV 레지스트들은 유기 컴포넌트들 내에 혼합된 금속들 및/또는 금속 옥사이드들로 구성되거나 이를 함유할 수도 있다. 금속들/금속 옥사이드들은 EUV 광자 흡착을 향상시키고 2 차 전자들을 생성할 수 있고 그리고/또는 하부 막 스택 및 디바이스 층들에 대해 상승된 에칭 선택도를 나타낼 수 있다는 점에서 매우 유망하다. 지금까지, 이들 레지스트들은 웨이퍼가 현상 용매에 노출되고, 건조되고, 소성되는 (bake), 트랙으로 이동하는 것을 필요로 하는, 습식 (용매) 접근법 (approach) 을 사용하여 현상되었다. 습식 현상 (wet development) 은 생산성을 제한할 뿐만 아니라 미세 피처들 사이의 용매의 증발 동안 표면 장력 효과들로 인해 라인 붕괴를 야기할 수 있다.
기판 박리 및 계면 파손들 (interface failures) 을 제거함으로써 이들 문제들을 극복하기 위해 건식 현상 기법들이 제안되었다. 건식 현상은 성능을 개선 (예를 들어, 습식 현상에서 표면 장력 및 박리로 인한 라인 붕괴를 방지) 하고, (예를 들어, 습식 현상 트랙을 방지함으로써) 쓰루풋을 향상시킬 수 있다. 다른 이점들은 유기 용매 현상액들의 사용을 제거하는 것, 접착 문제들에 대한 감소된 민감도, 개선된 효율에 대해 상승된 EUV 흡수 및 용해성 기반 제한들의 결여를 포함할 수도 있다. 건식 현상은 또한 보다 많은 튜닝 가능성을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및 스컴 (scum) 제거를 제공할 수 있다.
건식 현상은 습식 현상과 비교할 때 효과적인 레지스트 노출을 위해 보다 높은 도즈 대 사이즈 요건을 야기할 수 있는 비노출 레지스트 재료와 EUV 노출된 레지스트 재료 사이의 에칭 선택도를 포함하여, 고유의 문제들을 갖는다. 차선의 선택도는 또한 에칭 가스 하에서 보다 긴 노출들로 인해 PR 코너 라운딩을 유발할 수 있고, 이는 후속하는 전사 에칭 단계에서 라인 CD 변동을 증가시킬 수도 있다.
EUV 레지스트의 건식 에칭
본 개시는 대기 조건들 (atmospheric conditions) 하에서 금속-함유 EUV 레지스트의 건식 에칭을 제공한다. 본 명세서에 사용된 바와 같이, "대기 조건들"은 비진공 조건들에 대한 노출을 구성할 수도 있다. 구체적으로, 대기 조건들은 약 50 Torr 내지 약 760 Torr (즉, 대기압) 또는 약 50 Torr 내지 약 765 Torr의 챔버 압력을 구성할 수도 있다. 본 개시의 툴들 및 챔버들은 대기압 이상의 과압들 (overpressures) 에서 동작할 수도 있다. 예를 들어, 과압은 대기압 이상으로 약 0.1 Torr 내지 약 5 Torr 또는 약 0.3 Torr 내지 약 1.5 Torr일 수도 있다. 일부 실시 예들에서, 대기 조건들은 챔버 압력이 약 760 Torr보다 큰 제어된 분위기 (controlled atmosphere) 를 구성할 수도 있다. 대기압 이상에서 동작하는 것은 산소 또는 공기에 의한 누출 오염 (leak-in contamination) 을 방지하는데 유용할 수도 있다.
대기 조건들은 주변 가스(들) (ambient gas(es)) (예를 들어, 대기 (air)) 에 대한 노출을 포함할 수도 있고, 주변 가스들은 산소, 질소, 이산화탄소, 수증기, 또는 이들의 조합들을 포함할 수도 있다. 대안적으로, 대기 조건들은 제어된 대기에 대한 노출을 포함할 수도 있고, 제어된 대기에 대한 노출은 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 노출을 포함한다. 일부 실시 예들에서, 제어된 분위기는 비산화 가스들인 가스들에 대한 노출을 포함한다. 이러한 가스들은 산소 또는 공기에 의한 누출 오염을 방지하는데 유용한 과압을 제공하는데 기여하는 불활성 가스 또는 희석 가스로서 역할할 (serve) 수도 있다. 금속-함유 EUV 레지스트의 건식 에칭이 대기 조건들에서 발생할 수도 있지만, 건식 에칭이 수행되는 챔버는 진공 장비를 포함할 수도 있고 포함하지 않을 수도 있다는 것이 이해될 것이다. 건식 에칭을 위해 금속-함유 EUV 레지스트를 진공 분위기 (vacuum enviro㎚ent) 에 노출하는 대신, 금속-함유 EUV 레지스트는 대기 조건에 노출될 수도 있고, 대기 조건들은 공기에 대한 노출 또는 제어된 분위기 (예를 들어, 대기압 이상) 에 대한 노출을 포함할 수도 있다. 본 개시의 건식 에칭은 포토패터닝된 금속-함유 EUV 레지스트의 건식 현상, 증착된 금속-함유 EUV 레지스트의 베벨 에지 세정, 또는 건식 에칭을 수반하는 다른 포토레지스트 프로세스들에 적용될 수 있다. 습식 세정/현상 등가물들을 피하는 것은 비용을 감소시킨다. 더욱이, 건식 세정/현상 등가물들에서 진공 장비 또는 진공 장비의 동작을 방지하는 것은 비용을 감소시킨다.
EUV-감응 금속 또는 금속 옥사이드-함유 막, 예를 들어, 유기 주석 옥사이드가 반도체 기판 상에 배치된다. 원치 않은 EUV-감응 금속 또는 금속 옥사이드-함유 막은 베벨 에지 세정에 의해 선택 가능하게 (optionally) 제거된다. EUV-감응 금속 또는 금속 옥사이드-함유 막은 EUV 노출에 의해 직접적으로 패터닝된다. 이어서 패턴은 현상 화학 물질 (chemistry) 을 사용하여 레지스트 마스크를 형성하도록 현상된다. 일부 실시 예들에서, 현상 화학 물질은 할로겐-함유 화합물을 포함한다. 에칭 가스는 현상 및/또는 베벨 에지 세정 동안 EUV 레지스트의 제거를 위해 대기 조건들 하에서 반도체 기판으로 전달될 수도 있다.
건식 에칭 기법들은 에칭 가스를 흘리는 동안 순한 (gentle) 플라즈마 (저전력) 또는 열적 프로세스를 사용할 수도 있다. 대기 조건들에서 건식 에칭은 반도체 기판과 대면하고 하나 이상의 가열 엘리먼트들을 갖는 가열 어셈블리 및 에칭 가스를 전달하기 위한 가스 분배기를 갖는 프로세스 챔버에서 수행될 수도 있다. 일부 실시 예들에서, 가스 분배기는 기판 지지부 위에 포지셔닝된 에칭 가스 전달 노즐을 포함할 수도 있다. 일부 실시 예들에서, 에칭 가스 전달 노즐은 반도체 기판의 특정한 영역들 위에 에칭 가스 전달 노즐을 포지셔닝하기 위한 이동식 스윙 암 (movable swing arm) 에 연결된다. 일부 실시 예들에서, 가열 어셈블리는 복수의 독립적으로 제어 가능한 가열 존들을 포함한다. 예를 들어, 가열 어셈블리는 반도체 기판 밑 (underneath) 에 포지셔닝될 수도 있고 발광 다이오드들 (light-emitting diodes; LEDs) 과 같은 복수의 가열 엘리먼트들을 포함할 수도 있다. 대기 조건들 하에서 EUV 레지스트의 건식 에칭을 수행하기 위한 프로세스 챔버는 또한 웨이퍼 세정 챔버, 소성 챔버 (bake chamber), 또는 처리 챔버일 수도 있다. 구체적으로, EUV 레지스트의 건식 에칭을 위한 프로세스 챔버는 웨이퍼 스핀-세정 챔버, 베벨 에지 세정 챔버, 도포 후 소성 (post-application bake) 챔버, 노출 후 소성 챔버, 배치 퍼니스 반응기 (batch furnace reactor), 버퍼 모듈, 현상 챔버, 또는 HMDS (hexamethyldisilazane) 처리 챔버일 수도 있다. 이러한 챔버들은 포토레지스트 프로세싱을 위한 트랙 시스템 또는 클러스터 툴의 일부일 수도 있다. 이러한 방식으로, 건식 에칭 프로세스들 (예를 들어, 건식 현상) 은 별도의 스테이션, 모듈, 또는 챔버로 이송할 필요 없이 포토레지스트 프로세싱의 다른 프로세스들과 동일한 스테이션, 모듈, 또는 챔버에 통합될 수도 있다. 툴들/챔버들의 통합은 쓰루풋을 증가시키고 비용을 감소시킨다.
도 1은 일부 실시 예들에 따라 포토레지스트를 증착하고 현상하기 위한 예시적인 방법의 흐름도를 제공한다. 프로세스 (100) 의 동작들은 상이한 순서들로 그리고/또는 상이한, 보다 적은 또는 부가적인 동작들과 함께 수행될 수도 있다. 프로세스 (100) 의 양태들은 도 2a 내지 도 2c를 참조하여 기술될 수도 있다. 프로세스 (100) 의 하나 이상의 동작들은 도 3a, 도 3b, 도 4 내지 도 6, 도 7a, 도 7b, 도 8a, 도 8b, 및 도 9 내지 도 14에 기술된 장치들 또는 챔버들을 사용하여 수행될 수도 있다. 일부 실시 예들에서, 프로세스 (100) 의 동작들은 하나 이상의 비일시적 컴퓨터 판독 가능 매체에 저장된 소프트웨어에 따라 적어도 부분적으로 구현될 수도 있다.
프로세스 (100) 의 블록 (102) 에서, 포토레지스트 층이 증착된다. 이는 기상 증착 프로세스와 같은 건식 증착 프로세스 또는 스핀-온 증착 프로세스와 같은 습식 프로세스일 수도 있다.
포토레지스트는 금속-함유 EUV 레지스트일 수도 있다. EUV 감응 금속 또는 금속 옥사이드-함유 막이 습식 (예를 들어, 스핀-온) 또는 건식 (예를 들어, CVD (chemical vapor deposition)) 증착 기법들을 포함하는, 임의의 적합한 기법에 의해 반도체 기판 상에 증착될 수도 있다. 예를 들어, 기술된 프로세스들은 (예를 들어, OR, Corvallis 소재의 Inpria Corp로부터 입수 가능한) 스핀-코팅가능 포뮬레이션들 (formulations) 및 건식 진공 증착 기법들을 사용하여 적용된 포뮬레이션들 모두에 적용가능한, 유기 주석 옥사이드들에 기초한 EUV 포토레지스트 조성물들에 대해 입증되었고, 이하에 더 기술된다.
반도체 기판들은 포토리소그래픽 프로세싱, 구체적으로 집적 회로들 및 다른 반도체 디바이스들의 생산에 적합한 임의의 재료 구성을 포함할 수도 있다. 일부 실시 예들에서, 반도체 기판들은 실리콘 웨이퍼들이다. 반도체 기판들은 그 위에 불규칙한 표면 토포그래피 (topography) 를 갖는 피처들 ("하부 피처들") 이 생성되는 실리콘 웨이퍼들일 수도 있다. 본 명세서에 참조된 바와 같이, "표면"은 상부에 본 개시의 막이 증착되거나 프로세싱 동안 EUV에 노출되는 표면이다. 하부 피처들은 이 개시의 방법을 수행하기 전 프로세싱 동안 (예를 들어, 에칭에 의해) 재료가 제거된 영역들 또는 (예를 들어, 증착에 의해) 재료들이 부가된 영역들을 포함할 수도 있다. 이러한 사전 프로세싱은 이 개시의 방법들 또는 2 개 이상의 피처들의 층들이 기판 상에 형성되는 반복 프로세스의 다른 프로세싱 방법들을 포함할 수도 있다.
EUV-감응 박막들이 반도체 기판 상에 증착될 수도 있고, 이러한 막들은 후속 EUV 리소그래피 및 프로세싱에 대한 레지스트들로서 동작 가능하다. 이러한 EUV-감응 박막들은 EUV에 노출 시, 보다 치밀한 M-O-M 결합된 금속 옥사이드 재료들에 이들의 교차 결합 (crosslinking) 을 허용하여, 저밀도 M-OH 풍부 재료들의 금속 원자들에 결합된 벌키 (bulky) 펜던트 치환들의 손실과 같은 변화들을 겪는 재료들을 포함한다. EUV 패터닝을 통해, 비노출 영역들에 대해 물리적 또는 화학적 특성들이 변경된 막의 영역들이 생성된다. 이들 특성들은 후속 프로세싱에서, 예컨대 노출 영역 또는 비노출 영역을 용해시키도록, 또는 노출 영역 또는 비노출 영역 상에 재료들을 선택적으로 증착하도록 활용될 수도 있다. 일부 실시 예들에서, 노출되지 않은 막은 이러한 후속 프로세싱이 수행되는 조건들 하에서 노출된 막보다 보다 소수성인 (hydrophobic) 표면을 갖는다. 예를 들어, 재료의 제거는 막의 화학적 조성, 밀도 및 교차 결합의 차이를 활용함으로써 (leveraging) 수행될 수도 있다. 제거는 이하에 더 기술된 바와 같이 건식 프로세싱에 의한 것일 수도 있다.
다양한 실시 예들에서, 박막들은 유기금속 재료들, 예를 들어 주석 옥사이드를 포함하는 유기 주석 재료들, 또는 다른 금속 옥사이드 재료들/모이어티들 (moieties) 이다. 유기금속 화합물들은 대응-반응 물질 (counter-reactant) 과 유기금속 전구체의 증기상 반응으로 제조될 수도 있다. 다양한 실시 예들에서, 유기금속 화합물들은 대응-반응물질들과 벌키 알킬기들 또는 플루오로알킬기들을 갖는 유기금속 전구체들의 특정한 조합들을 혼합 및 반도체 기판 상에 증착하는 저밀도, EUV-감응 재료를 생성하기 위해 증기상 (vapor phase) 의 혼합물을 중합을 통해 형성된다.
다양한 실시 예들에서, 유기금속 전구체들은 증기상 반응에서 살아남을 수 있는 금속 원자 각각 상에 적어도 하나의 알킬기를 포함하지만, 금속 원자에 배위결합된 (coordinate) 다른 리간드들 (ligands) 또는 이온들은 대응-반응 물질들에 의해 대체될 수 있다. 유기금속 전구체들은 화학식
MaRbLc
(화학식 1) 을 포함하고,
여기에서: 여기서, M은 높은 패터닝 복사-흡수 단면을 갖는 원소이고; R은 CnH2n +1과 같은 알킬이고, 바람직하게 n은 2 이상이고; L은 대응-반응 물질과 반응성인 리간드, 이온 또는 다른 모이어티이고; a는 1 이상이고, b는 1 이상이고; 그리고 c는 1 이상이다.
다양한 실시 예들에서, M은 1x107 ㎠/mol 이상의 원자 흡수 단면을 갖는다. M은 예를 들어, 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 요오드, 게르마늄, 및 이들의 조합들로 구성된 그룹으로부터 선택될 수도 있다. 일부 실시 예들에서, M은 주석이다. R은 플루오르화될 수도 있고, 예를 들어, 화학식 CnFxH(2n+1)을 갖는다. 다양한 실시 예들에서, R은 적어도 하나의 베타-수소 또는 베타-불소를 갖는다. 예를 들어, R은 에틸, i-프로필, n-프로필, t-부틸, i-부틸, n-부틸, sec-부틸, n-펜틸, i-펜틸, t-펜틸, sec-펜틸, 및 이들의 혼합물들로 구성된 그룹으로부터 선택될 수도 있다. L은 아민들 (예컨대 디알킬아미노, 모노알킬아미노), 알콕시, 카르복실레이트들, 할로겐들, 및 이들의 혼합물들로 구성된 그룹으로부터 선택된 모이어티와 같은, M-OH 모이어티를 생성하기 위해 대응-반응 물질에 의해 용이하게 치환된 임의의 모이어티일 수도 있다.
유기금속 전구체들은 임의의 폭넓고 다양한 후보 금속-유기 전구체들일 수도 있다. 예를 들어, M이 주석이면, 이러한 전구체들은 t-부틸 트리스(디메틸아미노) 주석, i-부틸 트리스(디메틸아미노) 주석, n-부틸 트리스 (디메틸아미노) 주석, sec-부틸 트리스(디메틸아미노) 주석, i-프로필(트리스)디메틸아미노 주석, n-프로필 트리스(디메틸아미노) 주석, 에틸 트리스(디메틸아미노) 주석 및 t-부틸 트리스(t-부톡시) 주석과 같은 유사한 알킬(트리스) (t-부톡시) 주석 화합물들을 포함한다. 일부 실시 예들에서, 유기금속 전구체들은 부분적으로 플루오르화된다.
대응-반응 물질들은 화학적 결합을 통해 적어도 2 개의 금속 원자들을 연결하도록 (link) 반응성 모이어티들, 리간드들 또는 이온들 (예를 들어, 상기 화학식 1의 L) 을 대체하는 능력을 갖는다. 대응-반응 물질들은 물, 과산화물들 (예를 들어, 과산화수소), 디하이드록시 알코올들 또는 폴리하이드록시 알코올들, 플루오르화된 디하이드록시 알코올들 또는 플루오르화된 폴리하이드록시 알코올들, 플루오르화된 글리콜들, 및 하이드록실 모이어티들의 다른 소스들을 포함할 수 있다. 다양한 실시 예들에서, 대응-반응 물질은 이웃하는 금속 원자들 사이에 산소 브리지들 (bridges) 을 형성함으로써 유기금속 전구체와 반응한다. 다른 잠재적인 대응-반응 물질들은 황 브리지들을 통해 금속 원자들을 교차 결합하는 수소 설파이드 및 수소 디설파이드를 포함한다.
박막들은 막의 화학적 또는 물리적 특성들을 수정하도록, 예컨대 EUV에 대한 막의 감도를 수정하거나 에칭 내성을 향상시키기 위해 유기금속 전구체 및 대응-반응 물질들에 더하여 선택 가능한 재료들을 포함할 수도 있다. 이러한 선택 가능한 재료들은 반도체 기판 상에 증착 전, 박막의 증착 후, 또는 둘 모두에 증기상 형성 동안 도핑에 의해서와 같이 도입될 수도 있다. 일부 실시 예들에서, 순한 리모트 H2 플라즈마는 일부 Sn-L 결합들을 Sn-H로 대체하도록 도입될 수도 있고, 이는 EUV 하에 레지스트의 반응성을 상승시킬 수 있다.
다양한 실시 예들에서, EUV-패터닝 가능한 막들이 제조되고, 당업계에 공지된 기상 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에 증착된다. 이러한 프로세스들에서, 중합된 유기금속 재료는 증기상으로 또는 반도체 기판의 표면 상에 인 시츄 (in situ) 형성된다. 적합한 프로세스들은 금속 전구체들 및 대응-반응 물질들이 시간 또는 공간에서 분리되는 예를 들어, CVD, ALD, 및 불연속적인, ALD-유사 프로세스와 같은, CVD 컴포넌트를 사용하는 ALD를 포함한다.
일반적으로, 방법들은 중합된 유기금속 재료를 형성하기 위해 유기금속 전구체의 증기 스트림을 대응-반응 물질 (counter-reactant) 의 증기 스트림과 혼합하는 단계 및 반도체 기판의 표면 상에 유기금속 재료를 증착하는 단계를 포함한다. 일부 실시 예들에서, 2 개 이상의 유기금속 전구체가 증기 스트림에 포함된다. 일부 실시 예들에서, 2 개 이상의 대응-반응 물질이 증기 스트림에 포함된다. 당업자에 의해 이해될 바와 같이, 프로세스의 혼합 및 증착 양태들은 실질적으로 연속 프로세스에서 동시에 발생할 수도 있다.
일 예시적인 연속 CVD 프로세스에서, (예를 들어, 금속-산소-금속 결합 형성을 통해) 응집된 (agglomerated) 중합 재료들을 형성하기 위해 개별적인 유입구 경로들 내의, 유기금속 전구체의 2 개 이상의 가스 스트림들 및 대응-반응 물질의 소스는, 이들이 가스상으로 혼합되고 반응하는, CVD 장치의 증착 챔버에 도입된다. 스트림들은 예를 들어, 개별적인 주입 유입구들 또는 듀얼-플레넘 (dual-plenum) 샤워헤드를 사용하여 도입될 수도 있다. 장치는 유기금속 전구체의 스트림들 및 대응-반응 물질이 챔버에서 혼합되도록 구성되고, 중합된 유기금속 재료를 형성하기 위해 유기금속 전구체 및 대응-반응 물질로 하여금 반응하게 한다. 본 기술의 메커니즘, 기능 또는 실용성을 제한하지 않고, 금속 원자들이 대응-반응 물질에 의해 교차 결합되기 때문에 이러한 기상 반응으로부터의 생성물이 분자량이 보다 무거워지고, 이어서 응결되거나 그렇지 않으면 반도체 기판 상에 증착되는 것으로 여겨진다. 다양한 실시 예들에서, 벌키 알킬기들의 입체 장애 (steric hindrance) 는 치밀하게 패킹된 네트워크의 형성을 방지하고, 평활한, 비정질, 저밀도 막들을 생성한다.
CVD 프로세스는 일반적으로 감소된 압력들, 예컨대 10 mTorr 내지 10 Torr로 수행된다. 일부 실시 예들에서, 프로세스는 0.5 내지 2 Torr에서 수행된다. 일부 실시 예들에서, 반도체 기판의 온도는 반응 물질 스트림들의 온도 이하이다. 예를 들어, 기판 온도는 0 ℃ 내지 250 ℃, 또는 주변 온도 (예를 들어, 23 ℃) 내지 150 ℃일 수도 있다. 다양한 프로세스들에서, 기판 상에 중합된 유기금속 재료의 증착은 표면 온도에 반비례하는 레이트들로 발생한다.
일부 실시 예들에서, EUV-패터닝 가능한 막들이 제조되고, 당업계에 공지된 습식 증착 장비 및 프로세스들을 사용하여 반도체 기판 상에 증착된다. 예를 들어, 유기금속 재료는 반도체 기판의 표면 상의 스핀-코팅에 의해 형성된다.
반도체 기판의 표면 상에 형성된 EUV-패터닝 가능한 막의 두께는 표면 특징들, 사용된 재료들, 및 프로세싱 조건들에 따라 가변할 수도 있다. 다양한 실시 예들에서, 막 두께는 0.5 ㎚ 내지 100 ㎚ 범위일 수도 있고, EUV 패터닝의 조건들 하에서 대부분의 EUV 광을 흡수하기 충분한 두께일 수도 있다. EUV-패터닝 가능한 막은, EUV-패터닝 가능한 막의 하단부를 향해 사용 가능한 상당히 보다 적은 EUV 광자들을 갖도록, 30 % 이상의 흡수를 수용할 수도 있다. 보다 높은 EUV 흡수는 EUV-노출된 막의 하단부와 비교하여 EUV-노출된 막의 상단부 근방에서 보다 많은 교차 결합 및 치밀화를 야기한다. 불충분한 교차-결합은 레지스트를 습식 현상에서 들리거나 (liftoff) 붕괴하기 쉽게 할 수도 있지만, 이러한 위험은 건식 현상에서 존재하지 않는다. 완전-건식 (all-dry) 리소그래피 방식은 보다 불투명한 레지스트 막들에 의한 EUV 광자들의 보다 효율적인 활용을 용이하게 할 수도 있다. EUV 광자들의 효율적인 활용은 보다 높은 전체 흡수율을 갖는 EUV-패터닝 가능한 막들을 사용하여 발생할 수도 있지만, 일부 예들에서, EUV-패터닝 가능한 막은 약 30 % 미만일 수도 있다는 것이 이해될 것이다. 비교를 위해, 대부분의 다른 레지스트 막들의 최대 전체 흡수는 레지스트 막의 하단부의 레지스트 재료가 충분히 노출되도록 30 % 미만 (예를 들어, 10 % 이하, 또는 5 % 이하) 이다. 일부 실시 예들에서, 막 두께는 10 ㎚ 내지 40 ㎚ 또는 10 ㎚ 내지 20 ㎚이다. 본 개시의 메커니즘, 기능 또는 실용성 (utility) 을 제한하지 않고, 본 개시의 프로세스들은 기판의 표면 접착 특성들에 대해 제한들을 거의 갖지 않고, 따라서 폭넓고 다양한 범위의 기판들에 적용될 수 있다고 여겨진다. 게다가, 상기 논의된 바와 같이, 증착된 막들은 표면 피처들에 밀접하게 컨폼할 (conform) 수도 있어, 하부 피처들을 갖는 기판들과 같은 기판들 위에 이러한 피처들을 "충진 (filling in)" 또는 달리 평탄화하지 않고 마스크들을 형성하는 이점들을 제공한다.
블록 (104) 에서, 반도체 기판의 배면 및/또는 베벨 에지를 세정하기 위해 선택 가능한 (optional) 세정 프로세스가 수행된다. 배면 및/또는 베벨 에지 세정은 기판 배면 및 베벨 에지 상의 다양한 레벨들의 산화 또는 교차 결합 (crosslinking) 을 갖는 막을 균등하게 제거하도록 EUV 레지스트 막을 비선택적으로 에칭할 수도 있다. 습식 증착 프로세싱 또는 건식 증착 프로세싱에 의한 EUV-패터닝 가능한 막의 도포 동안, 기판 베벨 에지 및/또는 배면 상에 어느 정도 레지스트 재료의 의도되지 않은 증착이 있을 수도 있다. 의도되지 않은 증착은 바람직하지 않은 입자들이 나중에 반도체 기판의 상단 표면으로 이동하고 입자 결함들이 된다. 더욱이, 이 베벨 에지 및 배면 증착은 패터닝 (스캐너) 및 현상 툴들의 오염을 포함하는 다운스트림 프로세싱 문제들을 유발할 수 있다. 통상적으로, 이 베벨 에지 및 배면 증착의 제거는 습식 세정 기법들에 의해 이루어진다. 스핀 코팅된 포토레지스트 재료의 경우, 이 프로세스는 EBR (edge bead removal) 이라고 하고 기판이 스피닝하는 동안 베벨 에지 위 및 아래로부터 용매 스트림을 지향시킴으로써 수행된다. 동일한 프로세스가 기상 증착 기법들에 의해 증착된 용해성 (soluble) 유기 주석 옥사이드계 레지스트들에 적용될 수 있다. 그러나, 일부 실시 예들에서, 베벨 에지 및 배면 세정은 건식 세정 기법들을 사용하여 수행될 수도 있다.
기판 베벨 에지 및/또는 배면 세정은 또한 건식 세정 프로세스일 수도 있다. 일부 실시 예들에서, 건식 세정 프로세스는 다음 가스들: HBr, HCl, BCl3, SOCl2, Cl2, BBr3, H2, O2, PCl3, CH4, 메탄올, 암모니아, 포름산, NF3, HF 중 하나 이상을 갖는 증기 및/또는 플라즈마를 수반한다. 일부 실시 예들에서, 건식 세정 프로세스는 본 명세서에 기술된 건식 현상 프로세스와 동일한 화학 물질들을 사용할 수도 있다. 예를 들어, 베벨 에지 및/또는 배면 세정은 할로겐-함유 현상 화학 물질을 사용할 수도 있다. 배면 및/또는 베벨 에지 세정 프로세스를 위해, 증기 및/또는 플라즈마는 기판의 전면 상의 어떠한 막 열화도 없이, 배면 및 베벨만이 제거되는 것을 보장하도록 기판의 특정한 영역으로 제한되어야 한다.
프로세스 조건들은 베벨 에지 및/또는 배면 세정을 위해 최적화될 수도 있다. 일부 실시 예들에서, 보다 높은 온도, 보다 높은 압력, 및/또는 보다 높은 반응 물질 플로우는 상승된 에칭 레이트를 야기할 수도 있다. 건식 베벨 에지 및 배면 세정을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라 100 sccm 내지 10000 sccm (예를 들어, 500 sccm의 HCl, HBr, HI, 또는 H2 및 Cl2 또는 Br2, BCl3 또는 H2, 또는 다른 할로겐-함유 화합물) 의 반응 물질 플로우, 20 ℃ 내지 140 ℃ (예를 들어, 80 ℃) 의 온도, 20 mTorr 내지 1000 mTorr (예를 들어, 100 mTorr) 의 압력 또는 50 Torr 내지 765 Torr (예를 들어, 760 Torr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 0 내지 500 W의 플라즈마 전력, 그리고 약 10 초 내지 20 초의 시간 동안일 수도 있다. 베벨 및/또는 배면 세정은 캘리포니아 프리몬트 소재의 Lam Research Corporation으로부터 입수 가능한 Coronus® 툴을 사용하여 달성될 수도 있다.
건식-세정 동작은 대안적으로, 원본 (original) 포토레지스트가 손상되었거나 결함이 있을 때와 같이, 도포된 EUV 포토레지스트가 제거되고 포토레지스트 재도포를 위해 반도체 기판이 준비되는, 전체 포토레지스트 제거 또는 포토레지스트 "재작업"으로 확장될 수도 있다. 포토레지스트 재작업은 하부 반도체 기판을 손상시키지 않고 달성되어야 하고, 따라서 산소계 에칭이 방지되어야 한다. 대신, 본 명세서에 기술된 바와 같은 할라이드-함유 화학 물질들의 변형들이 사용될 수도 있다. 포토레지스트 재작업 동작은 프로세스 (100) 동안 임의의 스테이지에서 적용될 수도 있다는 것이 이해될 것이다. 따라서, 포토레지스트 재작업 동작은 포토레지스트 증착 후, 베벨 에지 및/또는 배면 세정 후, PAB 처리 후, EUV 노출 후, PEB 처리 후, 현상 후 또는 하드 소성 (hard bake) 후 적용될 수도 있다. 일부 실시 예들에서, 포토레지스트 재작업은 포토레지스트의 노출된 영역 및 노출되지 않은 영역의 비선택적 제거를 위해 수행될 수도 있지만, 하부 층에 대해서는 선택적이다.
일부 실시 예들에서, 포토레지스트 재작업 프로세스는 다음 가스들 중 하나 이상을 갖는 증기 및/또는 플라즈마를 수반한다: HBr, HCl, HI, BCl3, Cl2, BBr3, H2, PCl3, CH4, 메탄올, 암모니아, 포름산, NF3, HF.
프로세스 조건들은 포토레지스트 재작업을 위해 최적화될 수도 있다. 일부 실시 예들에서, 보다 높은 온도, 보다 높은 압력, 및/또는 보다 높은 반응 물질 플로우는 상승된 에칭 레이트를 야기할 수도 있다. 포토레지스트 재작업을 위한 적합한 프로세스 조건들은 포토레지스트 막 및 조성 및 특성들에 따라, 100 sccm 내지 500 sccm (예를 들어, 500 sccm의 HCl, HBr, HI, BCl3 또는 H2 및 Cl2 또는 Br2) 의 반응 물질 플로우, 20 ℃ 내지 140 ℃ (예를 들어, 80 ℃) 의 온도, 20 mTorr 내지 1000 mTorr (예를 들어, 300 mTorr) 의 압력 또는 50 Torr 내지 765 Torr (예를 들어, 760 Torr) 의 압력, 고 주파수 (예를 들어, 13.56 ㎒) 의 0 내지 800 W (예를 들어, 500 W) 의 플라즈마 전력, 0 내지 200 Vb의 웨이퍼 바이어스 (보다 높은 바이어스가 보다 단단한 하부 기판 재료들과 함께 사용될 수도 있음) 그리고 EUV 포토레지스트를 완전히 제거하기 충분한 약 20 초 내지 3 분의 시간 동안일 수도 있다. 이들 조건들은 일부 프로세싱 반응기들, 예를 들어, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Kiyo 에칭 툴에 적합하지만, 프로세싱 반응기의 능력들에 따라 보다 넓은 범위의 프로세스 조건들이 사용될 수도 있다는 것이 이해되어야 한다.
일부 실시 예들에서, 베벨 에지 세정은 대기 조건들 하에서 에칭 가스를 사용하여 수행될 수도 있다. 프로세스 챔버 내에서 진공 분위기를 생성하기 위해 진공 장비를 요구하는 대신, 베벨 에지 세정을 위한 프로세스 챔버는 대기 조건들에 노출될 수도 있다. 일부 실시 예들에서, 베벨 에지 세정을 위한 프로세스 챔버는 금속-함유 EUV 레지스트를 비진공 압력에 노출시킬 수도 있고, 비진공 압력은 약 50 Torr 내지 약 765 Torr, 약 100 Torr 내지 약 765 Torr, 또는 약 300 Torr 내지 약 765 Torr이다. 일부 실시 예들에서, 베벨 에지 세정을 위한 프로세스 챔버는 금속-함유 EUV 레지스트를 대기압 이상에 노출할 수도 있고, 챔버 압력은 약 760 Torr보다 크다. 일부 실시 예들에서, 베벨 에지 세정을 위한 프로세스 챔버는 금속-함유 EUV 레지스트를 공기 또는 주변 가스(들)에 노출할 수 있다. 주변 가스들은 산소, 질소, 이산화탄소, 수증기, 또는 이들의 조합들을 포함할 수도 있다. 일부 실시 예들에서, 베벨 에지 세정을 위한 프로세스 챔버는 금속-함유 EUV 레지스트를 제어된 분위기에 노출할 수 있고, 제어된 분위기는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 노출을 포함한다.
프로세스 챔버는 온도 제어를 위한 하나 이상의 히터들을 포함할 수도 있다. 하나 이상의 히터들이 기판 온도 제어를 위해 프로세스 챔버 내에서 반도체 기판과 대면하는 가열 어셈블리에 커플링될 수도 있다. 예를 들어, 가열 어셈블리는 기판 지지부 밑에 또는 기판 지지부와 반도체 기판 사이에 포지셔닝될 수도 있다. 일부 실시 예들에서, 기판 온도는 IR 램프 또는 하나 이상의 LED들과 같은 복사 가열 어셈블리를 사용하여 제어될 수도 있다. EUV 레지스트의 베벨 에지 세정 동안, 반도체 기판은 상승된 온도를 겪을 (subjected to) 수도 있다. 온도는 튜닝될 수도 있고, 온도는 에칭 레이트와 같은 에칭의 다양한 양태들에 영향을 줄 수도 있다. 일부 실시 예들에서, 베벨 에지 세정은 약 50 ℃ 이상, 약 100 ℃ 이상, 약 120 ℃ 이상, 또는 약 100 ℃ 내지 약 230 ℃의 온도로 반도체 기판을 가열하는 것을 포함한다.
일부 실시 예들에서, 베벨 에지 및/또는 배면 세정을 위한 프로세스 챔버는 플라즈마-프리 열적 프로세스 챔버일 수도 있다. 플라즈마-프리 열적 프로세스 챔버는 직접 플라즈마 또는 리모트 플라즈마를 생성할 수 없을 수도 있다. 일부 다른 실시 예들에서, 베벨 및/또는 배면 세정을 위한 프로세스 챔버는 직접 플라즈마 소스 또는 리모트 플라즈마 소스에 커플링될 수도 있다. 플라즈마 소스는 베벨 및/또는 배면 세정 또는 후속하는 탈착, 디스커밍, 및 평활화 동작들을 위한 플라즈마를 생성하는데 유용할 수도 있다.
일부 실시 예들에서, 프로세스 챔버 내의 베벨 에지 세정은 블록 (106) 에서 PAB 노출을 수행하기 위한 소성 챔버 또는 블록 (110) 에서 PEB 노출을 수행하기 위한 소성 챔버와 같은 소성 챔버에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내 베벨 에지 세정은 블록 (112) 에서 현상을 수행하기 위해 현상 챔버 내에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내 베벨 에지 세정은 스핀-세정 챔버와 같은 웨이퍼 세정 챔버 내에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내 베벨 에지 세정은 HMDS 처리 챔버와 같은 처리 챔버 내에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내 베벨 에지 세정은 배치 퍼니스 반응기에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내 베벨 에지 세정은 단일 또는 미니-배치 버퍼 모듈에서 수행될 수도 있다.
프로세스 (100) 의 블록 (106) 에서, 선택 가능한 도포 후 소성 (post-application bake; PAB) 이 EUV-패터닝 가능한 막의 증착 후 그리고 EUV 노출 전에 수행된다. PAB 처리는 열 처리, 화학적 노출, 및 EUV-패터닝 가능한 막의 EUV 감도 (sensitivity) 를 상승시키기 위한 수분의 조합을 수반할 수도 있어, EUV-패터닝 가능한 막에서 패턴을 현상하기 위해 EUV 도즈를 감소시킨다. PAB 처리 온도는 EUV-패터닝 가능한 막의 감도를 상승시키기 위해 튜닝되고 최적화될 수도 있다. 예를 들어, 처리 온도는 약 90 ℃ 내지 약 200 ℃ 또는 약 150 ℃ 내지 약 190 ℃일 수도 있다. 일부 실시 예들에서, PAB 처리는 대기압과 진공 사이의 압력, 및 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간으로 수행될 수도 있다. 일부 실시 예들에서, PAB 처리는 약 100 ℃ 내지 230 ℃의 온도 및 대기압에서 수행된다.
프로세스 (100) 의 블록 (108) 에서, 금속-함유 EUV 레지스트 막은 패턴을 현상하기 위해 EUV 방사선에 노출된다. 일반적으로 말하면, EUV 노출은 화학적 조성의 변화 및 금속-함유 EUV 레지스트 막의 교차 결합에서 변화를 유발하여, 후속 현상을 위해 이용될 (exploit) 수 있는 에칭 선택도의 콘트라스트를 생성한다.
이어서 금속-함유 EUV 레지스트 막은 통상적으로 상대적으로 고 진공 하에서 막의 영역을 EUV 광에 노출시킴으로써 패터닝될 수도 있다. 본 명세서에 유용한 것들 중 EUV 디바이스들 및 이미징 (imaging) 방법들은 당업계에 공지된 방법들을 포함한다. 특히, 상기 논의된 바와 같이, 막의 노출된 영역들은 노출되지 않은 영역들에 대해 물리적 또는 화학적 특성들이 변경된 EUV 패터닝을 통해 생성된다. 예를 들어, 노출된 영역들에서, 금속-탄소 결합 분열 (cleavage) 이 베타-하이드라이드 (beta-hydride) 제거를 통해 발생할 수도 있어서, 후속 노출 후 소성 (post-exposure bake; PEB) 단계 동안 금속-산소 브리지들을 통해 하이드록사이드 및 교차 결합된 금속 옥사이드 모이어티들로 변환될 수 있는 반응성 및 액세스 가능 금속 하이드라이드 작용기들을 남긴다. 이 프로세스는 네거티브 톤 레지스트로서 현상을 위해 화학적 콘트라스트를 생성하도록 사용될 수 있다. 일반적으로, 알킬기의 보다 큰 수의 베타-H는 보다 민감한 막을 발생시킨다. 이는 또한 보다 많은 분기들을 갖는 보다 약한 Sn-C 결합으로 설명될 수 있다. 노출에 이어, 금속 옥사이드 막의 부가적인 교차 결합을 유발하도록 금속-함유 EUV 레지스트 막은 소성될 수도 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는 노출되지 않은 영역들을 용해시키고 또는 노출된 영역들 상에 재료들을 증착하는 것과 같은 후속 프로세싱에 이용될 수도 있다. 예를 들어 패턴은 금속 옥사이드-함유 마스크를 형성하기 위해 건식 방법을 사용하여 현상될 수 있다.
특히, 다양한 실시 예들에서, 표면 상에 존재하는 하이드로카빌-종단된 주석 옥사이드는, 특히 노출이 EUV를 사용하여 진공에서 수행될 때 이미징 층의 노출된 영역(들)에서 수소-종단된 주석 옥사이드로 변환된다. 그러나, 진공으로부터 공기로 노출된 이미징 층들을 제거하거나, 산소, 오존, H2O2, 또는 물의 제어된 도입은 표면 Sn-H의 Sn-OH로의 산화를 발생시킬 수 있다. 노출된 영역과 노출되지 않은 영역 사이의 특성들의 차이는, 예컨대 이미징 층에 재료를 선택적으로 첨가하거나 이미징 층으로부터 재료를 제거하도록 하나 이상의 시약들과 조사된 영역, 조사되지 않은 영역, 또는 둘 모두를 반응시킴으로써 후속 프로세싱에서 이용될 수도 있다.
본 기술 (technology) 의 메커니즘, 기능 또는 실용성을 제한하지 않고, 예를 들어 10 mJ/㎠ 내지 100 mJ/㎠의 도즈들에서 EUV 노출은 Sn-C 결합들의 분열을 발생시켜 알킬 치환기의 손실, 입체 장애를 완화시키고, 저 밀도 막으로 하여금 붕괴되게 한다. 또한, 베타-하이드라이드 제거 반응들에서 생성된 반응성 금속-H 결합은 막의 하이드록실들과 같은 이웃하는 활성기들과 반응할 수 있어서, 추가 교차 결합 및 치밀화로 이어지고, 노출된 영역(들)과 비노출 영역(들) 사이의 화학적 콘트라스트를 생성한다.
EUV 광에 대한 금속-함유 EUV 레지스트 막의 노출에 이어서, 포토패터닝된 금속-함유 EUV 레지스트가 제공된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV-노출된 영역 및 노출되지 않은 영역을 포함한다.
프로세스 (100) 의 블록 (110) 에서, 선택 가능한 노출 후 소성 (post-exposure bake; PEB) 는 포토패터닝된 금속-함유 EUV 레지스트의 에칭 선택도의 콘트라스트를 더 증가시키도록 수행된다. 포토패터닝된 금속-함유 EUV 레지스트는 EUV-노출된 영역들의 교차 결합을 용이하게 하도록 다양한 화학 종의 존재 하에 열적으로 처리될 수 있거나, 대기 (ambient air) 에서 예를 들어 1 분 내지 5 분 동안 100 ℃ 내지 250 ℃ (예를 들어, 2 분 동안 190 ℃) 의 핫 플레이트 상에서 단순히 소성될 수 있다.
다양한 실시 예들에서, 소성 전략은 소성 분위기의 신중한 제어, 반응성 가스들의 도입, 및/또는 소성 온도의 램핑 (ramping) 레이트의 신중한 제어를 수반한다. 유용한 반응성 가스들의 예들은 예를 들어, 공기, H2O, H2O2 증기, CO2, CO, O2, O3, CH4, CH3OH, N2, H2, NH3, N2O, NO, 알코올, 아세틸 아세톤, 포름산, Ar, He, 또는 이들의 혼합물들을 포함한다. PEB 처리는 (1) EUV 노출 동안 생성되는 유기 단편들의 완전한 증발을 유도하고 (2) EUV 노출에 의해 생성된 모든 Sn-H, Sn-Sn, 또는 Sn 라디칼 종을 금속 하이드록사이드로 산화시키고, 그리고 (3) 보다 치밀하게 교차 결합된 SnO2-유사 네트워크를 형성하도록 이웃하는 Sn-OH 기들 사이의 교차 결합을 용이하게 하도록 설계된다. 소성 온도는 최적의 EUV 리소그래피 성능을 달성하도록 신중하게 선택된다. 너무 낮은 PEB 온도는 불충분한 교차 결합을 야기할 것이고, 결과적으로 주어진 도즈에서 현상을 위한 보다 적은 화학적 콘트라스트를 야기할 것이다. 너무 높은 PEB 온도는 또한 노출되지 않은 영역 (이 예에서 마스크를 형성하기 위해 패터닝된 막의 현상에 의해 제거되는 영역) 에서 심각한 산화 및 막 수축을 포함하여 유해한 영향들을 가질 뿐만 아니라, 포토패터닝된 금속-함유 EUV 레지스트와 하부 층 사이의 계면에서 목표되지 않은 상호확산 (interdiffusion) 을 가질 것이고, 이들 모두는 화학적 콘트라스트들의 손실 및 불용성 스컴 (scum) 으로 인한 결함 밀도의 상승에 원인이 될 수 있다. PEB 처리 온도는 약 100 ℃ 내지 약 300 ℃, 약 170 ℃ 내지 약 290 ℃, 또는 약 200 ℃ 내지 약 240 ℃일 수도 있다. 일부 실시 예들에서, PEB 처리는 대기압과 진공 사이의 압력, 및 약 1 내지 15 분, 예를 들어 약 2 분의 처리 지속 기간으로 수행될 수도 있다. 일부 실시 예들에서, PEB 열 처리는 에칭 선택도를 더 상승시키도록 반복될 수도 있다.
프로세스 (100) 의 블록 (112) 에서, 포토패터닝된 금속-함유 EUV 레지스트가 레지스트 마스크를 형성하도록 현상된다. 다양한 실시 예들에서, 노출된 영역들이 제거되거나 (포지티브 톤) 노출되지 않은 영역들이 제거된다 (네거티브 톤). 일부 실시 예들에서, 현상은 포토패터닝된 금속-함유 EUV 레지스트의 노출된 영역 또는 노출되지 않은 영역 상에 선택적인 증착, 이어서 에칭 동작을 포함할 수도 있다. 다양한 실시 예들에서, 이들 프로세스들은 건식 프로세스들 또는 습식 프로세스들일 수도 있다. 일부 실시 예들에서 플라즈마를 스트라이킹하지 (striking) 않고 할로겐-함유 가스(들)의 플로우들을 사용하여 현상이 행해질 수도 있다. 또는, 현상은 리모트 플라즈마 소스에서 활성화되거나 리모트 UV 복사에 대한 노출에 의해 활성화된 할로겐-함유 가스(들)의 플로우들로 행해질 수도 있다. 현상을 위한 포토레지스트는 주석, 하프늄, 텔루륨, 비스무트, 인듐, 안티몬, 요오드, 및 게르마늄으로 구성된 그룹으로부터 선택된 원소를 포함할 수도 있다. 이 원소는 높은 패터닝 복사-흡수 단면을 가질 수도 있다. 일부 실시 예들에서, 이 원소는 높은 EUV-흡수 단면을 가질 수도 있다. 일부 실시 예들에서, 금속-함유 EUV 레지스트는 30 %보다 큰 전체 흡수를 가질 수도 있다. 완전-건식 리소그래피 프로세스에서, 이는 EUV 광자들의 보다 효율적인 활용을 제공하여, 보다 두껍고 보다 EUV-불투명 레지스트들의 현상을 가능하게 한다.
현상을 위한 프로세스들의 예들은 EUV 노출 도즈 및 노출 후 소성 (post-exposure bake) 을 겪은 다음 현상되는, 유기 주석 옥사이드 함유 EUV-감응 포토레지스트 박막 (예를 들어, 10 내지 30 ㎚ 두께, 예컨대 20 ㎚) 을 수반한다. 포토레지스트 막은 예를 들어, 이소프로필(트리스)(디메틸아미노)주석과 같은 유기 주석 전구체와 수증기의 가스상 (gas phase) 반응에 기초하여 증착될 수도 있고, 또는 유기 매트릭스 내에 주석 클러스터들을 포함하는 스핀-온 막일 수도 있다.
도 2a 내지 도 2c는 일부 실시 예들에 따른 건식 현상의 다양한 프로세싱 단계들의 단면 개략도들을 도시한다. 도 2a 내지 도 2c에 도시된 예는 네거티브 톤 건식 현상을 예시한다. 도 2a에 도시된 바와 같이, 웨이퍼 (200) 는 기판 (202) 및 에칭될 기판 층 (204) 을 포함한다. 일부 실시 예들에서, 기판 층 (204) 은 SoC (spin-on carbon) 또는 다른 재료, 예를 들어, 실리콘, 실리콘 옥사이드, 실리콘 나이트라이드, 실리콘 카바이드, 등과 같은 애시 가능 하드 마스크를 포함한다. 일부 실시 예들에서, 기판 층 (204) 은 기판 (202) 상에 배치된 층 스택일 수도 있다. 웨이퍼 (200) 는 포토패터닝된 금속-함유 EUV 레지스트 막 (206) 을 더 포함한다. 예를 들어, 포토패터닝된 금속-함유 EUV 레지스트 막 (206) 은 에칭될 기판 층 (204) 상에 배치된 유기-금속-함유 층일 수도 있다. 포토패터닝된 금속-함유 EUV 레지스트 막 (206) 은 약 5 ㎚ 내지 약 50 ㎚ 또는 약 10 ㎚ 내지 약 30 ㎚의 두께를 가질 수도 있다. 포토패터닝된 금속-함유 EUV 레지스트 막 (206) 은 상기 기술된 바와 같은 EUV 스캐너에서 포토패터닝 후 그리고/또는 PEB 처리 후 프로세스 챔버에 제공될 수도 있다. 포토패터닝된 금속-함유 EUV 레지스트 막 (206) 은 EUV 노출되지 않은 영역들 (206a) 및 EUV 노출된 영역들 (206b) 을 포함한다. 도 2b에 도시된 바와 같이, 포토패터닝된 금속-함유 EUV 레지스트 막 (206) 의EUV 노출되지 않은 영역들 (206a) 은 플라즈마를 스트라이킹하지 않고 건식 현상 화학 물질의 플로우들로의 노출에 의해 건식 현상 프로세스에서 제거될 수 있다. 건식 현상 화학 물질은 할로겐-함유 화학 물질을 포함할 수도 있다. 레지스트 마스크 (208) 는 EUV 노출되지 않은 영역들 (206a) 의 제거에 의해 현상 후에 형성된다. 그 후, 에칭될 기판 층 (204) 은 도 2c에 도시된 구조체를 제공하도록 레지스트 마스크 (208) 를 사용하여 에칭될 수도 있다.
도 1을 다시 참조하면, 포토패터닝된 금속-함유 EUV 레지스트는 블록 (112) 에서 건식 현상 화학 물질에 대한 노출에 의해 현상되고, 건식 현상 화학 물질은 할로겐-함유 화학 물질이다. 일부 실시 예들에서, EUV 레지스트의 건식 현상은 금속-함유 EUV 레지스트 막의 건식 증착 (예를 들어, CVD) 과 같은 다른 건식 프로세싱 동작들과 결합된다.
일부 실시 예들에서, 반도체 기판의 포토레지스트 프로세싱은 기상 증착에 의한 막 형성, 배면 및/또는 베벨 에지 건식 세정, PAB 노출, EUV 리소그래피 패터닝, PEB 노출, 및 건식 현상을 포함하는 모든 건식 단계들을 결합할 수도 있다. 프로세스 (100) 의 블록들 (102 내지114) 의 동작들 각각은 건식 프로세싱 동작들일 수도 있다. 이러한 프로세싱 동작들은 습식 현상과 같은 습식 프로세싱 동작들과 연관된 재료 및 생산성 비용을 방지할 수도 있다. 건식 프로세스는 보다 많은 튜닝 가능성을 제공하고, 추가 임계 치수 (Critical Dimension; CD) 제어 및 스컴 (scum) 제거를 부가할 수 있다. 습식 현상은 용해도 및 클러스터 사이즈에 의해 제한되는 반면, 건식 현상은 용해도 및 클러스터 사이즈에 의해 제한되지 않는다. 습식 현상은 건식 현상이 방지하는 패턴 붕괴 및 박리 문제들에 보다 취약하다 (prone to).
일부 실시 예들에서, 건식 현상 프로세스들은 건식 현상 화학 물질을 흘리는 동안 순한 플라즈마 (고압, 저전력) 또는 열적 프로세스를 사용함으로써 수행될 수 있다. 예를 들어, 건식 현상은 건식 현상 화학 물질을 사용하는 열적 프로세스에서 수행될 수 있다. 일부 실시 예들에서, 건식 현상은 비노출 재료를 신속히 제거할 수 있고, 플라즈마 기반 에칭 프로세스들, 예를 들어 종래의 에칭 프로세스들에 의해 하부 층들로 전사될 수 있는 노출된 막의 패턴을 남긴다.
열적 현상 프로세스들에서, 기판은 프로세스 챔버 (예를 들어, 오븐) 의 현상 화학 물질 (예를 들어, 루이스 산) 에 노출된다. 프로세스 챔버 내에서 진공 분위기를 생성하기 위해 진공 장비를 요구하는 대신, 프로세스 챔버는 대기 조건들에 노출될 수도 있다. 즉, 열적 현상을 위한 프로세스 챔버는 포토패터닝된 금속-함유 EUV 레지스트를 비진공 압력에 노출시킬 수 있고, 여기서 비진공 압력은 약 50 Torr 내지 약 765 Torr, 약 100 Torr 내지 약 765 Torr, 또는 약 300 Torr 내지 약 765 Torr이다. 일부 실시 예들에서, 열적 현상을 위한 프로세스 챔버는 금속-함유 EUV 레지스트를 대기압 이상으로 노출할 수도 있고, 챔버 압력은 약 760 Torr보다 크다. 일부 실시 예들에서, 열적 현상을 위한 프로세스 챔버는 포토패터닝된 금속-함유 EUV 레지스트를 공기 또는 주변 가스(들)에 노출할 수 있다. 주변 가스들은 산소, 질소, 이산화탄소, 수증기, 또는 이들의 조합들을 포함할 수도 있다. 일부 실시 예들에서, 열적 현상을 위한 프로세스 챔버는 포토패터닝된 금속-함유 EUV 레지스트를 제어된 분위기에 노출할 수 있고, 제어된 분위기는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 노출을 포함한다.
열적 현상을 위한 프로세스 챔버는 온도 제어를 위한 하나 이상의 가열 엘리먼트들을 포함할 수도 있다. 하나 이상의 가열 엘리먼트들은 기판 온도 제어를 위해 프로세스 챔버 내에서 반도체 기판을 대면하는 가열 어셈블리에 커플링될 수도 있다. 일부 실시 예들에서, 하나 이상의 가열 엘리먼트들은 하나 이상의 LED들일 수도 있고, 여기서 LED들은 복수의 독립적으로 제어 가능한 가열 존들 내에 배치될 수도 있다. EUV 레지스트의 건식 현상 동안, 반도체 기판은 상승된 온도를 겪을 수도 있다. 온도는 튜닝될 수도 있고, 온도는 에칭 선택도와 같은 다양한 현상의 양태들에 영향을 줄 수도 있다. 일부 실시 예들에서, 건식 현상은 약 50 ℃ 이상, 약 100 ℃ 이상, 약 120 ℃ 이상, 또는 약 100 ℃ 내지 약 230 ℃의 온도로 반도체 기판을 가열하는 것을 포함한다.
열적 현상을 위한 프로세스 챔버는 기판 지지부 위에 포지셔닝된 에칭 가스 전달 노즐 또는 에칭 가스 분배기를 포함할 수도 있다. 가스 플로우 레이트는 튜닝될 수도 있고, 가스 플로우는 현상 동안 노출된 영역과 노출되지 않은 영역 사이의 에칭 선택도에 영향을 줄 수도 있다. 일부 실시 예들에서, 가스 플로우 레이트는 약 0.5 SLM 내지 약 30 SLM, 약 1 SLM 내지 약 20 SLM, 또는 약 2 SLM 내지 약 15 SLM이다. 가스 플로우 레이트는 질소, 아르곤, 등과 같은 캐리어 가스 및 에칭 가스를 포함하여, 흐른 가스들의 총 플로우 레이트를 포함한다. 에칭 가스 플로우 레이트는 총 플로우 레이트의 일부일 수도 있고, 에칭 가스 플로우 레이트는 약 0.01 SLM 내지 약 1 SLM일 수도 있다. 노출의 지속 기간은 열적 현상 프로세스에서 튜닝될 수도 있다. 노출의 지속 기간은 다른 인자들 중에서도, 얼마나 많은 레지스트가 제거되도록 목표되는지, 현상 화학 물질, 레지스트의 교차 결합량, 및 레지스트의 조성 및 특성들에 종속될 수도 있다. 일부 실시 예들에서, 노출의 지속 기간은 약 1 초 내지 약 50 분, 약 3 초 내지 약 20 분, 또는 약 10 초 내지 약 6 분일 수도 있다.
건식 현상 동안 에칭 선택도는 다른 튜닝 가능한 프로세스 조건들 중에서 온도, 압력, 가스 플로우, 가스 조성, 및 플라즈마 전력과 같은 프로세스 조건들을 제어함으로써 튜닝 가능하다. 단일 단계 또는 복수의 단계들에서 에칭 선택도를 튜닝하는 것은 목표된 패터닝된 특성들을 달성할 수도 있다. 일부 실시 예들에서, EUV 레지스트 프로파일에 영향을 주기 위해 하나 이상의 단계들에 걸쳐 에칭 선택도가 건식 현상 동안 튜닝된다. 보다 구체적으로, EUV 레지스트 프로파일의 테이퍼 양 또는 재진입 각도는 하나 이상의 단계들에 걸쳐 상이한 에칭 선택도의 현상 화학 물질을 적용함으로써 제어될 수 있다. 디스커밍, 포토레지스트 재작업, 경화, 평활화 및 세정 동작들은 또한 튜닝 가능한 에칭 선택도에 따라 튜닝될 수도 있다.
일부 실시 예들에서, 건식 현상을 위한 프로세스 챔버는 플라즈마-프리 열적 프로세스 챔버일 수도 있다. 플라즈마-프리 열적 프로세스 챔버는 직접 플라즈마 또는 리모트 플라즈마를 생성할 수 없을 수도 있다. 일부 다른 실시 예들에서, 건식 현상을 위한 프로세스 챔버는 직접 또는 리모트 플라즈마 소스에 커플링될 수도 있다. 플라즈마 소스는 건식 현상 또는 후속 탈착, 디스커밍, 및 평활화 동작들을 위해 플라즈마를 생성하는데 유용할 수도 있다.
일부 실시 예들에서, 프로세스 챔버 내의 건식 현상은 블록 (106) 에서 PAB 노출을 수행하기 위한 소성 챔버 또는 블록 (110) 에서 PEB 노출을 수행하기 위한 소성 챔버와 같은 소성 챔버에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내의 건식 현상은 블록 (104) 에서 베벨 에지 세정을 수행하기 위해 베벨 에지 세정 챔버와 같은 웨이퍼 세정 챔버 내에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내 건식 현상은 스핀-세정 챔버와 같은 웨이퍼 세정 챔버 내에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내 건식 현상은 HMDS 처리 챔버와 같은 처리 챔버 내에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내 건식 현상은 배치 퍼니스 반응기에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 내의 건식 현상은 단일 또는 미니-배치 버퍼 모듈에서 수행될 수도 있다.
프로세스 (100) 의 블록 (114) 에서, 반도체 기판은 선택 가능하게 하드 소성을 겪는다. 하드 소성 프로세스 동안, 반도체 기판은 상승된 온도를 겪는다. 예를 들어, 반도체 기판은 약 50 ℃ 이상, 약 100 ℃ 내지 약 300 ℃, 또는 약 170 ℃ 내지 약 290 ℃의 상승된 온도를 겪을 수도 있다. 하드 소성 프로세스는 건식 현상으로부터 남아 있는 용매들 또는 에칭 가스를 제거할 수도 있다.
도 3a 및 도 3b는 일부 실시 예들에 따른 포토레지스트의 건식 에칭을 수행하기 위한 예시적인 프로세스 챔버들의 개략도들을 도시한다. 도 3a 및 도 3b에서, 프로세스 챔버 (300) 는 대기에 개방된다. 프로세스 챔버 (300) 는 반도체 기판 (320) 이 지지되는 플래튼 또는 기판 지지부 (310) 를 포함한다. 일부 실시 예들에서, 기판 지지부 (310) 는 반도체 기판 (320) 의 기판 온도를 제어하도록 구성된 하나 이상의 히터들 (미도시) 에 커플링될 수도 있다. 일부 실시 예들에서, 기판 지지부 (310) 는 반도체 기판 (320) 이 회전하도록 반도체 기판 (320) 을 스핀하도록 구성될 수도 있다. 일부 실시 예들에서, 기판 지지부 (310) 는 PAB 또는 PEB 처리에 사용된 소성 플레이트일 수도 있다. 놀랍게도, 프로세스 챔버 (300) 를 진공으로 만들지 (bring) 않고, EUV 레지스트의 건식 에칭 (예를 들어, 건식 현상) 은 대기 개방 분위기 (open air enviro㎚ent) 의 대기압에서 수행될 수 있거나 대기압 이상의 제어된 분위기에서 수행될 수 있다. 일부 실시 예들에서, 건식 에칭을 수행하기 위한 프로세스 챔버는 비플라즈마 기반 열적 프로세스 챔버일 수도 있다. 즉, 비플라즈마 기반 열적 프로세스 챔버는 직접 또는 리모트 플라즈마를 생성하지 못할 수도 있다. 일부 다른 실시 예들에서, 건식 에칭을 수행하기 위한 프로세스 챔버는 플라즈마-기반 프로세스 챔버일 수도 있다.
도 3a에서, 장치는 프로세스 챔버 (300) 를 포함하고, 프로세스 챔버 (300) 는 대기 조건들에 노출된다. 일부 실시 예들에서, 프로세스 챔버 (300) 는 소성 챔버이다. 일부 실시 예들에서, 장치는 프로세스 챔버 (300) 내에서 반도체 기판 (320) 을 지지하기 위한 소성 플레이트 (310) 를 포함한다. 일부 실시 예들에서, 소성 플레이트 (310) 는 상부 표면 및 소성 플레이트 (310) 의 상부 표면 위의 높이에서 반도체 기판을 지지하기 위한 복수의 MCA (minimum contact area) 지지부들 (미도시) 을 포함한다. 소성 플레이트 (310) 는 복수의 가열 존들 (미도시) 을 포함할 수도 있고, 복수의 가열 존들 각각은 하나 이상의 가열 엘리먼트들을 포함한다. 장치는 소성 플레이트 (310) 위에 포지셔닝된 에칭 가스 분배기 (330) 를 더 포함한다. 에칭 가스 분배기 (330) 는 반도체 기판 (320) 과 대면하는 대면 플레이트를 갖는 샤워헤드일 수도 있고, 대면 플레이트는 반도체 기판 (320) 으로의 에칭 가스의 전달을 위한 복수의 쓰루-홀들을 포함한다. 샤워헤드는 건식 현상, 건식 베벨 에지 세정, 또는 다른 건식 포토레지스트 프로세스 동안 에칭 가스를 도입하도록 역할할 수도 있다. 일부 실시 예들에서, 샤워헤드는 특정한 영역들에서 전체로서 또는 국부적으로 반도체 기판 (320) 에 에칭 가스를 전달할 수도 있다. 프로세스 챔버 (300) 가 반드시 진공 상태가 되는 것은 아니지만, 에칭 부산물들 및 다른 잔류 가스들을 제거하기 위해 배기 팬 또는 펌프가 프로세스 챔버 (300) 에 커플링될 수도 있다.
도 3b에서, 장치는 프로세스 챔버 (300) 를 포함하고, 프로세스 챔버 (300) 는 대기 조건들에 노출된다. 프로세스 챔버 (300) 는 임의의 진공 펌프 또는 다른 진공 장비에 반드시 연결되지 않는다는 것이 이해될 것이다. 그러나, 프로세스 챔버 (300) 는 에칭 부산물들 및 다른 잔류 가스들을 제거하기 위해 배기 팬 또는 펌프에 커플링될 수도 있다. 일부 실시 예들에서, 프로세스 챔버 (300) 는 웨이퍼 스핀-세정 챔버이다. 일부 실시 예들에서, 장치는 프로세스 챔버 (300) 내에 반도체 기판 (320) 을 홀딩하기 위한 기판 지지부 (310) 를 포함한다. 기판 지지부 (310) 는 반도체 기판 (320) 을 회전시키도록 구성될 수도 있다. 장치는 프로세스 챔버 (300) 내에서 반도체 기판 (320) 과 대면하는 가열 어셈블리 (미도시) 를 더 포함하고, 가열 어셈블리는 복수의 가열 엘리먼트들을 포함한다. 복수의 가열 엘리먼트들은 복수의 LED들을 포함할 수도 있고, 복수의 LED들은 복수의 독립적으로 제어 가능한 가열 존들 내에 배치될 수도 있다. 장치는 기판 지지부 (310) 위에 포지셔닝된 에칭 가스 전달 노즐 (340) 을 더 포함하고, 에칭 가스 전달 노즐 (340) 은 반도체 기판 (320) 위의 위치로 에칭 가스의 전달을 포지셔닝하도록 이동 가능하다. 일부 실시 예들에서, 에칭 가스 전달 노즐 (340) 은 반도체 기판 (320) 의 특정한 영역들 위에 국부적으로 에칭 가스 전달 노즐 (340) 을 포지셔닝하기 위한 이동식 스윙 암에 커플링된다. 예를 들어, 이동식 스윙 암은 반도체 기판 (320) 의 중심으로부터 에지로 에칭 가스 전달 노즐 (340) 을 방사상으로 포지셔닝할 수도 있다. 일부 실시 예들에서, 에칭 가스 전달 노즐 (340) 은 반도체 기판 (320) 의 표면에 대해 기울어지거나 수직이다.
포토레지스트의 건식 현상 또는 베벨 에지 세정을 위한 프로세스 챔버가 트랙 리소그래피 시스템에서 구현될 수도 있다. 포토리소그래피와 연관된 많은 단계들이 제어된 방식으로 반도체 기판들을 순차적으로 프로세싱하는 능력을 갖는 멀티-챔버 시스템 또는 클러스터 툴에서 수행되는 것이 일반적이다. 포토레지스트 재료를 증착하고 현상하기 위해 사용되는 클러스터 툴은 또한 트랙 리소그래피 툴 (track lithography tool) 로 지칭될 수도 있다. 트랙 리소그래피 툴들은 통상적으로 포토리소그래피의 다양한 양태들을 수행하기 위해 전용된 복수의 프로세스 챔버들을 하우징하는 메인 프레임을 포함한다. 일부는 습식 프로세싱 챔버일 수도 있고 일부는 건식 프로세싱 챔버일 수도 있다. 일부는 대기 개방 분위기에 노출될 수도 있고 일부는 진공 분위기에 인클로징될 (enclose) 수도 있다. 건식 제거를 위한 프로세스 챔버는 트랙 리소그래피 툴에서 대기압 이상의 제어된 분위기 또는 대기 개방 분위기에 노출될 수도 있다. 트랙 리소그래피 툴들은 흔히 반도체 기판들을 수용하고 클린 룸으로 리턴하기 위한 포드/카세트 마운팅 디바이스들을 포함한다. 트랙 리소그래피 툴들은 또한 흔히 다양한 챔버들/스테이션들 사이에서 반도체 기판들을 이송하기 위한 이송 로봇들을 포함한다.
도 4는 일부 실시 예들에 따른 포토레지스트 프로세싱을 위한 예시적인 시스템 아키텍처 또는 트랙 시스템의 개략적인 예시를 도시한다. 트랙 시스템 (400) 은 카세트 마운팅 섹션 (410), 프로세싱 섹션 (420), 인터페이스 섹션 (430), 및 노출 섹션 (440) 을 포함한다. 반도체 웨이퍼들 (W) 은 카세트 (402) 에 수용될 수도 있고 카세트 (404) 에서 리턴될 수도 있다. 프로세싱 섹션 (420) 은 복수의 프로세스 챔버들 또는 스테이션들 (421 내지 426) 을 가질 수도 있다. 이송 로봇 (455) 은 챔버들 사이에서 반도체 웨이퍼들을 이송하기 위해 중앙 통로 (450) 를 따라 이동하도록 구성될 수도 있다. 본 개시에서, 복수의 프로세스 챔버들 또는 스테이션들 (421 내지 426) 중 적어도 하나는 대기 조건들에 노출되고 반도체 웨이퍼 (W) 로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들의 건식 제거를 수행하도록 구성된다.
노출 섹션 (440) 은 인터페이스 섹션 (430) 을 통해 프로세싱 섹션 (420) 에 연결된다. 노출 섹션 (440) 은 반도체 웨이퍼 (W) 상의 감광성 재료들을 전자기 복사 (예를 들어, EUV 복사) 에 노출하기 위한 스캐너를 포함할 수도 있다.
프로세싱 섹션 (420) 은 반도체 웨이퍼 (W) 상에 포토레지스트 재료를 증착하기 위한 하나 이상의 증착 챔버들 (421) 을 포함할 수도 있다. 증착 챔버들 (421) 중 하나는 반사 방지 코팅과 같은 레지스트 코팅을 증착하도록 구성될 수도 있고 증착 챔버들 (421) 중 또 다른 챔버는 포토레지스트 재료를 증착하도록 구성될 수도 있다. 프로세싱 섹션 (420) 은 웨이퍼 세정 챔버 (422) 를 더 포함할 수도 있다. 예를 들어, 웨이퍼 세정 챔버 (422) 는 순수한 물의 제트 스트림을 사용하여 반도체 웨이퍼 (W) 를 세정할 수도 있다. 프로세싱 섹션 (420) 은 처리 챔버 (423) 를 더 포함할 수도 있다. 예를 들어, 처리 챔버 (423) 는 반도체 웨이퍼 (W) 의 표면이 HMDS를 겪는 접착 프로세싱 챔버를 포함할 수도 있다. 일부 실시 예들에서, 프로세싱 섹션 (420) 은 하나 이상의 소성 챔버들 (424) 을 더 포함한다. 예를 들어, 소성 챔버들 (424) 각각은 반도체 웨이퍼 (W) 를 가열하기 위한 하나 이상의 소성 플레이트들을 가질 수도 있다. 소성 챔버들 (424) 은 포토레지스트 프로세싱에서 PAB 처리들 또는 PEB 처리들을 수행할 수도 있다. 일부 실시 예들에서, 프로세싱 섹션 (420) 은 회전하는 브러시들로 반도체 웨이퍼 (W) 를 스크러빙하기 위한 스크러빙 스테이션 (425) 을 더 포함한다. 일부 실시 예들에서, 프로세싱 섹션 (420) 은 선택 가능하게 현상 화학 물질을 사용하여 포토레지스트를 현상하기 위한 현상 챔버들 (426) 을 포함한다.
본 개시에서, 건식 제거를 위한 프로세스 챔버는 트랙 리소그래피 시스템 (400) 내의 임의의 프로세스 챔버들 (421 내지 426) 일 수도 있다는 것이 이해될 것이다. 따라서, 건식 제거를 위한 전용 스테이션 대신, 트랙 리소그래피 시스템 (400) 의 프로세스 챔버는 건식 제거를 수행하도록 수정되거나 소성, 세정, 스크러빙, 처리, 증착, 또는 다른 포토레지스트 프로세싱 기능과 함께 건식 제거를 수행하도록 통합될 수도 있다. 일 예로서, 금속-함유 EUV를 증착하기 위한 증착 챔버 (421) 는 반도체 웨이퍼 (W) 로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들의 건식 제거를 수행하도록 구성될 수도 있다. 또 다른 예에서, 소성 챔버 (424) 는 이퍼 (W) 로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들의 건식 제거를 수행하도록 구성될 수도 있다. 또 다른 예에서, 웨이퍼 세정 챔버 (422) 는 반도체 웨이퍼 (W) 로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들의 건식 제거를 수행하도록 구성될 수도 있다. 또 다른 예에서, 반도체 웨이퍼 (W) 의 표면을 처리하기 위한 HMDS 처리 챔버는 반도체 웨이퍼 (W) 로부터 금속-함유 EUV의 하나 이상의 부분들의 건식 제거를 수행하도록 구성될 수도 있다. 또는, 현상 챔버 (426) 는 건식 제거와 함께 소성, 세정, 스크러빙, 처리, 증착, 또는 다른 포토레지스트 프로세싱 기능을 수행하도록 수정될 수도 있다. 건식 제거는 대기 조건들에서 수행될 수도 있다.
도 5는 일부 실시 예들에 따른 포토레지스트 프로세싱을 위한 대안적인 예시적인 시스템 아키텍처 또는 트랙 시스템의 개략적인 예시를 도시한다. 트랙 리소그래피 시스템 (500) 은 때때로 공장 인터페이스로 지칭되는 프론트 엔드 모듈 (510), 중앙 모듈 (520), 및 때때로 스캐너 인터페이스로 지칭되는 후면 (rear) 모듈 (530) 을 포함한다. 프론트 엔드 모듈 (510) 은 하나 이상의 포드들 또는 FOUP들 (front opening unified pods) (512) 을 포함한다. FOUP들 (512) 은 트랙 리소그래피 시스템 (500) 에서 프로세싱될 하나 이상의 반도체 웨이퍼들 (W) 을 수용하도록 구성된다.
프론트 엔드 모듈 (510) 은 프론트 엔드 로봇 (518) 과 함께 제 1 프로세싱 랙 (514) 및 제 2 프로세싱 랙 (516) 을 포함한다. 중앙 모듈 (520) 은 중앙 로봇 (528) 과 함께 제 1 프로세싱 랙 (524) 및 제 2 프로세싱 랙 (526) 을 포함한다. 후면 모듈 (530) 은 백엔드 로봇 (538) 과 함께 제 1 프로세싱 랙 (534) 및 제 2 프로세싱 랙 (536) 을 포함한다. 일부 실시 예들에서, 후면 모듈 (530) 의 프로세싱 랙들 (534 및 536) 은 스텝퍼 또는 스캐너 (540) 와 반도체 웨이퍼들 (W) 을 교환하도록 구성될 수도 있다. 스캐너 (540) 는 반도체 웨이퍼 상에 증착된 포토레지스트 재료들을 일부 형태의 전자기 복사 (예를 들어, EUV 복사선) 에 노출시킨다.
프로세싱 랙들 (514, 516, 524, 526, 534, 및 536) 각각은 수직으로 스택된 배열의 복수의 프로세싱 모듈들을 포함할 수도 있다. 즉, 프로세싱 랙들 (514, 516, 524, 526, 534, 및 536) 각각은 복수의 스택된 코팅 모듈들, 열적 또는 소성 모듈들, 세정 모듈들, 현상기 모듈들, 또는 포토레지스트 프로세싱 단계들을 수행하도록 구성된 다른 모듈들을 포함할 수도 있다. 예로서, 코팅 모듈들은 반사 방지 코팅 또는 포토레지스트 층들을 증착할 수도 있고, 열적 및 소성 모듈들은 소성/냉각 동작들을 수행할 수도 있고, 그리고 현상기 모듈들은 현상 동작들을 수행할 수도 있다. 트랙 리소그래피 시스템 (500) 의 프로세싱 랙은 건식 제거를 수행하도록 수정되거나 코팅, 열적 또는 소성 동작, 세정, 또는 다른 포토레지스트 프로세싱 기능과 함께 건식 제거를 수행하도록 통합될 수도 있다. 프로세싱 랙은 건식 제거를 수행하기 위해 대기 조건들에 노출될 수도 있다.
일부 실시 예들에서, 트랙 리소그래피 시스템 (500) 은 스캐너 (540) 와 통신하고, 프론트 엔드 모듈 (510), 중앙 모듈 (520), 및 후면 모듈 (530) 에서 수행된 프로세스들의 양태들을 모니터링하고 제어하도록 구성된 제어기 (550) 를 더 포함한다. 제어기 (550) 의 양태들은 이하에 더 상세히 기술된다.
대기 조건들 하에서 건식 에칭 프로세스 (예를 들어, 건식 현상) 는 소성 플레이트 또는 오븐과 같은 열적 프로세스 챔버에서 수행될 수도 있다. 일부 실시 예들에서, 열적 프로세스 챔버는 포토레지스트 프로세싱에서 PAB 또는 PEB 처리를 부가적으로 수행할 수도 있다. 일부 실시 예들에서, 포토레지스트를 증착하고 현상하는 방법은 금속-함유 EUV 레지스트를 건식 현상하는 것과 동일한 프로세스 챔버에서 상승된 온도에서 금속-함유 EUV 레지스트를 소성하는 단계를 포함한다. 일부 실시 예들에서, 포토레지스트를 증착하고 현상하는 방법은 반도체 기판으로부터 금속-함유 EUV 레지스트를 베벨 에지 세정하는 것과 동일한 프로세스 챔버에서 상승된 온도에서 금속-함유 EUV 레지스트를 소성하는 단계를 포함한다.
PAB 또는 PEB 열 처리는 약 100 ℃ 내지 약 170 ℃ 또는 약 120 ℃ 내지 약 150 ℃와 같은 상승된 온도로 기판 온도를 상승시킨다. 일부 실시 예들에서, 기판 온도는 IR 램프 또는 하나 이상의 LED들과 같은 복사 열 소스를 사용하여 제어될 수도 있다. 복사 열 소스는 기판 아래에 포지셔닝될 수도 있다. 대안적으로, 복사 열 소스는 기판 위에 포지셔닝될 수도 있다. 기판 온도는 복사 열 소스를 사용하여 확립된 피드백 제어 루프에서 고온계에 의해 능동적으로 제어될 수도 있다. PAB 또는 PEB 열 처리 동안 분위기는 N2, Ar, He, Xe, 또는 Ne와 같은 불활성 가스들을 흘림으로써 제어될 수도 있고, 여기서 불활성 가스들은 O2 및/또는 H2O와 혼합될 수도 있다. 일부 실시 예들에서, PAB 또는 PEB 열 처리 동안 압력은 대기압 이하로 제어될 수도 있다. 일부 실시 예들에서, PAB 또는 PEB 처리 동안 압력은 대기압 이상으로 제어될 수도 있다.
일부 실시 예들에서, 열적 프로세스 챔버는 반도체 기판의 가열을 제공하기 위해 소스로부터 열을 확산시키도록 사용될 수도 있는 알루미늄과 같은 고 전도성 금속으로 이루어진 기판 지지부를 포함한다. 일부 실시 예들에서, 열적 프로세스 챔버는 소성 플레이트와 임베딩되거나 열적으로 연통하는 (in thermal communication with) 하나 이상의 저항성 가열 엘리먼트들을 갖는 소성 플레이트를 포함한다. 소성 플레이트는 다양한 존 온도들을 제어하기 위해 복수의 히터 존들을 포함할 수도 있다. 일부 실시 예들에서, 하나 이상의 근접 핀들 또는 MCA 지지부들은 기판 온도를 제어하기 위해 소성 플레이트의 상부 표면 위의 반도체 기판의 높이를 조정하도록 구성될 수도 있다. 일부 실시 예들에서, 대면 플레이트는 소성 플레이트 위에 포지셔닝될 수도 있고, 대면 플레이트 온도는 기판 온도에 대한 제어를 더 제공할 수도 있다.
대기 조건들 하에서 건식 에칭 프로세스 (예를 들어, 건식 현상) 는 배치 퍼니스 반응기에서 수행될 수도 있다. 배치 퍼니스 반응기는 한번에 몇몇 기판들을 프로세싱하기 위한 수직 오븐일 수도 있고 큰 압력 범위에 걸쳐 동작할 수도 있다. 예를 들어, 이러한 수직 오븐은 약 50 Torr 내지 약 765 Torr, 또는 약 760 Torr 이상의 압력에서 동작할 수도 있다. 대기압 이상에서 동작하는 것은 산소 또는 공기에 의한 누출 오염을 방지하는데 유용할 수도 있다.
도 6은 일부 실시 예들에 따른 포토레지스트의 건식 에칭을 수행하기 위한 예시적인 배치 수직 퍼니스 (batch vertical furnace) 의 개략적인 예시를 도시한다. 배치 수직 퍼니스 (600) 는 기판들 (606) 의 배치 프로세싱을 위한 수직 오븐일 수도 있다. 배치 수직 퍼니스 (600) 는 복수의 기판들 (606) 을 홀딩하기 위한 기판 지지부 (620) 를 포함할 수도 있다. 도 6에 도시된 바와 같이, 복수의 기판들 (606) 은 서로 지지되고 스택될 수도 있다. 기판들 (606) 각각은 기판 지지부 (620) 에 의해 지지될 수도 있고 중력에 의해 홀딩될 수도 있다. 이는 수십 또는 수백 개의 기판들 (606) 로 하여금 배치 수직 퍼니스 (600) 를 통해 단일 실행 (run) 으로 배치 프로세싱되게 한다. 배치 수직 퍼니스 (600) 는 복수의 기판들 (606) 을 상승된 온도를 겪는 복수의 가열 엘리먼트들 (610) 을 포함한다. 도 6에 도시된 바와 같이, 복수의 가열 엘리먼트들 (610) 은 배치 수직 퍼니스 (600) 의 챔버 벽들 (602) 을 둘러쌀 수도 있다. 그러나, 복수의 가열 엘리먼트들 (610) 이 기판 지지부 (620) 내에 포함될 수도 있다는 것이 이해될 것이다. 일부 실시 예들에서, 기판 지지부 (620) 는 복수의 기판들 (606) 각각을 홀딩하기 위한 복수의 플레이트들일 수도 있다. 일부 실시 예들에서, 기판 지지부 (620) 는 슬롯된 지지 프레임에서와 같이 에지들에서 복수의 기판들 (606) 각각을 지지할 수도 있다.
배치 수직 퍼니스 (600) 는 배치 수직 퍼니스 (600) 의 챔버 벽들 (602) 에 의해 인클로징된 퍼니스 반응기 내로 에칭 가스를 전달하기 위한 하나 이상의 에칭 가스 유입구들 (630) 을 더 포함한다. 하나 이상의 에칭 가스 유입구들 (630) 은 부가적으로 또는 대안적으로 증착 동작들을 위해 전구체 가스를 전달하거나 퍼지 동작들을 위해 퍼지 가스를 전달할 수도 있다. 하나 이상의 에칭 가스 유입구들 (630) 은 복수의 기판들 (606) 의 기판 표면들에 걸쳐 평행하게 또는 측면으로 (from side to side) 가스 플로우 (632) 를 제공할 수도 있다. 이는 기판들 (606) 에 걸친 가스 플로우 균일도를 촉진한다. 복수의 기판들 (606) 각각은 금속-함유 EUV 레지스트를 포함할 수도 있다. 에칭 가스는 복수의 기판들로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들을 제거하도록 금속-함유 EUV 레지스트와 반응할 수도 있다. 이는 베벨 에지 세정 프로세스, 건식 현상, 또는 다른 포토레지스트 프로세싱 동작에서 발생할 수도 있다.
일부 실시 예들에서, 배치 수직 퍼니스 (600) 는 기판 지지부 (620) 를 회전시키도록 구성된 모터 (650) 를 더 포함한다. 이러한 방식으로, 복수의 기판들 (606) 은 상승된 온도에 노출되고 그리고/또는 에칭 가스에 노출되는 동안 회전될 수도 있다. 이는 가스 유입구 포지션 및/또는 유출구 포지션으로 인한 잠재적인 차이를 완화시킨다. 일부 실시 예들에서, 배치 수직 퍼니스 (600) 는 하나 이상의 가스 유출구들 (640) 을 더 포함한다. 하나 이상의 가스 유출구들 (640) 은 배치 수직 퍼니스 (600) 로부터 에칭 부산물들 및 잔류 가스들을 제거하도록 구성될 수도 있다. 일부 실시 예들에서, 하나 이상의 가스 유출구들 (640) 은 진공 펌프 또는 배기 팬에 커플링될 수도 있다.
일부 실시 예들에서, 배치 수직 퍼니스 (600) 의 챔버 벽들 (602) 은 에칭 가스에 내성이 있는 재료를 포함하고, 특히 에칭 가스는 HBr, HCl, 또는 BCl3와 같은 할로겐-기반 화합물을 포함한다. 예를 들어, 챔버 벽들 (602) 의 재료는 보다 쉽게 부식되는 알루미늄-기반 재료보다 석영, 세라믹 재료, 또는 저온 폴리머 재료를 포함할 수도 있다. 일부 실시 예들에서, 저온 폴리머 재료는 PTFE (polytetrafluoroethylene) 일 수도 있다. 배치 수직 퍼니스 (600) 는 저온, 에칭 반응 물질 화학량론의 엄격한 제어, 또는 기판 표면들에 걸친 가스 플로우 균일도를 요구하지 않는 건식 현상 또는 다른 포토레지스트 프로세스들에서 유용할 수도 있다.
대기 조건들 하에서 건식 에칭 프로세스 (예를 들어, 건식 현상) 는 단일 또는 미니-배치 버퍼 모듈에서 수행될 수도 있다. 이러한 버퍼 모듈들은 제어된 분위기를 제공할 수도 있다. 제어된 분위기는 가열 단계 또는 냉각 단계에서 채용될 수도 있다. 제어된 분위기는 대기 또는 주변 가스(들)에 대한 노출을 포함할 수도 있고, 또는 제어된 분위기는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 노출을 포함할 수도 있다. 일부 실시 예들에서, 제어된 분위기는 대기압에서 또는 대기압 이상에서 동작할 수도 있다.
버퍼 모듈은 하나 이상의 기판들을 홀딩할 수도 있고, 기판들은 금속-함유 EUV 레지스트를 포함할 수도 있다. 단일 또는 미니-배치 버퍼 모듈은 에칭 가스를 하나 이상의 기판들로 전달하기 위한 하나 이상의 에칭 가스 유입구들을 포함할 수도 있다. 에칭 가스는 금속-함유 EUV 레지스트의 하나 이상의 부분들을 제거할 수도 있다. 일부 실시 예들에서, 버퍼 모듈은 300 ㎜ 웨이퍼 FOUP 또는 저장 케이스들에서 채용될 수도 있다. 버퍼 모듈은 휘발성 주석-함유 부산물들과 같은 에칭 부산물들을 제거하기 위해 저속 및/또는 고온 탈기 (degas) 동작들을 수행하기 위해 직접적으로 적용될 수도 있다.
대기 조건들 하에서 건식 에칭 프로세스 (예를 들어, 건식 현상) 가 웨이퍼 세정 챔버에서 수행될 수도 있다. 웨이퍼 세정 챔버들은 반도체 기판으로 가스 또는 액체를 전달하기 위한 하나 이상의 배출 노즐들을 구비할 수도 있다. 일부 실시 예들에서, 웨이퍼 세정 챔버는 처리 유체들이 회전하는 기판의 에지로부터 외측으로 구동될 수 있도록 회전하게 구성된 기판 지지부 또는 척을 가질 수도 있다. 일부 실시 예들에서, 기판 지지부 또는 척은 반도체 기판을 가열하기 위한 하나 이상의 가열 엘리먼트들을 포함할 수도 있고 또는 커플링될 수도 있다. 반도체 기판을 가열하는 것은 통상적으로 린스 액체의 증발을 촉진할 수도 있다. 일부 실시 예들에서, 웨이퍼 세정 스테이션은 반도체 기판의 베벨 에지 세정을 위해 구성될 수도 있다. 일부 실시 예들에서, 웨이퍼 세정 스테이션은 플라즈마-프리 열적 프로세스 스테이션 또는 챔버일 수도 있다. 일부 다른 실시 예들에서, 웨이퍼 세정 스테이션은 탈착, 디스커밍, 및 평활화 동작들을 수행하기 위해 직접 또는 리모트 플라즈마를 생성하도록 구비될 수도 있다.
일부 실시 예들에서, 포토레지스트를 증착하고 현상하는 방법은 금속-함유 EUV 레지스트를 건식 현상하는 것과 동일한 프로세스 챔버에서 반도체 기판의 베벨 에지 세정을 수행하는 단계를 포함한다. 일부 실시 예들에서, 포토레지스트를 증착하고 현상하는 방법은 반도체 기판의 베벨 에지 세정을 수행하는 것과 동일한 프로세스 챔버에서 금속-함유 EUV 레지스트를 건식 현상하는 단계를 포함한다.
도 7a 및 도 7b는 일부 실시 예들에 따른 포토레지스트의 건식 에칭을 수행하도록 구성된 웨이퍼 세정 스테이션들의 개략적인 도시들을 도시한다. 일부 실시 예들에서, 웨이퍼 세정 스테이션 (700) 은 스핀-린스-건식 세정 스테이션일 수도 있다. 웨이퍼 세정 스테이션 (700) 은 기판 지지부 (710), 액체 노즐 (714), 및 가스 노즐 (716) 을 포함한다. 액체 노즐 (714) 은 액체 노즐 (714) 이 액체 전달 스윙 암 (704) 의 원위 단부에 장착되도록 액체 전달 암 또는 이동식 스윙 암 (704) 상에 장착될 수도 있다. 가스 노즐 (716) 은 가스 노즐 (716) 이 가스 전달 스윙 암 (706) 의 원위 단부에 장착되도록 가스 전달 암 또는 이동식 스윙 암 (706) 상에 장착될 수도 있다. 도 7a 및 도 7b에 도시된 바와 같이, 두 노즐들 (714, 716) 은 액체 또는 가스의 전달이 반도체 기판 (702) 의 중심으로 지향되도록 포지셔닝된다. 처리 유체는 액체 노즐 (714) 로부터 반도체 기판 (702) 으로 디스펜싱될 (dispense) 수도 있다. 일부 실시 예들에서, 처리 유체는 물의 제트로서 디스펜싱될 수도 있다. 세정 동작 동안, 반도체 기판 (702) 은 회전할 수도 있고 액체 노즐 (714) 은 처리 유체에 작용하는 원심력에 기인하여 반도체 기판 (702) 의 에지로부터 처리 유체가 튀어 나오도록 (fling out) 처리 유체를 반도체 기판 (702) 으로 전달할 수도 있다. 액체 노즐 (714) 은 반도체 기판 (702) 의 에지를 향해 피봇될 (pivot) 수 있다. 가스 노즐 (716) 은 반도체 기판 (702) 의 표면의 건조를 보조할 수도 있다. 가스 노즐 (716) 은 축을 따라 회전하도록 피봇될 수도 있고, 여기서 가스 노즐 (716) 은 반도체 기판 (702) 의 중심으로부터 멀어지게 피봇할 수 있다. 달리 말하면, 가스 노즐 (716) 은 반도체 기판 (702) 의 에지를 향해 방사상 외측으로 이동할 수 있다. 가스 노즐 (716) 은 병진 (translation) 또는 회전과 같은 다른 이동 방법들을 위해 구성될 수도 있다. 액체 노즐 (714) 및/또는 가스 노즐 (716) 의 포지셔닝은 제어기 및 피드백 루프를 통해 제어될 수도 있다.
웨이퍼 세정 스테이션 (700) 은 대기 조건들 하에서 건식 현상 및/또는 건식 베벨 에지 세정을 위해 수정되거나 통합될 수도 있다. 구체적으로, 가스 노즐 (716) 및 가스 전달 암 (706) 은 포토레지스트의 현상 또는 포토레지스트의 베벨 에지 세정을 위해 에칭 가스를 전달하도록 역할할 수도 있다. 가스 전달 암 (706) 은 반도체 기판 (602) 의 특정한 국부화된 영역들 위에 가스 노즐 (616) 의 정밀한 포지셔닝을 위해 이동 가능하다. 반도체 기판 (702) 위의 국부화된 가스 전달은 포토레지스트의 건식 현상 또는 베벨 에지 세정에서 보다 큰 균일도를 가능하게 할 수도 있다.
도 8a 및 도 8b는 일부 실시 예들에 따른 포토레지스트의 건식 에칭을 수행하도록 구성된 웨이퍼 세정 스테이션 및 가열 스테이션의 개략도들을 도시한다. 웨이퍼 세정 및 가열 스테이션 (800) 은 반도체 기판 (802) 의 국부적인 가열을 위해 그리고 반도체 기판 (802) 으로 유체 (예를 들어, 가스 또는 액체) 의 전달을 위해 구성될 수도 있다. 회전 척 (810) 은 반도체 기판 (802) 을 홀딩하고 회전시키도록 설계될 수도 있다. 일부 실시 예들에서, 반도체 기판 (802) 은 일련의 파지 핀들 (816) 에 의해 홀딩된다. 파지 핀들 (816) 은 석영 또는 사파이어로 이루어질 수도 있는 플레이트 (825) 내의 개구부들을 통과할 수도 있다. 플레이트 (825) 는 회전 척 (810) 에 고정될 수도 있다. 반도체 기판 (802) 이 회전 척 (810) 상에 포지셔닝될 때, 반도체 기판 (802) 의 하부 표면이 플레이트 (825) 에 평행하고 이로부터 작은 갭만큼 이격되도록 플레이트 (825) 위에 홀딩된다. 일부 실시 예들에서, 웨이퍼 세정 및 가열 스테이션 (800) 은 웨이퍼 세정 및 가열 스테이션 (800) 으로부터 에칭 부산물들 및 다른 잔류 가스들의 제거를 위한 배기 팬 (미도시) 을 더 포함한다. 일부 실시 예들에서, 웨이퍼 세정 및 가열 스테이션 (800) 은 웨이퍼 세정 및 가열 스테이션 (800) 내로 불활성 가스 또는 희석 가스를 전달하기 위한 가스 소스 (미도시) 를 더 포함한다. 가스 소스는 대기압 이상으로 압력을 상승시킬 수도 있고 그리고/또는 유용한 가스를 반도체 기판 (802) 에 전달할 수도 있다.
이동식 스윙 암 (830) 이 회전 척 (810) 에 인접하다. 이동식 스윙 암 (830) 은 구동 모터 (834) 를 중심으로 피봇 운동을 위해 장착된다. 이동식 스윙 암 (830) 에는 배출 노즐 (832) 을 통해 하향으로 배출되는 배출 유체가 공급된다. 이동식 스윙 암 (830) 은 실선으로 도시된 대기 포지션과 파선으로 도시된 중심 포지션 사이에서 이동 가능하다. 따라서, 배출 노즐 (832) 은 반도체 기판 (802) 의 전체 반경에 걸쳐 스캔할 수 있고, 반도체 기판 (802) 이 회전 척 (810) 에 의해 회전될 때, 전체 상향 대면 표면 상으로 배출 유체를 디스펜싱한다. 본 개시의 일부 실시 예들에서, 배출 유체는 금속-함유 EUV 레지스트의 건식 현상 또는 금속-함유 EUV 레지스트의 베벨 에지 세정을 위한 에칭 가스를 포함한다. 본 개시의 일부 실시 예들에서, 웨이퍼 세정 및 가열 스테이션 (800) 은 대기 개방 분위기에 노출될 수도 있다. 예를 들어, 웨이퍼 세정 및 가열 스테이션 (800) 내 압력은 약 50 Torr 내지 약 765 Torr일 수도 있다. 본 개시의 일부 실시 예들에서, 웨이퍼 세정 및 가열 스테이션 (800) 은 제어된 분위기에 있을 수도 있다. 예를 들어, 제어된 분위기는 대기압 또는 대기압 이상의 노출을 포함할 수도 있다. 제어된 분위기는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들과 같은 불활성 또는 희석 가스(들)에 대한 노출을 포함할 수도 있다.
플레이트 (825) 밑에는 가열 어셈블리 (850) 가 있다. 가열 어셈블리 (850) 는 고정된 포스트 (820) 상에 장착되고 따라서 회전하지 않는다. 가열 어셈블리 (850) 는 복수의 독립적으로 제어 가능한 가열 존들을 위한 복수의 가열 엘리먼트들 (852) 을 포함할 수도 있다. 일부 실시 예들에서, 복수의 가열 엘리먼트들 (852) 은 블루 LED들과 같은 복수의 LED들을 포함한다. 따라서, 가열 어셈블리 (850) 는 복사 가열 어셈블리일 수도 있다. 복수의 가열 엘리먼트들 (852) 은 제어기 (854) 에 연결될 수도 있고, 제어기 (854) 는 복수의 가열 엘리먼트들 (852) 의 턴 온 및 턴 오프, 뿐만 아니라 전력을 제어할 수도 있다. 일부 실시 예들에서, 제어기 (854) 는 이동식 스윙 암 (830) 의 포지셔닝을 위해 구동 모터 (834) 와 더 통신한다.
도 8a에 도시된 바와 같이, 복수의 가열 엘리먼트들 (852) 은 몇몇 동심원들로 배열된 복수의 LED들일 수도 있다. 예를 들어, 복수의 LED들은 20 개의 동심원들로 배열될 수도 있고, 원 각각은 16의 배수이다. 이에 따라, 동심원 각각은 이러한 배열에 의해 별도의 가열 존으로서 개별적으로 제어될 수 있다. 반도체 기판 (802) 은 가열 어셈블리 (850) 의 독립적으로 제어 가능한 가열 존들의 수에 대응하는, N 개의 존들, 1, 2, 3, 4, ... N 개로 분할되는 것으로 간주될 수도 있다. 이 배열은 반도체 기판 (802) 의 신속한 국부적인 가열을 허용한다.
가열 어셈블리 (850) 의 독립적으로 제어 가능한 가열 존들에 공급된 전력의 제어는 배출 노즐 (832) 의 방사상 포지션에 대응할 수도 있다. 따라서, 제어기 (854) 는 배출 노즐 (832) 의 방사상 포지션에 기초하여 관련된 가열 존들의 가열 엘리먼트들 (852) 로의 전력 공급을 제어할 수도 있다.
일부 실시 예들에서, 배출 노즐 (852) 은 금속-함유 EUV 레지스트의 건식 현상을 위해 반도체 기판 (802) 의 영역들 위에 포지셔닝될 수도 있다. 게다가, 가열 어셈블리 (850) 는 금속-함유 EUV 레지스트의 건식 현상을 촉진하도록 반도체 기판 (802) 의 영역들의 국부화된 가열을 제공할 수도 있다. 일부 실시 예들에서, 웨이퍼 세정 및 가열 스테이션 (800) 에서 금속-함유 EUV 레지스트의 건식 현상은 대기 조건들에서 수행될 수도 있다.
일부 실시 예들에서, 배출 노즐 (852) 은 반도체 기판 (802) 의 베벨 에지 세정을 위해 반도체 기판 (802) 의 베벨 에지 영역 위에 포지셔닝될 수도 있다. 구체적으로, 베벨 에지 세정은 금속-함유 EUV 레지스트의 제거를 위해 에칭 가스에 반도체 기판 (802) 의 베벨 에지를 노출한다. 금속-함유 EUV 레지스트는 다양한 온도들에서 에칭 가스를 사용하여 제거될 수도 있지만, 보다 높은 온도들은 에칭 레이트들을 가속화할 수도 있다. 일부 실시 예들에서, 가열 어셈블리 (850) 는 금속-함유 EUV 레지스트의 제거를 촉진하도록 반도체 기판 (802) 의 베벨 에지에서 국부화된 가열을 제공할 수도 있다. 일부 실시 예들에서, 웨이퍼 세정 및 가열 스테이션 (800) 내 금속-함유 EUV 레지스트의 베벨 에지 세정은 대기 조건들에서 수행될 수도 있다.
본 개시의 장치는 EUV 레지스트의 건식 에칭을 위해 구성된다. 건식 에칭은 대기 조건들에서 또는 진공 장비를 갖거나 갖지 않는 프로세스 챔버에서 수행될 수도 있다. 일부 실시 예들에서, 프로세스 챔버는 플라즈마-프리 (plasma-free) 열적 프로세스 챔버이다. 장치는 증착, 베벨 및 배면 세정, 도포 후 소성, EUV 스캐닝, 노출 후 소성, 포토레지스트 재작업, 디스컴, 평활화, 경화, 및 다른 동작들과 같은 다른 프로세싱 동작들을 수행하도록 구성될 수도 있다. 일부 실시 예들에서, 장치는 모든 건식 동작들을 수행하도록 구성된다. 일부 실시 예들에서, 장치는 모든 습식 동작들을 수행하도록 구성된다. 일부 실시 예들에서, 장치는 습식 동작 및 건식 동작의 조합을 수행하도록 구성된다.
도 9는 일부 실시 예들에 따른 건식 에칭을 수행하기 위한 예시적인 프로세스 스테이션의 개략적인 예시를 도시한다. 복수의 프로세스 스테이션들 (900) 이 공통 프로세스 툴 분위기에 포함될 수도 있다. 예를 들어, 도 10은 CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 VECTOR® 프로세싱 툴과 같은 멀티-스테이션 프로세싱 툴 (1000) 의 실시 예를 도시한다. 일부 실시 예들에서, 이하에 상세히 논의된 것들을 포함하는, 프로세스 스테이션 (1000) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들 (1050) 에 의해 프로그램적으로 조정될 수도 있다.
프로세스 스테이션은 클러스터 툴의 모듈로서 구성될 수도 있다. 도 9는 본 명세서에 기술된 실시 예들의 구현에 적합한 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 이러한 클러스터 프로세스 툴 아키텍처는 도 4 및 도 5를 참조하여 상기 및 도 10을 참조하여 이하에 더 기술된 바와 같이, 레지스트 증착, 레지스트 처리, 레지스트 베벨 에지 세정, 레지스트 소성, 레지스트 노출 (EUV 스캐너), 레지스트 건식 현상 및 에칭 모듈들을 포함할 수 있다.
일부 실시 예들에서, 특정한 프로세싱 기능들은 동일한 모듈에서 연속적으로 수행될 수 있다. 예를 들어, 건식 현상 및 소성 또는 건식 현상 및 베벨 에지 세정이 동일한 모듈에서 수행될 수도 있다.
도 9를 다시 참조하면, 프로세스 스테이션 (900) 은 분배 샤워헤드 (906) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (901) 과 유체로 연통한다. 반응 물질 전달 시스템 (901) 은 샤워헤드 (906) 로의 전달을 위해, 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝하기 (conditioning) 위한 혼합 용기 (mixing vessel) (904) 를 선택 가능하게 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (920) 은 프로세스 가스들의 혼합 용기 (904) 로의 도입을 제어할 수도 있다. 플라즈마 노출이 사용되면, 플라즈마는 또한 샤워헤드 (906) 로 전달될 수도 있고 또는 프로세스 스테이션 (900) 에서 생성될 수도 있다. 상기 주지된 바와 같이, 적어도 일부 실시 예들에서, 비플라즈마 열 노출이 유리하다.
도 9는 혼합 용기 (904) 로 공급될 액체 반응 물질을 기화시키기 위한 선택 가능한 기화 지점 (903) 을 포함한다. 일부 실시 예들에서, 기화 지점 (903) 의 업스트림의 LFC (Liquid Flow Controller) 가 기화 및 프로세스 스테이션 (900) 으로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (thermal Mass Flow Meter) 을 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (906) 는 기판 (912) 을 향해 프로세스 가스들을 분배한다. 도 9에 도시된 실시 예에서, 기판 (912) 은 샤워헤드 (906) 밑에 위치되고 페데스탈 (908) 상에 놓인 것으로 도시된다. 샤워헤드 (906) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (912) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (908) 은 기판 (912) 과 샤워헤드 (906) 사이의 볼륨에 기판 (912) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (950) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (908) 은 히터 (910) 를 통해 온도 제어될 수도 있다. 일부 실시 예들에서, EUV 레지스트의 건식 현상 화학 물질 또는 건식 세정 화학 물질에 대한 비플라즈마 열적 노출 동안 페데스탈 (908) 은 50 ℃보다 크고 최대 300 ℃ 이상, 예를 들어 50 ℃ 내지 230 ℃, 예컨대 약 100 ℃ 내지 200 ℃의 온도로 가열될 수도 있다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (900) 에 대한 압력 제어가 버터플라이 밸브 (918) 에 의해 선택 가능하게 제공될 수도 있다. 도 9의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (918) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (900) 은 진공 장비 없이 대기 개방 분위기에 있을 수도 있다. 프로세스 스테이션 (900) 은 건식 현상, 소성, 및/또는 건식 베벨 에지 세정과 같은 포토레지스트 프로세싱 동작들을 수행할 수도 있다.
일부 구현 예들에서, 샤워헤드 (906) 의 포지션는 기판 (912) 과 샤워헤드 (906) 사이의 볼륨을 가변하도록 페데스탈 (908) 에 대해 조정될 수도 있다. 또한, 페데스탈 (908) 및/또는 샤워헤드 (906) 의 수직 포지션는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (908) 은 기판 (912) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (950) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
플라즈마가 사용될 수도 있는 경우, 예를 들어 동일한 챔버에서 수행된 순한 플라즈마-기반 건식 현상 실시 예들 및/또는 에칭 동작들에서, 샤워헤드 (906) 및 페데스탈 (908) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (Radio Frequency; RF) 전력 공급부 (914) 및 매칭 네트워크 (916) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (914) 및 매칭 네트워크 (916) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 최대 약 500 W이다.
일부 실시 예들에서, 제어기 (950) 에 대한 인스트럭션들은 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈를 위한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 레시피 페이즈는 할로겐-함유 화합물과 같은 에칭 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 일부 실시 예들에서, 제어기 (950) 는 도 10의 시스템 제어기 (1050) 에 대해 이하에 기술된 임의의 피처들을 포함할 수도 있다.
상기 기술된 바와 같이, 하나 이상의 프로세스 스테이션들이 멀티-스테이션 프로세싱 툴에 포함될 수도 있다. 도 10은 본 명세서에 기술된 다양한 현상, 세정, 재작업, 디스컴 및 평활화 동작들의 구현에 적합한 예시적인 멀티-스테이션 프로세싱 툴 (1000) 의 개략적인 예시를 도시한다. 도 10은 인바운드 로드 록 (1002) 및 아웃바운드 로드 록 (1004) 을 갖는 멀티-스테이션 프로세싱 툴 (1000) 의 실시 예를 도시하고, 인바운드 로드 록 (1002) 및 아웃바운드 로드 록 (1004) 중 하나 또는 모두는 선택 가능하게 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (1006) 은, 카세트로부터 포드 (pod) (1008) 를 통해 로딩된 웨이퍼들을 대기 포트 (1010) 를 통해 인바운드 로드 록 (1002) 으로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (1002) 내의 페데스탈 (1012) 상에 로봇 (1006) 에 의해 배치되고, 대기 포트 (1010) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (1002) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1014) 내로 도입되기 전에 로드 록 내에서 기판 표면을 처리하기 위해 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 기판은 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (1002) 내에서도 또한 가열될 수도 있다. 다음에, 프로세싱 챔버 (1014) 로의 챔버 이송 포트 (1016) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 기판을 배치한다. 도 10에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 기판의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (1014) 는 도 10에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 1018로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 예를 들어, 일부 실시 예들에서, 프로세스 스테이션은 건식 현상 모드와 에칭 프로세스 모드 사이에서 스위칭 가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시 예들에서, 프로세싱 챔버 (1014) 는 건식 현상 스테이션 및 에칭 프로세스 스테이션의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (1014) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 10은 또한 프로세싱 챔버 (1014) 내에서 기판들을 이송하기 위한 기판 핸들링 시스템 (1090) 의 실시 예를 도시한다. 일부 실시 예들에서, 기판 핸들링 시스템 (1090) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 기판들을 이송할 수도 있다. 임의의 적합한 기판 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 기판 캐러셀들 및 기판 핸들링 로봇들을 포함한다. 도 10은 또한 프로세스 툴 (1000) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1050) 의 실시 예를 도시한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 을 포함할 수도 있다. 프로세서 (1052) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 는 프로세스 툴 (1000) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1050) 는 대용량 저장 디바이스 (1054) 에 저장되고 메모리 디바이스 (1056) 내로 로딩되어 프로세서 (1052) 상에서 실행되는 시스템 제어 소프트웨어 (1058) 를 실행한다. 대안적으로, 제어 로직은 제어기 (1050) 에 하드코딩될 (hard coded) 수도 있다. ASICs (Applications Specific Integrated Circuits), PLDs (Programmable Logic Devices) (예를 들어, field-programmable gate arrays, 또는 FPGAs) 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용될 때마다, 기능적으로 비슷한 하드코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 타이밍, 가스의 혼합물, 가스 플로우 레이트들, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (1000) 에 의해 수행되는 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 프로세스들을 실행하도록 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 작성될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (1058) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (Input/Output Control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (1050) 와 연관된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1018) 상에 기판을 로딩하고 기판과 프로세스 툴 (1000) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램이 다양한 가스 조성들 (예를 들어, 할로겐-함유 화합물) 및 플로우 레이트들을 제어하고, 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한, 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 (헬륨과 같은) 열 전달 가스의 기판으로의 전달을 제어할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시 예들에 따라 하나 이상의 프로세스 스테이션들의 프로세스 전극들로 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
압력 제어 프로그램은 본 명세서의 실시 예들에 따라 반응 챔버 내 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1050) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (마노미터들과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터로 사용될 수도 있다.
시스템 제어기 (1050) 는 상기 기술된 증착 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시 예들에 따른 증착, 소성, 베벨 에지 세정, 노출, 현상, 에칭, 및 다른 포토레지스트 프로세싱 동작들을 동작시키도록 파라미터들을 제어할 수도 있다.
시스템 제어기 (1050) 는 통상적으로 장치가 개시된 실시 예들에 따른 방법을 수행하도록 인스트럭션들을 실행하게 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시 예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션을 포함하는 머신-판독가능 매체가 시스템 제어기 (1050) 에 커플링될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (1050) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 시스템 제어기 (1050) 는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 포지션 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (1050) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기 (1050) 로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 는 반도체 기판을 프로세싱하기 위한 인스트럭션들로 구성된다. 인스트럭션들은 반도체 기판 상에 금속-함유 EUV 레지스트를 프로세스 챔버 내에 제공하고, 대기 조건들 하에서 반도체 기판으로부터 금속-함유 EUV 레지스트의 하나 이상의 부분들을 건식 에칭하기 위해 에칭 가스 분배기 또는 에칭 가스 전달 노즐을 통해 반도체 기판으로 에칭 가스를 전달하기 위한 코드를 포함한다. 일부 실시 예들에서, 대기 조건들 하에서 금속-함유 EUV 레지스트의 하나 이상의 부분들을 건식 에칭하는 것은 금속-함유 EUV 레지스트의 노출된 부분들에 대해 금속-함유 EUV 레지스트의 노출되지 않은 부분들을 선택적으로 제거함으로써 금속-함유 EUV 레지스트를 건식 현상하는 것을 포함한다. 일부 실시 예들에서, 대기 조건들 하에서 금속-함유 EUV 레지스트의 하나 이상의 부분들을 건식 에칭하는 것은 반도체 기판의 베벨 에지로부터 금속-함유 EUV 레지스트를 건식 세정하는 것을 포함한다.
시스템 제어기 (1050) 는, 일부 구현 예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기 (1050) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (1050) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 시스템 제어기 (1050) 가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (1050) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기 (1050) 는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
특정한 실시 예들에서, 일부 실시 예들의 구현에 적합한 에칭 동작들에 적합할 수도 있는 ICP (Inductively Coupled Plasma) 반응기들이 이제 기술된다. ICP 반응기들이 본 명세서에 기술되었지만, 일부 실시 예들에서, 용량 커플링 플라즈마 반응기들이 또한 사용될 수도 있다는 것이 이해되어야 한다.
도 11은 본 명세서에 기술된 특정한 실시 예들 및 동작들을 구현하기 위한 예시적인 유도 커플링된 플라즈마 장치의 단면 개략도를 도시한다. 장치는 건식 현상 및/또는 베벨 에지 세정과 같은 다양한 포토레지스트 프로세싱 동작들을 위해 사용될 수도 있고, 이의 예는 CA, Fremont 소재의 Lam Research Corp.에 의해 생산된 Kiyo® 반응기이다. 다른 실시 예들에서, 건식 현상 및/또는 베벨 에지 세정을 수행하기 위한 기능성을 갖는 다른 툴들 또는 툴 타입들이 구현을 위해 사용될 수도 있다.
유도 커플링 플라즈마 장치 (1100) 는 챔버 벽들 (1101) 및 윈도우 (1111) 에 의해 구조적으로 규정된 전체 프로세스 챔버 (1124) 를 포함한다. 챔버 벽들 (1101) 은 스테인리스 스틸 또는 알루미늄으로 제조될 수도 있다. 윈도우 (1111) 는 석영 또는 다른 유전체 재료로 제조될 수도 있다. 선택 가능한 내부 플라즈마 그리드 (1150) 가 전체 프로세스 챔버를 상부 서브챔버 (1102) 및 하부 서브챔버 (1103) 로 분할한다. 대부분의 실시 예들에서, 플라즈마 그리드 (1150) 는 제거될 수도 있고, 이에 따라 서브챔버들 (1102 및 1103) 로 이루어진 챔버 공간을 활용한다. 척 (1117) 이 하단 내측 표면 근방의 하부 서브챔버 (1103) 내에 포지셔닝된다. 척 (1117) 은 에칭 프로세스 및 증착 프로세스가 수행되는 반도체 웨이퍼 (1119) 를 수용하고 홀딩하도록 구성된다. 척 (1117) 은 존재한다면 웨이퍼 (1119) 를 지지하기 위한 정전 척일 수 있다. 일부 실시 예들에서, 에지 링 (미도시) 이 척 (1117) 을 둘러싸고, 척 (1117) 위에 존재한다면 웨이퍼 (1119) 의 상단 표면과 거의 평면인 상부 표면을 갖는다. 척 (1117) 은 또한 웨이퍼 (1119) 를 척킹 (chucking) 및 디척킹하기 (dechucking) 위한 정전 전극들을 포함한다. 필터 및 DC 클램프 전력 공급부 (미도시) 가 이 목적을 위해 제공될 수도 있다. 척 (1117) 으로부터 웨이퍼 (1119) 를 리프팅하기 위한 다른 제어 시스템들이 또한 제공될 수 있다. 척 (1117) 은 RF 전력 공급부 (1123) 를 사용하여 전기적으로 대전될 수 있다. RF 전력 공급부 (1123) 는 연결부 (1127) 를 통해 매칭 회로 (1121) 에 접속된다. 매칭 회로 (1121) 는 연결부 (1125) 를 통해 척 (1117) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (1123) 는 척 (1117) 에 접속된다. 다양한 실시 예들에서, 정전 척의 바이어스 전력은 약 50 V로 설정될 수도 있고, 또는 개시된 실시 예들에 따라 수행된 프로세스에 따라 상이한 바이어스 전력으로 설정될 수도 있다. 예를 들어, 바이어스 전력은 약 20 V 내지 약 100 V, 또는 약 30 V 내지 약 150 V일 수도 있다.
플라즈마 생성을 위한 엘리먼트들은 윈도우 (1111) 위에 포지셔닝된 코일 (1133) 을 포함한다. 일부 실시 예들에서, 코일은 개시된 실시 예들에서 사용되지 않는다. 코일 (1133) 은 전기적으로 전도성 재료로 제조되고, 적어도 하나의 완전한 턴을 포함한다. 도 11에 도시된 코일 (1133) 의 예는 3 개의 턴들을 포함한다. 코일 (1133) 의 단면들은 심볼들로 도시되고, "X"를 갖는 코일들은 페이지 내로 회전하여 연장하는 한편, "●"를 갖는 코일들은 페이지 밖으로 회전하여 연장한다. 플라즈마 생성을 위한 엘리먼트들은 또한 코일 (1133) 에 RF 전력을 공급하도록 구성된 RF 전력 공급부 (1141) 를 포함한다. 일반적으로, RF 전력 공급부 (1141) 는 연결부 (1145) 를 통해 매칭 회로 (1139) 에 접속된다. 매칭 회로 (1139) 는 연결부 (1143) 를 통해 코일 (1133) 에 접속된다. 이러한 방식으로, RF 전력 공급부 (1141) 는 코일 (1133) 에 접속된다. 선택 가능한 패러데이 차폐부 (1149a) 가 코일 (1133) 과 윈도우 (1111) 사이에 포지셔닝된다. 패러데이 차폐부 (1149a) 는 코일 (1133) 에 대해 이격된 관계로 유지될 수도 있다. 일부 실시 예들에서, 패러데이 차폐부 (1149a) 는 윈도우 (1111) 바로 위에 배치된다. 일부 실시 예들에서, 패러데이 차폐부 (1149a) 는 윈도우 (1111) 와 척 (1117) 사이에 있다. 일부 실시 예들에서, 패러데이 차폐부 (1149a) 는 코일 (1133) 에 대해 이격된 관계로 유지되지 않는다. 예를 들어, 패러데이 차폐부 (1149b) 는 갭 없이 윈도우 (1111) 바로 아래에 있을 수도 있다. 코일 (1133), 패러데이 차폐부 (1149a), 및 윈도우 (1111) 는 각각 서로 실질적으로 평행하도록 구성된다. 패러데이 차폐부 (1149a) 는 금속 또는 다른 종이 프로세스 챔버 (1124) 의 윈도우 (1111) 상에 증착되는 것을 방지할 수도 있다.
프로세스 가스들은 상부 서브챔버 (1102) 내에 포지셔닝된 하나 이상의 주 가스 플로우 유입구들 (1160) 을 통해 그리고/또는 하나 이상의 측면 가스 플로우 유입구들 (1170) 을 통해 프로세스 챔버 내로 흐를 수도 있다. 유사하게, 명시적으로 도시되지 않지만, 유사한 가스 플로우 유입구들이 용량 커플링 플라즈마 프로세싱 챔버에 프로세스 가스들을 공급하도록 사용될 수도 있다. 진공 펌프, 예를 들어, 1 단계 또는 2 단계 기계적 건조 펌프 및/또는 터보분자 펌프 (1140) 가, 프로세스 챔버 (1124) 밖으로 프로세스 가스들을 인출하고 프로세스 챔버 (1124) 내의 압력을 유지하도록 사용될 수도 있다. 예를 들어, 진공 펌프는 ALD의 퍼지 동작 동안 하부 서브챔버 (1103) 를 배기하도록 사용될 수도 있다. 밸브-제어된 도관이 진공 펌프에 의해 제공된 진공 분위기의 적용을 선택적으로 제어하기 위해 진공 펌프를 프로세스 챔버 (1124) 에 유체적으로 연결하도록 (fluidically connect) 사용될 수도 있다. 이는 동작 중인 (operational) 플라즈마 프로세싱 동안 쓰로틀 밸브 (미도시) 또는 펜듈럼 (pendulum) 밸브 (미도시) 와 같은 폐루프-제어된 플로우 제한 디바이스를 채용하여 이루어질 수도 있다. 유사하게, 용량 커플링된 플라즈마 프로세싱 챔버로의 진공 펌프 및 밸브 제어된 유체 연결이 또한 채용될 수도 있다.
장치 (1100) 의 동작 동안, 하나 이상의 프로세스 가스들은 가스 플로우 유입구들 (1160 및/또는 1170) 을 통해 공급될 수도 있다. 특정한 실시 예들에서, 프로세스 가스는 주 가스 플로우 유입구 (1160) 를 통해서만, 또는 측면 가스 플로우 유입구 (1170) 를 통해서만 공급될 수도 있다. 일부 경우들에서, 도면에 도시된 가스 플로우 유입구들은 보다 복잡한 가스 플로우 유입구들, 예를 들어 하나 이상의 샤워헤드들로 대체될 수도 있다. 패러데이 차폐부 (1149a) 및/또는 선택 가능한 그리드 (1150) 는 프로세스 챔버 (1124) 로의 프로세스 가스들의 전달을 허용하는 내부 채널들 및 홀들을 포함할 수도 있다. 패러데이 차폐부 (1149a) 및 선택 가능한 그리드 (1150) 중 하나 또는 모두는 프로세스 가스들의 전달을 위한 샤워헤드로서 역할할 수도 있다. 일부 실시 예들에서, 액체 기화 및 전달 시스템은 액체 반응 물질 또는 전구체가 기화되면, 기화된 반응 물질 또는 전구체가 가스 플로우 유입구 (1160 및/또는 1170) 를 통해 프로세스 챔버 (1124) 내로 도입되도록, 프로세스 챔버 (1124) 의 업스트림에 놓일 수도 있다.
RF 전류로 하여금 코일 (1133) 을 통해 흐르게 하도록, RF 전력 공급부 (1141) 로부터 코일 (1133) 로 무선 주파수 전력이 공급된다. 코일 (1133) 을 통해 흐르는 RF 전류는 코일 (1133) 주위에 전자기장을 생성한다. 전자기장은 상부 서브챔버 (1102) 내에 유도 전류를 생성한다. 웨이퍼 (1119) 와 다양한 생성된 이온들 및 라디칼들의 물리적 상호작용 및 화학적 상호작용은 웨이퍼 (1119) 의 피처들을 에칭하고 웨이퍼 (1119) 상에 층들을 선택적으로 증착한다.
상부 서브챔버 (1102) 및 하부 서브챔버 (1103) 모두가 있도록 플라즈마 그리드 (1150) 가 사용된다면, 유도 전류는 상부 서브챔버 (1102) 내에 전자-이온 플라즈마를 생성하기 위해 상부 서브챔버 (1102) 내에 존재하는 가스에 작용한다. 선택 가능한 내부 플라즈마 그리드 (1150) 는 하부 서브챔버 (1103) 내의 핫 (hot) 전자들의 양을 제한한다. 일부 실시 예들에서, 장치 (1100) 는 하부 서브챔버 (1103) 내에 존재하는 플라즈마가 이온-이온 플라즈마이도록 설계되고 동작된다.
상부 전자-이온 플라즈마 및 하부 이온-이온 플라즈마 모두는 양이온 및 음이온을 함유할 수도 있지만, 이온-이온 플라즈마는 보다 큰 음이온들 대 양이온들 비를 가질 것이다. 휘발성 에칭 및/또는 증착 부산물들은 포트 (1122) 를 통해 하부 서브챔버 (1103) 로부터 제거될 수도 있다. 본 명세서에 개시된 척 (1117) 은 약 10 ℃ 내지 약 250 ℃ 범위의 상승된 온도들에서 동작할 수도 있다. 온도는 프로세스 동작 및 특정한 레시피에 종속될 것이다.
장치 (1100) 는 클린 룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어, 및 분위기 입자 제어를 제공하는 배관 (plumbing) 을 포함한다. 이들 설비들은 타깃 제조 설비 내에 설치될 때 장치 (1100) 에 커플링된다. 부가적으로, 장치 (1100) 는 로봇들로 하여금 통상적인 자동화를 사용하여 장치 (1100) 내외로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
일부 실시 예들에서, (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (1130) 가 프로세스 챔버 (1124) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (1130) 는 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 수도 있다. 일부 실시 예들에서, 장치 (1100) 는 개시된 실시 예들이 수행될 때 플로우 레이트들 및 지속 기간들을 제어하기 위한 스위칭 시스템을 포함한다. 일부 실시 예들에서, 장치 (1100) 는 최대 약 600 ㎳, 또는 최대 약 750 ㎳의 스위칭 시간을 가질 수도 있다. 스위칭 시간은 플로우 화학 물질, 선택된 레시피, 반응기 아키텍처 및 다른 인자들에 종속될 수도 있다.
일부 구현 예들에서, 시스템 제어기 (1130) 는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, 시스템 제어기 (1130) 로 통합될 수도 있다. 시스템 제어기 (1130) 는, 시스템의 프로세싱 파라미터들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 포지션 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 시스템 제어기 (1130) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 또는 제거 동안 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기 (1130) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기 (1130) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 시스템 제어기 (1130) 는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 챔버 또는 모듈, EUV 리소그래피 챔버 (스캐너) 또는 모듈, 건식 현상 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
EUVL 패터닝은 종종 스캐너로 지칭되는 임의의 적합한 툴, 예를 들어 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼을 사용하여 수행될 수도 있다. EUVL 패터닝 툴은 기판이 본 명세서에 기술된 바와 같이 증착 및 에칭을 위해 내외로 이동되는 독립형 디바이스일 수도 있다. 또는 이하에 기술된 바와 같이, EUVL 패터닝 툴은 보다 큰 멀티-컴포넌트 툴 상의 모듈일 수도 있다. 도 12는 본 명세서에 기술된 프로세스들의 구현 예들에 적합한, 이송 모듈과 인터페이싱하는 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 프로세스들이 진공 통합된 장치 없이 수행될 수도 있지만, 이러한 장치는 일부 구현 예들에서 유리할 수도 있다.
도 12는 본 명세서에 기술된 프로세스들의 구현에 적합한, 이송 모듈과 인터페이싱하는 증착 및 패터닝 모듈들을 갖는 반도체 프로세스 클러스터 툴 아키텍처를 도시한다. 복수의 저장 설비들 및 프로세싱 모듈들 사이에서 웨이퍼들을 "이송"하기 위한 이송 모듈들의 배열은 "클러스터 툴 아키텍처" 시스템으로 지칭될 수도 있다. 증착 및 패터닝 모듈들은 특정한 프로세스의 요건들에 따라 진공-통합된다. 에칭을 위한 것과 같은 다른 모듈들이 또한 클러스터 상에 포함될 수도 있다.
진공 이송 모듈 (Vacuum Transport Module; VTM) (1238) 이 다양한 제조 프로세스들을 수행하도록 개별적으로 최적화될 수도 있는 4 개의 프로세싱 모듈들 (1220a 내지 1220d) 과 인터페이싱한다. 예로서, 프로세싱 모듈들 (1220a 내지 1220d) 은 증착, 증발, ELD, 건식 현상, 에칭, 스트립 (strip), 및/또는 다른 반도체 프로세스들을 수행하도록 구현될 수도 있다. 예를 들어, 모듈 (1220a) 은 본 명세서에 기술된 바와 같이 비플라즈마, 열적 원자 층 증착들을 수행하도록 동작될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 Vector 툴과 같은 ALD 반응기일 수도 있다. 그리고 모듈 (1220b) 은 Lam Vector®와 같은 PECVD 툴일 수도 있다. 도면이 반드시 축척대로 도시된 것은 아니라는 것이 이해되어야 한다.
로드 록들 또는 이송 모듈들로 또한 공지된 에어록들 (airlocks) (1242 및 1246) 은 VTM (1238) 및 패터닝 모듈 (1240) 과 인터페이싱한다. 예를 들어, 상기 주지된 바와 같이, 적합한 패터닝 모듈은 NL, Veldhoven 소재의 ASML에 의해 공급된 TWINSCAN NXE: 3300B® 플랫폼일 수도 있다. 이 툴 아키텍처는 반도체 기판들 또는 웨이퍼들과 같은 워크피스들로 하여금 노출 전에 반응하지 않도록 진공 하에서 이송되게 한다. 리소그래피 툴과 증착 모듈들의 통합은 EUVL가 또한 H2O, O2, 등과 같은 주변 가스들에 의한 입사 광자들의 강한 광 흡수를 고려하면 상당히 감소된 압력을 필요로 한다는 사실에 의해 용이해진다.
상기 주지된 바와 같이, 이 통합된 아키텍처는 단지 기술된 프로세스들의 구현을 위한 툴의 일 가능한 실시 예이다. 프로세스들은 또한 예를 들어, 도 12를 참조하여 기술된 바와 같지만 통합된 패터닝 모듈 없는 모듈들과 같이, 독립형 또는 다른 툴들, 예컨대 에칭, 스트립, 등 (예를 들어, Lam Kiyo 또는 Gamma 툴들) 과 함께 클러스터 아키텍처에 통합된, Lam Vector 툴과 같은 보다 통상적인 독립형 EUVL 스캐너 및 증착 반응기로 구현될 수도 있다.
에어록 (1242) 은 증착 모듈 (1220a) 을 서비스하는 VTM (1238) 으로부터 패터닝 모듈 (1240) 로의 기판의 이송을 지칭하는 "인출 (outgoing)" 로드 록일 수도 있고, 에어록 (1246) 은 패터닝 모듈 (1240) 로부터 VTM (1238) 으로 다시 기판의 이송을 지칭하는 "인입 (ingoing)" 로드 록일 수도 있다. 인입 로드 록 (1246) 은 또한 기판들의 액세스 및 진출 (egress) 을 위해 툴의 외부로의 인터페이스를 제공할 수도 있다. 프로세스 모듈 각각은 모듈을 VTM (1238) 에 인터페이싱하는 패싯 (facet) 을 갖는다. 예를 들어, 증착 프로세스 모듈 (1220a) 은 패싯 (1236) 을 갖는다. 패싯 각각의 내부에서, 센서들, 예를 들어, 도시된 바와 같이 센서 1 내지 센서 18은 각각의 스테이션들 사이에서 이동할 때 웨이퍼 (1226) 의 통과를 검출하도록 사용된다. 패터닝 모듈 (1240) 및 에어록들 (1242 및 1246) 은 도시되지 않은 부가적인 패싯들 및 센서들을 유사하게 구비할 수도 있다.
메인 VTM 로봇 (1222) 은 에어록들 (1242 및 1246) 을 포함하는 모듈들 사이에서 웨이퍼 (1226) 를 이송한다. 일 실시 예에서, 로봇 (1222) 은 하나의 암을 갖고, 또 다른 실시 예에서, 로봇 (1222) 은 2 개의 암들을 갖고, 암 각각은 이송을 위해 웨이퍼 (1226) 와 같은 웨이퍼들을 픽킹하기 (pick) 위한 엔드 이펙터 (end effector) (1224) 를 갖는다. 프론트 엔드 로봇 (1244) 은 인출 에어록 (1242) 으로부터 패터닝 모듈 (1240) 내로, 패터닝 모듈 (1240) 로부터 인입 에어록 (1246) 내로 웨이퍼들 (1226) 을 이송하도록 사용된다. 프론트 엔드 로봇 (1244) 은 또한 기판들의 액세스 및 진출을 위해 인입 로드 록과 툴의 외부 사이에서 웨이퍼들 (1226) 을 이송할 수도 있다. 인입 에어록 모듈 (1246) 이 대기와 진공 사이의 분위기를 매칭하는 능력을 갖기 때문에, 웨이퍼 (1226) 는 손상되지 않고 2 개의 압력 분위기들 사이에서 이동할 수 있다.
EUVL 툴이 통상적으로 증착 툴보다 높은 진공에서 동작한다는 것을 주의해야 한다. 이것이 사실이라면, 기판이 패터닝 툴 내로 진입하기 전에 탈기되게 하도록 EUVL 툴로의 증착 사이의 이송 동안 기판의 진공 분위기를 상승시키는 것이 바람직하다. 인출 에어록 (1242) 은 패터닝 툴 (1240) 의 광학계 (optics) 가 기판으로부터 가스 배출 (off-gassing) 에 의해 오염되지 않도록, 일정 기간 동안 패터닝 모듈 (1240) 내의 압력보다 높지 않은, 보다 낮은 압력으로 이송된 웨이퍼들을 홀딩하고 모든 가스 배출을 배기함으로써 이 기능을 제공할 수도 있다. 인출, 가스 배출 에어록을 위한 적합한 압력은 1E-8 Torr 이하이다.
도 13 및 도 14는 일부 실시 예들에서 사용될 수도 있는, CA, Fremont 소재의 Lam Research Corporation으로부터 입수 가능한 EOS 또는 Sense.i 제품들에서 적어도 부분적으로 구현될 수도 있는, 대안적인 프로세싱 툴 아키텍처들을 위한 구성들을 제공한다. 도 13 및 도 14에 도시된 바와 같이, 레지스트 프로세싱 트랙들은 건식 증착된 EUV 포토레지스트의 습식 현상의 구현을 위해 사용될 수도 있다. 본 명세서에 기술된 다양한 실시 예들은 도 13 및 도 14에 도시된 바와 같이 클러스터 아키텍처를 사용하여 수행될 수도 있다.
결론
예를 들어 EUV 패터닝의 맥락에서 패터닝 마스크를 형성하기 위한, 금속 및/또는 금속 옥사이드 포토레지스트들의 건식 에칭을 위한 프로세스 및 장치가 개시된다.
본 명세서에 기술된 예들 및 실시 예들은 단지 예시적인 목적들을 위한 것이고, 이 관점에서 다양한 수정들 또는 변화들이 당업자들에게 제안될 것이라는 것이 이해된다. 명확성을 위해 다양한 상세들이 생략되었지만, 다양한 설계 대안들이 구현될 수도 있다. 따라서, 본 예들은 제한적이지 않고 예시적인 것으로 간주되어야 하고, 개시는 본 명세서에 제공된 상세들로 제한되지 않고, 본 개시의 범위 내에서 수정될 수도 있다.

Claims (30)

  1. 프로세스 챔버로서, 대기 조건들 (atmospheric conditions) 에 노출되는, 상기 프로세스 챔버;
    상기 프로세스 챔버 내에 반도체 기판을 홀딩하기 위한 기판 지지부;
    상기 기판 지지부 상의 상기 반도체 기판과 대면하는 가열 어셈블리로서, 상기 가열 어셈블리는 복수의 가열 엘리먼트들을 포함하는, 상기 가열 어셈블리; 및
    상기 기판 지지부 위에 포지셔닝된 에칭 가스 전달 노즐로서, 상기 에칭 가스 전달 노즐은 상기 반도체 기판 위의 위치로 에칭 가스의 전달을 포지셔닝하도록 이동 가능한, 상기 에칭 가스 전달 노즐을 포함하는, 장치.
  2. 제 1 항에 있어서,
    상기 반도체 기판은 금속-함유 EUV 레지스트를 포함하고, 상기 금속-함유 EUV 레지스트의 하나 이상의 부분들은 상기 에칭 가스에 의해 제거 가능한, 장치.
  3. 제 1 항에 있어서,
    대기 조건들에 노출된 상기 프로세스 챔버는 약 50 Torr 내지 약 765 Torr의 대기압에 노출되는, 장치.
  4. 제 1 항에 있어서,
    상기 대기 조건들에 노출된 상기 프로세스 챔버는 제어된 분위기에 노출되고, 상기 제어된 분위기에 대한 노출은 약 760 Torr보다 큰 챔버 압력에 대한 노출 및/또는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 노출을 포함하는, 장치.
  5. 제 1 항에 있어서,
    상기 복수의 가열 엘리먼트들은 복수의 LED들을 포함하고, 상기 복수의 LED들은 복수의 독립적으로 제어 가능한 가열 존들 내에 배치되는, 장치.
  6. 제 1 항에 있어서,
    상기 에칭 가스 전달 노즐은 이동식 스윙 암 (movable swing arm) 에 커플링되고, 상기 이동식 스윙 암은 상기 반도체 기판의 중심으로부터 에지로 상기 에칭 가스 전달 노즐을 포지셔닝하도록 구성되는, 장치.
  7. 제 1 항에 있어서,
    상기 기판 지지부는 상기 반도체 기판을 회전시키도록 구성되는, 장치.
  8. 제 1 항에 있어서,
    상기 반도체 기판을 프로세싱하기 위한 인스트럭션들로 구성된 제어기를 더 포함하고, 상기 인스트럭션들은,
    상기 반도체 기판 상에 금속-함유 EUV 레지스트를 상기 프로세스 챔버 내에 제공하는 단계; 및
    대기 조건들 하에서 상기 반도체 기판으로부터 상기 금속-함유 EUV 레지스트의 하나 이상의 부분들을 건식 에칭하도록 상기 에칭 가스 전달 노즐을 통해 상기 반도체 기판으로 상기 에칭 가스를 전달하는 단계를 위한 코드를 포함하는, 장치.
  9. 제 8 항에 있어서,
    대기 조건들 하에서 상기 금속-함유 EUV 레지스트의 상기 하나 이상의 부분들을 건식 에칭하는 것은 상기 금속-함유 EUV 레지스트의 노출된 부분들에 대해 상기 금속-함유 EUV 레지스트의 노출되지 않은 부분들을 선택적으로 제거함으로써 상기 금속-함유 EUV 레지스트를 건식 현상하는 것을 포함하는, 장치.
  10. 제 8 항에 있어서,
    대기 조건들 하에서 상기 금속-함유 EUV 레지스트의 상기 하나 이상의 부분들을 건식 에칭하는 것은 상기 반도체 기판의 베벨 에지로부터 상기 금속-함유 EUV 레지스트를 건식 세정하는 것을 포함하는, 장치.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 프로세스 챔버 내의 에칭 부산물들 및 다른 잔류 가스들을 제거하도록 구성된 배기 팬을 더 포함하는, 장치.
  12. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    불활성 가스 및/또는 희석 가스를 상기 프로세스 챔버로 전달하기 위해 상기 프로세스 챔버에 커플링된 가스 소스를 더 포함하는, 장치.
  13. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 프로세스 챔버는 플라즈마-프리 (plasma-free) 열적 프로세스 챔버인, 장치.
  14. 프로세스 챔버로서, 대기 조건들에 노출되는, 상기 프로세스 챔버;
    반도체 기판을 지지하고 복수의 가열 존들을 포함하는 소성 플레이트 (bake plate) 로서, 상기 복수의 가열 존들 각각은 하나 이상의 가열 엘리먼트들을 포함하는, 상기 소성 플레이트; 및
    상기 소성 플레이트 위에 포지셔닝된 에칭 가스 분배기로서, 상기 에칭 가스 분배기는 상기 반도체 기판으로 에칭 가스를 전달하도록 구성되는, 상기 에칭 가스 분배기를 포함하는, 장치.
  15. 제 14 항에 있어서,
    상기 반도체 기판은 금속-함유 EUV 레지스트를 포함하고, 상기 금속-함유 EUV 레지스트의 하나 이상의 부분들은 상기 에칭 가스에 의해 제거 가능한, 장치.
  16. 제 14 항에 있어서,
    대기 조건들에 노출된 상기 프로세스 챔버는 약 50 Torr 내지 약 765 Torr의 대기압에 노출되는, 장치.
  17. 제 14 항에 있어서,
    상기 대기 조건들에 노출된 상기 프로세스 챔버는 제어된 분위기에 노출되고, 상기 제어된 분위기에 대한 노출은 약 760 Torr보다 큰 챔버 압력에 대한 노출 및/또는 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들에 대한 노출을 포함하는, 장치.
  18. 제 14 항에 있어서,
    상기 소성 플레이트는 상부 표면 및 상기 소성 플레이트의 상기 상부 표면 위의 높이에서 상기 반도체 기판을 지지하기 위한 복수의 MCA (minimum contact area) 지지부들을 포함하는, 장치.
  19. 제 14 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 에칭 가스 분배기는 상기 반도체 기판과 대면하는 대면 플레이트를 갖는 샤워헤드를 포함하고, 상기 대면 플레이트는 상기 반도체 기판으로의 상기 에칭 가스의 전달을 위한 복수의 쓰루-홀들을 포함하는, 장치.
  20. 제 14 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 프로세스 챔버는 플라즈마-프리 열적 프로세스 챔버인, 장치.
  21. 제 14 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 반도체 기판을 프로세싱하기 위한 인스트럭션들로 구성된 제어기를 더 포함하고, 상기 인스트럭션들은,
    상기 반도체 기판 상에 금속-함유 EUV 레지스트를 상기 프로세스 챔버 내에 제공하는 단계; 및
    대기 조건들 하에서 상기 반도체 기판으로부터 상기 금속-함유 EUV 레지스트의 하나 이상의 부분들을 건식 에칭하도록 상기 에칭 분배기를 통해 상기 반도체 기판으로 상기 에칭 가스를 전달하는 단계를 위한 코드를 포함하는, 장치.
  22. 복수의 반도체 기판들을 홀딩하기 위한 기판 지지부를 갖는 퍼니스 반응기;
    상승된 온도로 상기 복수의 반도체 기판들을 가열하기 위한 복수의 가열 엘리먼트들; 및
    상기 퍼니스 반응기 내로 에칭 가스를 전달하기 위한 하나 이상의 에칭 가스 유입구들로서, 상기 하나 이상의 에칭 가스 유입구들은 상기 복수의 반도체 기판들로 에칭 가스를 전달하도록 구성되는, 상기 에칭 가스 유입구들을 포함하는, 장치.
  23. 제 22 항에 있어서,
    상기 퍼니스 반응기는 대기 조건들에 노출되는, 장치.
  24. 제 23 항에 있어서,
    대기 조건들은 제어된 분위기로의 노출을 포함하고, 상기 하나 이상의 에칭 가스 유입구들은 상기 제어된 분위기를 제공하도록 질소, 수증기, 이산화탄소, 아르곤, 헬륨, 또는 이들의 조합들을 전달하도록 더 구성되는, 장치.
  25. 제 22 항에 있어서,
    상기 퍼니스 반응기로부터 에칭 부산물들 및 다른 잔류 가스들의 제거를 위한 하나 이상의 가스 유출구들; 및
    상기 기판 지지부를 회전시키기 위한 모터를 더 포함하는, 장치.
  26. 제 22 항 내지 제 25 항 중 어느 한 항에 있어서,
    상기 퍼니스 반응기의 챔버 벽들은 석영, 세라믹 재료, 또는 저온 폴리머 재료를 포함하는, 장치.
  27. 제 22 항 내지 제 25 항 중 어느 한 항에 있어서,
    상기 복수의 반도체 기판들의 반도체 기판 각각은 금속-함유 EUV 레지스트를 포함하고, 상기 금속-함유 EUV 레지스트의 하나 이상의 부분들은 상기 에칭 가스에 의해 제거 가능한, 장치.
  28. 카세트 마운팅 섹션으로서, 반도체 기판을 수용하고 리턴하기 위한 하나 이상의 포드들을 포함하는, 상기 카세트 마운팅 섹션;
    프로세싱 섹션으로서, 상기 프로세싱 섹션은 상기 반도체 기판의 포토레지스트 프로세싱을 위한 복수의 프로세싱 스테이션들을 포함하고, 상기 복수의 프로세싱 스테이션들은,
    상기 반도체 기판 상에 금속-함유 EUV 레지스트를 증착하기 위한 증착 챔버;
    열적 프로세스 챔버; 및
    기판 세정 챔버로서, 상기 복수의 프로세싱 스테이션들 중 적어도 하나는 대기 조건들에 노출되고 상기 반도체 기판으로부터 상기 금속-함유 EUV 레지스트의 하나 이상의 부분들의 건식 제거를 수행하도록 구성되는, 상기 기판 세정 챔버를 포함하는, 상기 프로세스 섹션; 및
    노출 섹션으로서, 상기 반도체 기판을 EUV 복사선에 노출시키기 위한 스캐너를 포함하는, 상기 노출 섹션; 및
    상기 프로세싱 섹션과 상기 노출 섹션 사이에서 상기 반도체 기판을 이송하기 위한 인터페이스 섹션을 포함하는, 트랙 리소그래피 시스템 (track lithography system).
  29. 제 28 항에 있어서,
    상기 복수의 프로세싱 스테이션들은,
    상기 반도체 기판의 표면을 처리하기 위한 HMDS (hexamethyldisilazane) 처리 챔버로서, 상기 HMDS 처리 챔버는 대기 조건들 하에서 상기 금속-함유 EUV 레지스트의 상기 하나 이상의 부분들의 건식 제거를 수행하도록 구성되는, 상기 HMDS 처리 챔버를 더 포함하는, 트랙 리소그래피 시스템.
  30. 제 28 항에 있어서,
    상기 복수의 프로세싱 스테이션들 중 상기 적어도 하나는 상기 반도체 기판을 홀딩하기 위한 기판 지지부 및 상기 반도체 기판으로의 에칭 가스의 전달을 위한 가스 분배기를 포함하고, 상기 금속-함유 EUV 레지스트의 상기 하나 이상의 부분들은 상기 에칭 가스에 의해 제거 가능한, 트랙 리소그래피 시스템.
KR1020227029421A 2020-11-13 2021-11-09 포토레지스트의 건식 제거를 위한 프로세스 툴 KR102673863B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237036296A KR20230152171A (ko) 2020-11-13 2021-11-09 포토레지스트의 건식 제거를 위한 프로세스 툴

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198804P 2020-11-13 2020-11-13
US63/198,804 2020-11-13
PCT/US2021/058647 WO2022103764A1 (en) 2020-11-13 2021-11-09 Process tool for dry removal of photoresist

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237036296A Division KR20230152171A (ko) 2020-11-13 2021-11-09 포토레지스트의 건식 제거를 위한 프로세스 툴

Publications (2)

Publication Number Publication Date
KR20220130783A true KR20220130783A (ko) 2022-09-27
KR102673863B1 KR102673863B1 (ko) 2024-06-11

Family

ID=81602525

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237036296A KR20230152171A (ko) 2020-11-13 2021-11-09 포토레지스트의 건식 제거를 위한 프로세스 툴
KR1020227029421A KR102673863B1 (ko) 2020-11-13 2021-11-09 포토레지스트의 건식 제거를 위한 프로세스 툴

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237036296A KR20230152171A (ko) 2020-11-13 2021-11-09 포토레지스트의 건식 제거를 위한 프로세스 툴

Country Status (6)

Country Link
US (2) US20230107357A1 (ko)
JP (2) JP2023520391A (ko)
KR (2) KR20230152171A (ko)
CN (2) CN115152008A (ko)
TW (2) TWI811842B (ko)
WO (1) WO2022103764A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
DE102022206124A1 (de) * 2022-06-20 2023-12-21 Carl Zeiss Smt Gmbh Vorrichtung und verfahren zum bearbeiten einer oberfläche eines optischen elements einer lithographieanlage
US20240096622A1 (en) * 2022-09-21 2024-03-21 Tokyo Electron Limited Method and Apparatus for In-Situ Dry Development
US20240160100A1 (en) * 2022-11-14 2024-05-16 Applied Materials, Inc. Integrated solution with low temperature dry develop for euv photoresist
CN117075442B (zh) * 2023-08-24 2024-04-26 上海图双精密装备有限公司 一种基于彩胶工艺的光刻机标记识别方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900001238A (ko) * 1988-06-14 1990-01-31 안시환 페이지 업/다운 가능한 메뉴방식의 멀티채널 시스템
EP1123423B1 (en) * 1998-09-16 2007-08-01 Applied Materials, Inc. High rate silicon deposition method at low pressures
KR20160035995A (ko) * 2014-09-24 2016-04-01 램 리써치 코포레이션 건조 모듈 내의 이동식 가스 노즐
KR20180036263A (ko) * 2016-09-30 2018-04-09 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62160981A (ja) * 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
US5094936A (en) * 1988-09-16 1992-03-10 Texas Instruments Incorporated High pressure photoresist silylation process and apparatus
JPH08339950A (ja) * 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
CN1260778C (zh) * 2000-12-04 2006-06-21 株式会社荏原制作所 基片加工方法
US20050142885A1 (en) * 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
JP4530933B2 (ja) * 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
JP5003773B2 (ja) * 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
JP5572560B2 (ja) * 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
CN103866365A (zh) * 2012-12-11 2014-06-18 诺发系统公司 电镀填充真空电镀槽
WO2014159427A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US9469912B2 (en) * 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
JP6494417B2 (ja) * 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
US10866516B2 (en) * 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
EP4115242A4 (en) * 2020-03-02 2024-03-13 Inpria Corporation PROCESSING ENVIRONMENT FOR THE FORMATION OF INORGANIC RESERVE PATTERNS
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900001238A (ko) * 1988-06-14 1990-01-31 안시환 페이지 업/다운 가능한 메뉴방식의 멀티채널 시스템
EP1123423B1 (en) * 1998-09-16 2007-08-01 Applied Materials, Inc. High rate silicon deposition method at low pressures
KR20160035995A (ko) * 2014-09-24 2016-04-01 램 리써치 코포레이션 건조 모듈 내의 이동식 가스 노즐
KR20180036263A (ko) * 2016-09-30 2018-04-09 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
WO2020132281A1 (en) * 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists

Also Published As

Publication number Publication date
JP2023174888A (ja) 2023-12-08
CN115598943A (zh) 2023-01-13
WO2022103764A1 (en) 2022-05-19
CN115152008A (zh) 2022-10-04
TW202234180A (zh) 2022-09-01
TW202405583A (zh) 2024-02-01
TWI811842B (zh) 2023-08-11
US20240036483A1 (en) 2024-02-01
JP2023520391A (ja) 2023-05-17
US20230107357A1 (en) 2023-04-06
KR102673863B1 (ko) 2024-06-11
KR20230152171A (ko) 2023-11-02

Similar Documents

Publication Publication Date Title
KR102673863B1 (ko) 포토레지스트의 건식 제거를 위한 프로세스 툴
KR20220025020A (ko) 할로겐 화학 물질들을 사용한 포토레지스트 현상
KR20220025885A (ko) 포토레지스트 막들의 건식 챔버 세정
KR20220031647A (ko) 복수의 패터닝 복사-흡수 엘리먼트들 및/또는 수직 조성 경사 (composition gradient) 를 갖는 포토레지스트
US20230314954A1 (en) Dry backside and bevel edge clean of photoresist
KR102601038B1 (ko) 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
US20230416606A1 (en) Photoresist development with organic vapor
KR102676684B1 (ko) 금속 함유 포토레지스트로부터 금속성 (metallic) 오염의 제어
TW202414121A (zh) 光阻乾式移除用的處理工具
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
KR20240032175A (ko) 금속-함유 포토레지스트의 재작업 (rework)
KR20240108547A (ko) 금속-함유 포토레지스트의 재작업
KR20240095473A (ko) 금속 함유 포토레지스트로부터 금속성 오염의 제어
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
TW202422244A (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統

Legal Events

Date Code Title Description
A302 Request for accelerated examination
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant