CN101122011A - 无定型碳层的低温沉积方法 - Google Patents

无定型碳层的低温沉积方法 Download PDF

Info

Publication number
CN101122011A
CN101122011A CNA2007101107754A CN200710110775A CN101122011A CN 101122011 A CN101122011 A CN 101122011A CN A2007101107754 A CNA2007101107754 A CN A2007101107754A CN 200710110775 A CN200710110775 A CN 200710110775A CN 101122011 A CN101122011 A CN 101122011A
Authority
CN
China
Prior art keywords
amorphous carbon
hydrocarbon compound
carbon film
substrate
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007101107754A
Other languages
English (en)
Inventor
唐桑叶
栾辛乔
克旺达克·道格拉斯·李
金博宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101122011A publication Critical patent/CN101122011A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供了低温沉积具有改善的阶梯覆盖的无定型碳膜的方法。在一种实施方式中,该方法包括:在处理室中提供衬底;使包含至少烃化合物和惰性气体的气体混合物流入所述处理室,其中所述烃化合物具有大于5个碳原子;将所述衬底保持在低于450℃的温度下;将无定型碳膜沉积在所述衬底上。

Description

无定型碳层的低温沉积方法
技术领域
本发明涉及集成电路的制造以及在衬底上沉积材料的工艺。更具体地,本发明涉及在衬底上沉积碳材料的低温工艺。
背景技术
集成电路已发展成可在单个芯片上包含数百万个晶体管、电容器和电阻器的复杂器件。芯片设计的发展一直需要更快的电路和更大的电路密度。对更快电路以及更大电路密度的需求给用于制造这样的集成电路的材料提出了相应的要求。具体地,随着集成电路元件的尺寸降至亚微米级,现在必须使用低电阻率的导电材料(例如铜)以及低介电常数的绝缘材料(介电常数小于约4),以使这样的元件获得合适的电气性能。
对更大的集成电路密度的要求同样给集成电路元件制备中所用的工艺序列提出了要求。例如,在使用常规光刻技术的工艺序列中,在衬底上的材料层叠层上形成能量敏感阻挡层。将此能量敏感阻挡层暴露于图案,以形成光阻掩膜。然后,用蚀刻工艺将掩膜图案转移到叠层的一个或更多个材料层上。选择蚀刻工艺中所用的化学蚀刻剂,使其对叠层的材料层的蚀刻选择性大于对能量敏感阻挡掩膜的选择性。即,化学蚀刻剂蚀刻材料叠层的一个或更多个层的速率远大于蚀刻能量敏感阻挡层的速率。对叠层的一个或多个材料层的蚀刻选择性优于阻挡层的特性可以防止能量敏感阻挡层在图案转移完成之前被耗尽。因此,高选择性蚀刻剂保证了精确的图案转移。
随着图案尺寸减小,能量敏感阻挡层的厚度必须相应地减小以控制图案分辨率。在图案转移步骤中,由于受到化学蚀刻剂的侵蚀,这种薄阻挡层(例如,厚度小于约6000)并不足以掩蔽下方的材料层。在能量敏感阻挡层与下方的材料层之间,通常使用被称为硬掩膜的中间层(例如,氧氮化硅、碳化硅或碳膜)来促进图案转移,原因在于该中间层对化学蚀刻剂的阻挡能力更强。然而,目前的硬掩膜沉积工艺导致表面高度不均的结构中的阶梯覆盖不足和/或侧壁保护较差。衬底的不均匀表面上的硬掩膜的不良阶梯覆盖和/或非均匀侧壁保护,使得随着图案密度不断减小,成功转移图案越来越难。
如果图案的预蚀刻临界尺寸(CD)在光刻后不符合要求,则可以进行重制工艺,从衬底移除阻挡层并用新的阻挡层对衬底重新进行图案化。在重制工艺过程中,下方的层(例如硬掩膜层)的表面可能会被用于去除阻挡掩膜的蚀刻剂侵蚀,从而减小硬掩膜厚度或待底切(undercut)的硬掩膜分布。重制工艺引起的硬掩膜厚度损失或底切分布改变了在硬掩膜层上形成的新的阻挡层的均匀性和/或阶梯覆盖,因而导致期望图案到膜叠层的转移不精确,这会对用于形成互连的后续工艺产生负面影响,并且不利地影响器件的综合电气性能。
因此,本领域中需要一种沉积硬掩膜层的改进方法。
发明内容
本发明提供了低温沉积无定型碳膜的方法。在一种实施方式中,所述方法包括:在处理室中提供衬底;使包含至少烃化合物和惰性气体的气体混合物流入所述处理室,其中所述烃化合物具有大于5个碳原子;保持所述衬底温度低于450℃;在所述衬底上沉积无定型碳膜。
在另一种实施方式中,所述方法包括:在处理室中提供衬底;使包含至少烃化合物和惰性气体的气体混合物流入所述处理室,其中所述烃化合物具有大于5个碳原子;保持所述衬底温度为约250-450℃;在所述衬底上沉积无定型碳膜。
在另一种实施方式中,所述方法包括:在处理室中提供具有图案化结构的衬底;使包含至少烃化合物和惰性气体的气体混合物流入所述处理室,其中所述烃化合物具有大子5个碳原子;保持所述衬底温度为约250-450℃;在所述衬底上沉积无定型碳膜,其中所述无定型碳膜的阶梯覆盖大于20%。
附图说明
为了实现上述本发明的各个方面以及详细理解本发明,以下通过参考附图所示的实施方式对本发明进行更具体的描述。
图1为可用于实施本发明的装置的示意图;
图2为根据本发明的一种实施方式的沉积工艺的流程图;
图3为包括作为硬掩膜层的无定型碳层的衬底结构的剖面示意图;
图4为用常规沉积工艺在其上沉积电介质层的衬底结构的剖面示意图。
为了便于理解,尽可能地用相同的附图标记表示相同的元件。应当理解,可将一种实施方式的元件和特征有利地结合到另一种实施方式中,而无需重述。
然而应当注意到,附图仅说明了本发明的典型实施方式,因而不应看作是对其范围的限制,本发明可容许其它等同有效的实施方式。
具体实施方式
本发明提供了形成无定型碳膜的方法。所述无定型碳膜适合用作硬掩膜层。在一种实施方式中,通过在低工艺温度(例如低于约450℃)下分解包含烃化合物和惰性气体的气体混合物,来沉积无定型碳膜。气体混合物中的烃化合物具有大于5个碳原子,以促进衬底的侧壁和表面上的保形沉积反应,从而提高被沉积无定型碳膜的保形性和阶梯覆盖。
图1为可用于根据本发明的实施方式沉积无定型碳层的衬底处理系统132的示意图。共同转让的美国专利No.6364954(2002年4月2日授权给Salvador等)中描述了可用于实施本发明的衬底处理系统132的一个实例,通过引用将该文献结合于此。可用于实施本发明的其它实例包括GENTURA系统、PRECISION 5000系统和PRODUCER系统,均可从美国加州Santa Clara的应用材料公司获得。应当理解,也可以采用其它处理系统(包括可从其它制造商获得的那些)来实施本发明。
处理系统132包括耦合至气体板130和控制器110的处理室100。处理室100通常包括顶面124、侧面101和底面122,它们限定了内部体积126。室100的内部体积126中提供有支座150。支座150通常由铝、陶瓷和其它合适的材料制成。可通过位移机构(未示出)在室100内在垂直方向上移动支座150。
支座150可包括嵌入式加热器元件170,用于控制支座150上支撑的衬底190的温度。在一种实施方式中,通过将来自功率源106的电流施加至加热器元件170,可以对支座150进行电阻加热。在一种实施方式中,加热器元件170可由封装在镍-铁-铬合金(例如INCOLOY)套管内的镍铬丝制成。通过控制器110调节由功率源116供给的电流,以控制加热器元件170所生成的热量,从而在膜沉积过程中将衬底190和支座150保持在基本恒定的温度下。可以调节供给的电流,以将支座150的温度选择性地控制在约100-700℃。
温度传感器172(例如热电偶)可被嵌入支座150,以常规方式监测支座150的温度。控制器110用测量的温度来控制供给至加热元件170的功率,从而将衬底保持在期望温度。
真空泵102耦合至室100底部形成的端口。使用真空泵102,在处理室100中保持所需的气体压力。真空泵102还将处理后气体和工艺副产物从处理室排空。
具有多个开孔128的喷淋头120被设置在处理室100的顶部,位于衬底支座150之上。喷淋头120的开口128用于将处理气体引入室100。开孔128可具有不同的尺寸、数量、分布、形状、式样和直径,以便于针对不同工艺要求的各种工艺气体流动。喷淋头120与气体板130相连接,气体板130可在工艺期间将各种气体供给至内部体积126。由离开喷淋头120的处理气体混合物形成等离子体,以强化处理气体的热分解,导致材料沉积在衬底190的表面191上。
喷淋头120和衬底支座150可以形成内部体积120中的一对分开的电极。一个或更多个RF源140通过匹配网络138向喷淋头120提供偏置电压,以促进等离子体在喷淋头120与支座150之间生成。或者,RF功率源140和匹配网络139可耦合至喷淋头120、衬底支座150,或同时耦合至喷淋头120和衬底支座150,或耦合至位于室100外部的天线(未示出)。在一种实施方式中,RF源140可以以约50kHz-约13.6MHz的频率提供约500-3000W。
控制器110包括中央处理单元(CPU)112、存储器116和辅助电路114,用于控制工艺序列和调节来自气体板130的气体流。CPU 112可以是可用于工业装置的任何形式的通用计算机处理器。软件程序可以存储在存储器116中,存储器116例如是随机存储器、只读存储器、软盘或硬盘驱动器,或其它形式的数字存储器。辅助电路114通常耦合至CPU 112,并可以包括缓存、时钟电路、输入/输出系统、电源等。通过大量信号缆线来处理控制单元110与装置132的各个部件之间的双向通信,这些信号缆线统称为信号总线118,其中一部分示于图1。
图2示出了根据本发明的一种实施方式沉积无定型碳膜的方法200的工艺流程图。图3为根据方法200沉积的作为硬掩膜层的无定型碳膜的剖面示意图。
方法200开始于步骤202:在处理室中提供衬底。处理室可以是如图1所述的处理室100。应当认识到,也可以采用其它处理室,包括可从其它制造商获得的那些处理室。如图3所示,衬底190具有位于衬底190的表面191上的图案化结构310。或者,衬底190可以具有其上形成有沟槽、孔或过孔的表面。衬底190也可以是基本平坦的表面或在所需高度形成结构的基本平坦的表面。在一种实施方式中,衬底可以包括用于形成栅电极的硅层。在另一种实施方式中,衬底可以包括沉积在硅层上的氧化硅层。在另一种实施方式中,衬底可以包括用于制造半导体器件的一个或更多个其它材料的层。
在步骤204,气体混合物从气体板130通过喷淋头120流入处理室100。气体混合物包括至少烃化合物和惰性气体。在一种实施方式中,烃化合物具有大于5个碳原子。在另一种实施方式中,烃化合物具有通式CxHy,其中x的范围为5-10,y的范围为6-22。合适的烃化合物的例子是饱和或不饱和的脂族或脂环族烃和芳族烃。更具体地,脂族烃例如包括:烷烃,例如戊烷、己烷、庚烷、辛烷、壬烷、癸烷等;烯烃,例如戊烯等;二烯烃,例如异戊二烯、戊二烯、己二烯等;炔烃,例如乙炔、乙烯基乙炔等。脂环族烃例如包括环丙烷、环丁烷、环戊烷、环戊二烯、甲苯等。芳族烃例如包括苯、苯乙烯、甲苯、二甲苯吡啶、乙苯、苯乙酮、苯甲酸甲酯、苯乙酸酯、苯酚、甲酚、呋喃等。此外,可以使用α-萜品烯、甲基异丙苯、1,1,3,3-四甲基丁苯、叔丁醚、叔丁基乙烯、甲基丙烯酸甲酯和叔丁基糠基醚。
或者,可将一种或更多种烃化合物与供给至处理室的气体混合物中的烃化合物混合。为了调节膜的含氧量,可以选择包含氧的化合物,例如具有取代苯环中的碳的氧原子的化合物。用于调节氧的化合物可以包含羟基。可以使用两种或更多种烃化合物的化合物来沉积无定型碳材料。
或者,可以使用烃化合物的部分或完全掺杂的衍生物。所述衍生物包括烃化合物的含氮、含氟、含氧、含羟基和含硼衍生物及其氟化衍生物。烃化合物的氟化衍生物的例子是氟化烷烃、卤化烷烃和卤化芳族化合物。氟化烷烃例如包括一氟甲烷、二氟甲烷、三氟甲烷、四氟甲烷、一氟乙烷、四氟乙烷、五氟乙烷、六氟乙烷、一氟丙烷、三氟丙烷、五氟丙烷、全氟丙烷、一氟丁烷、三氟丁烷、四氟丁烷、八氟丁烷、二氟丁烷、一氟戊烷、五氟戊烷、四氟己烷、四氟庚烷、六氟庚烷、二氟辛烷、五氟辛烷、二氟四氟辛烷、一氟壬烷、六氟壬烷、二氟癸烷、五氟癸烷等。卤化烯烃包括一氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、一氯乙烯、二氯乙烯、三氯乙烯、四氯乙烯等。卤化芳族化合物包括一氟苯、二氟苯、四氟苯、六氟苯等。
在一种实施方式中,烃化合物具有大于5个碳原子。与用常规沉积工艺沉积的无定型碳相比,具有大于5个碳原子的烃化合物可提高膜覆盖率(例如阶梯覆盖)和改善保形性。具有大于5个碳原子的烃化合物具有较大的分子以及可形成亚稳定中间体粒子的物质,所述中间粒子被均匀地吸附在衬底表面191上,从而在衬底表面191上形成保形的无定型碳层。在此实施方式中,气体混合物中的烃化合物为甲苯(C7H8)、苯或己烷。
惰性气体(例如氩(Ar)和/或氦(He))与气体混合物一起被供给至处理室100。其它惰性气体(例如氮(N2)和氧化氮(NO))也可被用于控制无定型碳层密度和沉积速率。此外,各种其它处理气体可被添加至气体混合物,以调整无定型碳材料的性质。在一种实施方式中,处理气体可以是反应性气体,例如氢(H2)、氨(NH3)、氢(H2)和氮(N2)的混合物,或其组合。可以通过添加H2和/或NH3来控制被沉积的无定型碳层的氢比率(例如,碳与氢之比)。无定型碳膜中存在的氢比率提供了对层性质(例如反射率)的控制。
在步骤206,沉积工艺的衬底温度被保持在预定的范围内。衬底温度被保持在低于常规沉积工艺的范围,以控制气体混合物分解反应的行为。常规沉积工艺通常在高于约550℃的温度下进行。在一种实施方式中,处理室中的衬底温度被保持在约100-500℃。在另一种实施方式中,衬底温度被保持在约250-450℃。
在步骤208,在RF等离子体的存在下以及被控制在低于450℃的衬底温度下,将无定型碳层304沉积在衬底190上。气体混合物中的烃化合物以可使烃化合物以较小活性被蒸发的方式在低温下分解,从而降低活性粒子的动能。通常,具有大于5个碳原子的烃化合物在室温(约20℃)下为液体。与通常用于常规工艺的气态烃化合物相比,液态烃化合物具有较大的分子。当液态烃化合物被供给至处理室中时,液态烃化合物在处理室中蒸发并分解为气态反应物。分解的气态反应物被吸附在衬底表面,从而在衬底表面形成层。由于气态烃化合物(GHC)的较小分子与液态烃化合物的较大分子相比所需的蒸发温度相对较低,因此在采用高衬底温度的常规工艺中,GHC更易于分解并且更具挥发性。当存在RF等离子体时,挥发性GHC被迅速激发成反应性小粒子,并且被容易地加速。被加速的反应性小粒子随机碰撞并溅射到被沉积的无定型碳膜的侧壁和表面上,从而平衡沉积膜的保形性和均匀性。由于液态烃化合物并非如此高能,因此极大地提高了沉积无定型碳膜的质量。
图4示出了以常规方法沉积的无定型碳膜的示例性实施方式。如箭头412所示,被加速的反应性小粒子在沉积膜404上随机碰撞,造成无定型碳膜的非均匀性和非保形沉积。为了使在衬底190上的图案化结构410的顶部408和侧壁406上的沉积层404具有均匀的厚度,通常需要较高的阶梯覆盖比(例如,在侧壁406上沉积的膜厚与在顶部上沉积的膜厚之比)。高阶梯覆盖比使图案化结构的侧壁和顶部上的沉积膜厚度基本上相同。然而,被经加速的反应性小粒子轰击的具有纹孔的被溅射无定型碳膜404导致顶面408与侧壁沉积层406之间的厚度差异较大。厚度差异大导致被沉积的无定型碳膜404的阶梯覆盖较差,从而导致当在常规工艺中使用GHC时,所沉积的无定型碳膜404的阶梯覆盖比较低。
相反地,由于工艺200中所用的烃化合物具有较大的分子,而当被分解成反应性粒子时,低工艺温度下的液态烃化合物的较大分子具有较低的加速度和动能,因此可使被分解的反应性粒子均匀地吸附在衬底的表面和侧壁上,而不发生常规工艺中的溅射和侵蚀。衬底302的表面308与衬底侧壁306的膜厚度的差异减小,并且沉积膜的阶梯覆盖得以改善。在一种实施方式中,阶梯覆盖比(沉积在侧壁306与顶部308上的膜厚之比)相对于常规工艺提高了超过20%。在一种实施方式中,阶梯覆盖比大于20%,例如约25-50%。
在沉积过程中,可以根据需要调节工艺参数。在适用于处理300mm衬底的一种实施方式中,可以应用约50-200W(例如约1000-1600W)的RF功率或约1.35-2.35W/cm2的功率密度,以保持由气体混合物形成的等离子体。可以以约200-1000sccm的流率供给烃化合物。可以以约200-10000sccm的流率供给惰性气体。工艺压力可被保持在约1-20Torr,例如约4-10Torr。衬底与喷淋头之间的间距可被控制在约200-1000密耳。
在一种实施方式中,使用双频系统来沉积无定型碳材料。双频被认为可对通量和离子能量进行独立控制。高频等离子体控制等离子体密度。低频等离子体控制轰击衬底表面的离子的动能。混合RF功率的双频源提供约10-30MHz(例如约13.56 MHz)的高频功率以及约10KHz-1MHz(例如约350KHz)的低频。混频RF功率应用的例子可以包括:第一RF功率,频率为约10-30MHz,功率为约50-2000W(例如约200-1600W),功率密度为约0.27-1.7W/cm2;至少第二RF功率,频率为约10KHz-1MHz,功率为约10-2000W(例如约15-1000W),功率密度为约0.27-1.4W/cm2。第二RF功率与总的混频功率之比优选小于约0.6∶1.0。根据衬底尺寸和所用设备,可以改变所应用的RF功率以及一种或更多种频率的使用。
因此,通过使用低温沉积工艺,提供了具有改善的阶梯覆盖的无定型碳膜的沉积方法。所述方法有利地改善了沉积在衬底侧壁和表面上的无定型碳膜的均匀性和保形性,从而可用无定型碳膜用作硬掩膜,并使对后续蚀刻工艺的控制更为容易。
尽管前述针对本发明的实施方式,但是可以在不脱离本发明的基本范围的条件下设计其它实施方式,并且本发明的范围由所附权利要求确定。

Claims (20)

1.一种沉积无定型碳膜的方法,包括:
在处理室中提供衬底;
使包含至少烃化合物和惰性气体的气体混合物流入所述处理室,其中所述烃化合物具有大于5个碳原子;
将所述衬底保持在低于450℃的温度下;以及
将无定型碳膜沉积在所述衬底上。
2.如权利要求1的方法,其中所述烃化合物包括甲苯、苯和己烷中的至少一种。
3.如权利要求1的方法,其中所述烃化合物包括下列中的至少一种:戊烷、己烷、庚烷、辛烷、壬烷、癸烷、乙烯、丙烯、丁烯、戊烯、丁二烯、异戊二烯、戊二烯、己二烯、乙炔、乙烯基乙炔、环丙烷、环丁烷、环戊烷、环戊二烯、甲苯、苯、苯乙烯、二甲苯、吡啶、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃、α-萜品烯和甲基异丙苯,及其组合。
4.如权利要求1的方法,其中保持所述衬底温度的步骤还包括:
将所述衬底温度保持在约250-450℃。
5.如权利要求1的方法,其中被沉积的无定型碳膜具有大于20%的阶梯覆盖比。
6.如权利要求1的方法,其中流入所述气体混合物的步骤还包括:
以约200-1000sccm的流率流入所述烃化合物;以及
以约200-10000sccm的流率流入所述惰性气体。
7.如权利要求1的方法,其中所述惰性气体包括Ar和He中的至少一种。
8.如权利要求1的方法,其中沉积所述无定型碳膜的步骤还包括:
通过应用约50-2000W的RF功率来保持由所述气体混合物形成的等离子体。
9.如权利要求8的方法,其中沉积所述无定型碳膜的步骤还包括:应用10-2000W的第二RF功率。
10.如权利要求1的方法,其中流入气体混合物的步骤还包括:
使附加气体与所述气体混合物一起流入所述处理室。
11.如权利要求10的方法,其中所述附加气体选自N2、NO、II2和NH3
12.一种沉积无定型碳膜的方法,包括:
在处理室中提供衬底;
使包含至少烃化合物和惰性气体的气体混合物流入所述处理室,其中所述烃化合物具有大于5个碳原子;
将所述衬底保持在约250-450℃的温度下;以及
将无定型碳膜沉积在所述衬底上。
13.如权利要求12的方法,其中所述烃化合物包括甲苯、苯和己烷中的至少一种。
14.如权利要求12的方法,其中所述烃化合物选自戊烷、己烷、庚烷、辛烷、壬烷、癸烷、乙烯、丙烯、丁烯、戊烯、丁二烯、异戊二烯、戊二烯、己二烯、乙炔、乙烯基乙炔、环丙烷、环丁烷、环戊烷、环戊二烯、甲苯、苯、苯乙烯、二甲苯、吡啶、乙苯、苯乙酮、苯甲酸甲酯、乙酸苯酯、苯酚、甲酚、呋喃、α-萜品烯和甲基异丙苯,及其组合。
15.如权利要求12的方法,其中所述惰性气体包括Ar和He中的至少一种。
16.如权利要求12的方法,其中流入所述气体混合物的步骤还包括:
以约200-1000sccm的流率流入所述烃化合物;
以约200-10000sccm的流率流入所述惰性气体。
17.如权利要求12的方法,其中沉积所述无定型碳膜的步骤还包括:
应用50-2000W的RF功率。
18.如权利要求17的方法,其中沉积所述无定型碳膜的步骤还包括:
应用10-2000W的第二RF功率。
19.如权利要求12的方法,其中被沉积的无定型碳膜具有大于20%的阶梯覆盖比。
20.一种沉积无定型碳膜的方法,包括:
在处理室中提供具有图案化结构的衬底;
使包含至少烃化合物和惰性气体的气体混合物流入所述处理室,其中所述烃化合物具有大于5个碳原子;
将所述衬底保持在约250-450℃的温度下;以及
将无定型碳膜沉积在所述衬底上,其中所述无定型碳膜具有大于20%的阶梯覆盖比。
CNA2007101107754A 2006-06-13 2007-06-13 无定型碳层的低温沉积方法 Pending CN101122011A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/451,916 US20070286954A1 (en) 2006-06-13 2006-06-13 Methods for low temperature deposition of an amorphous carbon layer
US11/451,916 2006-06-13

Publications (1)

Publication Number Publication Date
CN101122011A true CN101122011A (zh) 2008-02-13

Family

ID=38822317

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007101107754A Pending CN101122011A (zh) 2006-06-13 2007-06-13 无定型碳层的低温沉积方法

Country Status (3)

Country Link
US (1) US20070286954A1 (zh)
KR (1) KR20070118968A (zh)
CN (1) CN101122011A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102187432A (zh) * 2008-10-14 2011-09-14 应用材料股份有限公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
CN102934209A (zh) * 2010-05-20 2013-02-13 应用材料公司 超高选择性的可灰化硬模膜
CN105121366A (zh) * 2013-04-16 2015-12-02 旭硝子株式会社 浮法玻璃的制造方法和制造装置

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US20080241400A1 (en) * 2007-03-31 2008-10-02 Tokyo Electron Limited Vacuum assist method and system for reducing intermixing of lithography layers
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
WO2010107722A1 (en) * 2009-03-16 2010-09-23 Drexel University Tubular floating electrode dielectric barrier discharge for applications in sterilization and tissue bonding
US20100258526A1 (en) * 2009-04-08 2010-10-14 Jaihyung Won Methods of forming an amorphous carbon layer and methods of forming a pattern using the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8399366B1 (en) * 2011-08-25 2013-03-19 Tokyo Electron Limited Method of depositing highly conformal amorphous carbon films over raised features
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
KR101697660B1 (ko) * 2015-06-12 2017-01-19 주식회사 레이크머티리얼즈 방향족 전구체를 이용한 탄소 박막 및 이의 제조 방법
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
JP6810578B2 (ja) * 2016-11-18 2021-01-06 株式会社Screenホールディングス ドーパント導入方法および熱処理方法

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4060660A (en) * 1976-01-15 1977-11-29 Rca Corporation Deposition of transparent amorphous carbon films
US5262262A (en) * 1985-05-31 1993-11-16 Fuji Xerox Co., Ltd. Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JPH0258221A (ja) * 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
DE69005938T2 (de) * 1989-07-31 1994-05-19 Matsushita Electric Ind Co Ltd Vorrichtung zur Herstellung von einer dünnen diamantartigen Kohlenstoffschicht.
JPH07243064A (ja) * 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
TW366367B (en) * 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP2956571B2 (ja) * 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
KR100205318B1 (ko) * 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
EP1376684B1 (en) * 1997-01-21 2008-11-26 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
JP2962272B2 (ja) * 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
EP0881668A3 (en) * 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6203898B1 (en) * 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6057226A (en) * 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
TWI246633B (en) * 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP3507322B2 (ja) * 1997-12-24 2004-03-15 キヤノン株式会社 電子写真装置
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US5986344A (en) * 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US5882830A (en) * 1998-04-30 1999-03-16 Eastman Kodak Company Photoconductive elements having multilayer protective overcoats
JP2000106396A (ja) * 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6140224A (en) * 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
KR100304708B1 (ko) * 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
TW462122B (en) * 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) * 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6541842B2 (en) * 2001-07-02 2003-04-01 Dow Corning Corporation Metal barrier behavior by SiC:H deposition on porous materials
JP3803269B2 (ja) * 2001-08-07 2006-08-02 ジヤトコ株式会社 パラレルハイブリッド車両
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040166691A1 (en) * 2003-02-26 2004-08-26 Chun-Feng Nieh Method of etching a metal line
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
FR2859315A1 (fr) * 2003-08-29 2005-03-04 Thomson Licensing Sa Antenne planaire multibandes
JP4725085B2 (ja) * 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7211874B2 (en) * 2004-04-06 2007-05-01 Headway Technologies, Inc. Magnetic random access memory array with free layer locking mechanism

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102187432A (zh) * 2008-10-14 2011-09-14 应用材料股份有限公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
CN102187432B (zh) * 2008-10-14 2013-07-31 应用材料公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
CN102934209A (zh) * 2010-05-20 2013-02-13 应用材料公司 超高选择性的可灰化硬模膜
CN105121366A (zh) * 2013-04-16 2015-12-02 旭硝子株式会社 浮法玻璃的制造方法和制造装置

Also Published As

Publication number Publication date
KR20070118968A (ko) 2007-12-18
US20070286954A1 (en) 2007-12-13

Similar Documents

Publication Publication Date Title
CN101122011A (zh) 无定型碳层的低温沉积方法
CN102187432B (zh) 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
KR101184072B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
CN101981659B (zh) 沉积具有改进密度与阶梯覆盖的非晶碳膜的方法
JP6272873B2 (ja) 炭窒化ケイ素の選択的エッチング
CN101595559B (zh) 形成镶嵌结构的方法
CN101295145B (zh) 用水蒸汽和稀释气体增强的氢灰化
US20140370711A1 (en) Nitrogen doped amorphous carbon hardmask
US8361906B2 (en) Ultra high selectivity ashable hard mask film
CN108475640A (zh) 用于侧向硬模凹槽减小的混合碳硬模
WO2012047742A2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
WO2013109645A1 (en) Conformal amorphous carbon for spacer and spacer protection applications
JP2000208488A (ja) エッチング方法
US11495454B2 (en) Deposition of low-stress boron-containing layers
CN103035508A (zh) 特征尺寸收缩方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080213