JP2021177557A - 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク - Google Patents

横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク Download PDF

Info

Publication number
JP2021177557A
JP2021177557A JP2021108321A JP2021108321A JP2021177557A JP 2021177557 A JP2021177557 A JP 2021177557A JP 2021108321 A JP2021108321 A JP 2021108321A JP 2021108321 A JP2021108321 A JP 2021108321A JP 2021177557 A JP2021177557 A JP 2021177557A
Authority
JP
Japan
Prior art keywords
hardmask
hard mask
nitride
etching process
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021108321A
Other languages
English (en)
Other versions
JP7266068B2 (ja
Inventor
トーマス ジョンウォン クウォン,
Jongwan Kwon Thomas
ルイ チェン,
Rui Cheng
アブヒジット バス マリック,
Basu Mallick Abhijit
アル−シュアン ピン,
Er Xuan Ping
ジェス アン,
Jaesoo Ahn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021177557A publication Critical patent/JP2021177557A/ja
Application granted granted Critical
Publication of JP7266068B2 publication Critical patent/JP7266068B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

【課題】基板のパターニング及びエッチングのためのハードマスク材料及び改良された方法を提供する。【解決手段】高度なデバイス構造を可能にするため、パターニング及びエッチング処理の組み合わせでは、複数のハードマスクを利用する。様々な材料層がその上に配置された基板102の上に、第1のハードマスク110と第2のハードマスク112が配置される。第2のハードマスクは、第1のエッチング処理中に第1のハードマスクをパターニングするために利用する。第3のハードマスク302は、第1のハードマスク及び第2のハードマスクの上に配置され、材料層104にチャネルを形成するため、第2のエッチング処理を利用する。【選択図】図3

Description

[0001] 本開示の実装は概して、基板のパターニング及びエッチングに利用される方法及び材料に関する。より具体的には、本書に記載の実装はハイブリッドカーボンハードマスクに関する。
関連技術の説明
[0002] 集積回路は、単一チップ上に数百万個ものトランジスタ、コンデンサ、及び抵抗器が搭載されうる複雑なデバイスへと進化を遂げている。チップ設計の進化には、より高速な回路及びより高い回路密度が継続的に必要とされる。より高い回路密度を有するより高速な回路に対する要求は、このような集積回路の製造に使用される材料についても同様の要求を課している。特に、集積回路構成要素の寸法がサブミクロン単位まで小さくなるにつれ、このような構成要素に対して適切な電気的性能を得るためには、低抵抗率導電性材料並びに低誘電率絶縁材料を使用することが必要となることが多い。
[0003] 集積デバイスパターンの特徴サイズが小さくなるにつれて、デバイス性能の安定性及び再現性に関しては、特徴の限界寸法(CD)の要件がますます重要な基準になる。基板全体にわたって許容しうるCDの変動はまた、特徴CDのスケーリングと共に増大する。横方向寸法のスケーリングは垂直方向寸法よりも速く、デバイスキャパシタンスの問題があるため、半導体業界内では高アスペクト比(HAR)が現在一般的になっている。
[0004] このような厳しいアスペクト比とCD制御が、高度なエッチング選択性、側壁の平滑性、及び高いツールスループットの要求と組み合わさると、任意のハードウェア構成に対するプロセスウィンドウ(最適範囲)は非常に小さくなりうる。多くの場合、非常に高いRFバイアス電力など、極端なハードウェア設定と組み合わされた複雑なエッチャント混合ガスに、幾つかの処理ガスが組み込まれるときには、側壁安定化処理やエッチング速度とマスク選択性との間の不安定なバランスを実現するため、プロセスウィンドウは小さくなる。しかしながら、このような小さなプロセスウィンドウは一般的に、現在利用可能なプロセスと材料によるエッチング処理では調整できない性能の限界に悩まされている。
[0005] したがって、この技術分野では、ハードマスクの材料及び方法の改良が必要になっている。
[0006] 一実装では、基板処理方法が提示される。この方法は、基板の上に配置された一又は複数の材料層の上に第1のハードマスクを堆積すること、及び、第1のハードマスクの上に第2のハードマスクを堆積することを含む。第2のハードマスクはパターニングされてもよく、また、第1のハードマスクと第2のハードマスクをエッチングするため、第1のエッチング処理が実施されてもよい。第3のハードマスクは、一又は複数の材料層、第1のハードマスク、及び第2のハードマスクの上に共形に堆積されてよく、また、一又は複数の材料層をエッチングして、一又は複数の材料層にチャネルを形成するため、第2のエッチング処理が実施されうる。
[0007] 別の実装では、基板処理方法が提示される。この方法は、基板の上に配置された一又は複数の酸化物及び窒化物含有材料層の上に第1のハードマスクを堆積すること、及び、第1のハードマスクの上に第2のハードマスクを堆積することを含む。第1のエッチング処理は、第1のハードマスクと第2のハードマスクをエッチングするために実施され、また、炭窒化ホウ素ハードマスクは、一又は複数の酸化物及び窒化物含有材料層、第1のハードマスク、及び第2のハードマスクの上に共形に堆積される。第2のエッチング処理は、一又は複数の酸化物及び窒化物含有材料層をエッチングして、一又は複数の酸化物及び窒化物含有材料層にチャネルを形成するために実施され、また、第2のエッチング処理は、CH、N、O、及びフッ素リッチ前駆体からなる群から選択された前駆体を用いるプラズマベースのエッチング処理である。
[0008] 更に別の実装では、基板処理方法が提示される。この方法は、基板の上に配置された一又は複数の酸化物及び窒化物含有材料層の上に炭素質のハードマスクを堆積すること、及び、炭素質ハードマスクの上にケイ素含有誘電体ハードマスクを堆積することを含む。第1のエッチング処理は、炭素質ハードマスクとケイ素含有誘電体ハードマスクをエッチングするために実施され、また、炭窒化ホウ素ハードマスクは、一又は複数の酸化物及び窒化物含有材料層、炭素質ハードマスク、及びケイ素含有誘電体ハードマスクの上に共形に堆積される。第2のエッチング処理は、一又は複数の酸化物及び窒化物含有材料層をエッチングして、一又は複数の酸化物及び窒化物含有材料層にチャネルを形成するために実施され、また、第2のエッチング処理は、CH、N、O、及びフッ素リッチ前駆体からなる群から選択された前駆体を用いるプラズマベースのエッチング処理である。
[0009] 本開示の上述の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実装を参照することによって得られ、一部の実装は、付随する図面に例示されている。しかし、添付図面は例示的な実装のみを示すものであり、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実装も許容されうることに留意されたい。
本書に記載の実装により、その上に形成された様々な材料を有する基板の一部の概略断面図を示す。 本書に記載の実装により、第1のエッチング処理後の基板の一部の概略断面図を示す。 本書に記載の実装により、共形に堆積後の基板の一部の概略断面図を示す。 本書に記載の実装により、第2のエッチング処理後の基板の一部の概略断面図を示す。
[0014] 理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。1つの実装の要素及び特徴は、更なる記述がなくとも、他の実装に有益に組み込まれることがあると想定されている。
[0015] 本開示の実装は、基板のパターニング及びエッチングのためのハードマスク材料及び方法の改良に関する。高度なデバイス構造を可能にするため、パターニング及びエッチング処理の組み合わせでは、複数のハードマスクが利用されうる。一実装では、様々な材料層がその上に配置された基板の上に、第1のハードマスクと第2のハードマスクが配置される。第2のハードマスクは、第1のエッチング処理中に第1のハードマスクをパターニングするために利用されうる。第3のハードマスクは第1及び第2のハードマスクの上に配置され、材料層にチャネルを形成するため、第2のエッチング処理が利用されうる。
[0016] 図1は、本書に記載の実装により、その上に形成された様々な材料を有する基板102の一部の概略断面図である。基板102は一般的に、その上に様々な材料が堆積、或いは形成される下位層とみなされうる。基板102の好適な実施例には、用途に応じて、シリコン基板、シリコン・オン・インシュレータ(SOI)基板、炭素がドープされた酸化ケイ素、窒化ケイ素、炭化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、並びに金属、金属窒化物、金属炭化物、金属合金などの他の任意の材料、及びその他の導電材料などが含まれる。材料層104は基板102上に堆積されうる。材料層104は、一又は複数の第1の材料層106、及び一又は複数の第2の材料層108を含みうる。第1の材料層106及び第2の材料層108は、積み重ねられた層(層スタック)を形成するため、交互配置で堆積されうる。第1の材料層106及び第2の材料層108は、酸化ケイ素材料及び窒化ケイ素材料など、様々な酸化物及び窒化物材料から形成されうる。一実装では、第1の材料層106は窒化ケイ素材料であってよく、第2の材料層108は酸化ケイ素材料であってよい。別の実装では、第1の材料層106は酸化ケイ素材料であってよく、第2の材料層108は窒化ケイ素材料であってよい。
[0017] 材料層104は一般的に、3次元(3D)NANDデバイスの形成に適した配置にある材料から製造される。一実装では、材料層104は、約24を超える材料層、約32を超える材料層、約48を超える材料層、約54を超える材料層、或いは約64を超える材料層を含みうる。ある実装では、本書に提示された開示は、約48を超える材料層を有する高度な3D NANDデバイスに対して実装されうる。
[0018] 第1のハードマスク110は、材料層104の上に堆積されうる。第1のハードマスク110は、様々なプラズマベースのエッチング処理によるエッチングに適した炭素質材料を含みうる。第1のハードマスク110への利用に適した材料には、カリフォルニア州サンタクララのアプライドマテリアルズ社から、Advanced Patterning Film(APF)という商標名で入手可能なドープされた又はドープされていないアモルファスカーボン材料が含まれる。第1のハードマスク110の厚さ114は、約1μmと約2μmの間、例えば、1.5μmであってよい。しかしながら、厚さ114は、下位材料層104と共に、所望のエッチング及びパターニングの特性に依存しうることが想定されている。例えば、第1のハードマスク110は、第1のハードマスク110及び/又は材料層104の適切な構造一体性を維持する一方で、材料層104のその後のエッチングに用いられる処理の化学的性質及び条件に対する材料の抵抗力によって決まる厚さで形成又は堆積されうる。
[0019] 第2のハードマスク112は、第1のハードマスク110の上に堆積されうる。第2のハードマスク112は誘電体材料であってよく、約20μmから約200μmの間、例えば、約50μmから約100μmの間、約80μmなどの厚さ116を有しうる。第1のハードマスク110と同様に、第2のハードマスク112の厚さ116は、その後のエッチング処理中の所望の性能に応じて選択されうる。一実装では、第2のハードマスク112は、誘電体反射防止被覆(DARC)として機能し、及び/又はスピンオン技術によって適用されるその後の有機膜の接着を改善することがあり、そうでない場合には第1のハードマスク110に十分に接着しないことがある。第2のハードマスク112は単一の膜、或いは少なくともシリコン材料から構成される複数層の膜になりうる。第2のハードマスク112はまた、窒素及び/又は酸素を含み、二酸化ケイ素材料、窒化ケイ素材料、又は酸窒化ケイ素材料を形成しうる。第2のハードマスク112の組成及び厚さは、デバイス特徴のフォトリソグラフィックパターニング中に使用される所望の波長に対して、最小限の反射と高いコントラストをもたらすように調整されうる。
[0020] フォトレジスト層118は第2のハードマスク112上に配置されうる。フォトレジスト層118は、あらかじめパターニングされたものとして示されている。適切なパターニング技術には、基板102の上に形成されるデバイス特徴の所望のピッチ及びCDに応じて、193nmフォトリソグラフィ、193nm液浸リソグラフィ、及び極紫外線(EUV)リソグラフィが含まれる。自己整合ダブルパターニング(SADP)などのダブルパターニング処理、及び自己整合四重パターニング(SAQP)処理が、フォトレジスト層118のパターニングに利用されうることも想定されている。フォトレジスト層118に利用されうる適切な材料には、ポリマーなど、とりわけ有機ポリマーなどが含まれる。
[0021] 図2は、本書に記載の実施形態による、第1のエッチング処理後の基板の一部の概略断面図を示す。あらかじめパターニングされたフォトレジスト層118は一般的に、第1のエッチング処理で利用されるパターンを提供する。図示した実装では、第1のエッチング処理は、第1のハードマスク110の隣接部分の間に凹部202を形成するため、第2のハードマスク112と第1のハードマスク110をエッチングしうる。第1のエッチング処理は、第1のハードマスク110及び第2のハードマスク112のエッチングに適した化学的性質を用いるプラズマエッチング処理であってもよい。例えば、任意の既知のCxHyFzなど(例えば、CHF、CH、CHFなど)のフッ化炭素前駆体、及び/又は任意の既知のCxFy(例えば、CF、C、C、C、Cなど)は、第1のハードマスク110と第2のハードマスク112の一部を取り除いて凹部202を形成するため、エネルギー供給されてプラズマになりうる。Nなどのキャリアガス又は不活性ガスはまた、プラズマの形成中に供給されうる。
[0022] プラズマは、所望のプラズマ特性及びハードウェア実装に応じて、誘導結合プラズマ技術、容量結合プラズマ技術、及び/又は遠隔プラズマ技術によって形成されうる。一般的に、前駆体は、約50MHz以下、例えば、約13.56MHzの周波数を有する低周波数バイアス電力RFジェネレータによって、エネルギー供給されうる。第1のエッチング処理は時間依存性があってよく、凹部に対して十分な時間だけ実施されてよく、材料層104を曝露することが想定されている。
[0023] 図3は、本書に記載の実装により、第3のハードマスク302を共形に堆積した後の基板102の一部の概略断面図を示している。ある実装では、第3のハードマスク302は、約98%を超える共形性で堆積されうる。本書で使用されているように、「共形の(conformal)」、又は「共形に(conformally)」という用語は、膜の平均的な厚みに対して1%未満の変動を有する厚みで露出面に付着して、その面を均一に覆う層のことを指す。例えば、1000Åの厚さの膜は、厚さにおいて10Å未満の変動を有することになる。この厚み及び変動は、凹部の端部、角部、側部、及び底部を含む。
[0024] ある実装では、第3のハードマスク302の厚さ304は、約5nmから約15nmの間、例えば、約10nmになりうる。第3のハードマスク302の共形性により、第3のハードマスク302は、第2のハードマスク112、第1のハードマスク110、及び材料層104など、様々な材料の上に堆積されうる。凹部202によって画定され、結果として得られる第1及び第2のハードマスク特徴のCD306は、約20nmから約100nmの間、例えば、約50nmから約80nmの間になりうる。したがって、CDの低減は、第3のハードマスク302を第1のハードマスク110及び第2のハードマスク112と組み合わせて利用することによって実現されうる。
[0025] 第3のハードマスク302は、ある実装では、ホウ素がドープされたアモルファスカーボン材料であってもよい。本書で利用されているように、ホウ素がドープされた炭素質材料は、少なくとも1重量%のホウ素と少なくとも20重量%の炭素からなる材料を含む。このクラスの材料に含まれているのはホウ素リッチアモルファスカーボンで、典型的には、約25重量%を超えるホウ素と約50重量%を超える炭素からなる。
[0026] 第3のハードマスク302は、スプレーオン/スピンオンの方法、熱堆積処理(CVD)、又はプラズマ化学気相堆積処理(PECVD)で形成されうる。一実装では、第3のハードマスク302は、典型的な熱分解炭素、黒鉛炭素、及びダイヤモンド状炭素のハイブリッドである膜特性を決定するsp1、sp2、及びsp3結合状態を有する、少なくとも50重量%の炭素からなる炭素材料を形成するため、CVD又はPECVDのどちらかで堆積される。ある実装では、第3のハードマスク302は、メタン、プロピレン、プロピン、プロパン、ブタン、ブチレン、ブタジエン、アセチレン、トルエン、及びジボランなどのホウ素源とこれらの混合物などの、炭化水素前駆体を用いて、PECVD処理で形成されうる。第3のハードマスク302はまた、ある実装では、窒素又は他の添加物を含みうる。典型的な第3のハードマスク材料は、Advanced Patterning Film(APF)という商標名で、より具体的には、ホウ素がドープされたAPFcという名称の一連のAPF類の材料として、カリフォルニア州サンタクララのアプライドマテリアルズ社から市販されている。
[0027] 第3のハードマスク302が窒素を含む実装では、材料は炭窒化ホウ素材料と称されることもある。本書で使用されているように、「炭窒化ホウ素」という用語はホウ素、炭素及び窒素を含む膜を意味する。幾つかの実装では、炭窒化ホウ素膜は基本的にホウ素、炭素及び窒素からなり、ホウ素、炭素及び窒素が、原子百分率で膜の少なくとも80%を構成することを意味する。幾つかの実装では、炭窒化ホウ素膜は基本的にホウ素、炭素、窒素、及びオプションにより水素原子からなる。
[0028] 一実施例では、炭窒化ホウ素材料は、ジメチルアミンボラン[(CHNH・BH](DMAB)前駆体を用いた熱CVD処理によって堆積されうる。DMABは、蒸気圧を高めるため温缶内で加熱されてよく、また、超高純度(UHP)Arキャリアガスを用いて処理チャンバに供給されてもよい。温缶の温度は室温から約150oCの範囲で変動しうる。Arキャリアガスの流量は、約100sccmから約20000sccmの範囲で変動しうる。炭窒化ホウ素膜の元素組成を制御するため、NH、H、C共反応体ガスはCVDチャンバに供給されうる。チャンバ温度は、約300oCから約550oCの範囲で変動しうる。処理チャンバ圧力は、約100mTorrから約100Torrの範囲で変動しうる。第3のハードマスク302の堆積に適した処理チャンバの例は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なPRODUCER(登録商標)処理装置群である。しかしながら、他の製造業者のAPF膜堆積用に構成された他のチャンバも、第3のハードマスク302を共形に堆積するように利用されうることが想定されている。
[0029] 図4は、本書に記載の実装により、第2のエッチング処理を実施した後の基板102の一部の概略断面図を示している。一般的に、第2のエッチングは、層104内にチャネル402を形成するため、材料層104をエッチングするように構成されている。第2のハードマスク112及び第3のハードマスク302はまた、第2のエッチング処理中又は処理後に除去されうる。第2のエッチング処理中の材料エッチング選択性の改良はまた、第1のハードマスク110と組み合わせて第3のハードマスク302を利用することによって実現されうる。ハードマスク除去特性はまた、互いに組み合わせてハードマスクを利用することによって維持されうると考えられている。
[0030] 例えば、高度で特徴的な積層のために、チャネル402の垂直プロファイルは、第3のハードマスク302のエッチング速度と比較した場合の材料層104のエッチング速度により改良されうる。ある実装では、材料層104のエッチング速度は、第3のハードマスク302のエッチング速度よりも約3.5倍から約4.0倍大きくなりうることが想定されている。したがって、第3のハードマスク302が除去されると、チャネル402の適切な深さと垂直プロファイルが実現されうる。
[0031] 第2のエッチング処理は、CH/N/O前駆体とCHF、CF、SF、Cなどのフッ素リッチ前駆体との組み合わせによるプラズマベースエッチング処理であってよい。フッ素リッチソースの追加は、第3のハードマスク302でのホウ素の除去を促進し、一方、CH前駆体(及び、ある程度のフッ化炭素前駆体)は主に、材料層104の酸化物/窒化物材料の除去を促進しうる。N及びO前駆体はまた、その後のアッシュ処理が不要になるように、第3のハードマスク302のアッシュ処理を促進しうる。言い換えるならば、第3のハードマスク302(及び第2のハードマスク112)のアッシュ処理は、材料層104内にチャネル402を形成する第2のエッチング処理中に、インシトゥ(その場)で実施されうる。第2のエッチング処理の実施に適した装置には、ENABLER(登録商標)エッチングチャンバ、AdvantEdge G3エッチングチャンバ、及びC3エッチングチャンバがあり、これらはすべてカリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能である。他の製造業者の他の適切に構成されたチャンバも、本書に記載の第2のエッチング処理を実施するために利用されうることが想定されている。
[0032] 要約すると、パターニング処理は、第2のハードマスク112をパターニングするために利用され、第1のエッチング処理は、第1のハードマスク110及び第2のハードマスク112のうちの一方、又は両方をエッチングするために利用されうる。第1のエッチング処理中に第1のハードマスク110に凹部202を形成した後、第3のハードマスク302は、材料層104、第1のハードマスク110、及び第2のハードマスク112の上に共形に堆積されうる。第2のエッチング処理は次いで、材料層104にチャネル402を形成するため、及び、基板102から第2のハードマスク112及び第3のハードマスク302を除去するために、実施されうる。したがって、CD及び垂直プロファイルなどのチャネル特性、並びに、選択性などのエッチング特性の改良は、本書に記載の実装を利用することによって実現されうる。
[0033] 以上の記述は本開示の実装を対象としているが、本開示の基本的な範囲から逸脱することなく本開示の他の実装及び更なる実装が考案されてよく、本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. 基板上に配置された一又は複数の材料層の上に第1のハードマスクを堆積すること、
    前記第1のハードマスクの上に第2のハードマスクを堆積すること、
    前記第2のハードマスクをパターニングすること、
    前記第1のハードマスク及び前記第2のハードマスクをエッチングする第1のエッチング処理を実施すること、
    前記一又は複数の材料層、前記第1のハードマスク、及び前記第2のハードマスクの上に第3のハードマスクを共形に堆積すること、及び、
    前記一又は複数の材料層をエッチングして、前記一又は複数の材料層にチャネルを形成する第2のエッチング処理を実施すること
    を含む、基板処理方法。
  2. 前記一又は複数の材料層は、窒化ケイ素材料及び酸化ケイ素材料を含む、請求項1に記載の方法。
  3. 前記窒化ケイ素材料及び前記酸化ケイ素材料は、積み重ねられた層を形成するため、交互の層に堆積される、請求項1に記載の方法。
  4. 前記第1のハードマスクは炭素含有材料である、請求項1に記載の方法。
  5. 前記第1のハードマスクは1μmから2μmまでの厚さに堆積される、請求項4に記載の方法。
  6. 前記第2のハードマスクは誘電体材料である、請求項1に記載の方法。
  7. 前記誘電体材料はケイ素含有材料である、請求項6に記載の方法。
  8. 前記ケイ素含有材料は二酸化ケイ素材料、窒化ケイ素材料、酸窒化ケイ素材料、或いはこれらの組み合わせである、請求項7に記載の方法。
  9. 前記第2のハードマスクは50μmから200μmまでの厚さに堆積される、請求項6に記載の方法。
  10. 前記第3のハードマスクは5nmから15nmまでの厚さに共形に堆積される、請求項1に記載の方法。
  11. 前記第3のハードマスクは、25重量%を超えるホウ素と50重量%を超える炭素からなる、ホウ素がドープされた炭素質材料からなる、請求項1に記載の方法。
  12. 前記ホウ素がドープされた炭素質材料は、窒素をふくむ炭窒化ホウ素材料である、請求項11に記載の方法。
  13. 前記炭窒化ホウ素材料の少なくとも80%は、ホウ素、炭素及び窒素から形成される、請求項12に記載の方法。
  14. 基板上に配置された一又は複数の酸化物及び窒化物含有材料層の上に第1のハードマスクを堆積すること、
    前記第1のハードマスクの上に第2のハードマスクを堆積すること、
    前記第1のハードマスク及び前記第2のハードマスクをエッチングする第1のエッチング処理を実施すること、
    前記一又は複数の酸化物及び窒化物含有材料層、前記第1のハードマスク、及び前記第2のハードマスクの上に炭窒化ホウ素ハードマスクを共形に堆積すること、及び、
    前記一又は複数の酸化物及び窒化物含有材料層をエッチングして、前記一又は複数の酸化物及び窒化物含有材料層にチャネルを形成する第2のエッチング処理であって、CH、N、O、及びフッ素リッチ前駆体からなる群から選択された前駆体を用いるプラズマベースのエッチング処理である第2のエッチング処理を実施すること、
    を含む、基板処理方法。
  15. 基板上に配置された一又は複数の酸化物及び窒化物含有材料層の上に炭素質ハードマスクを堆積すること、
    前記炭素質ハードマスクの上にケイ素含有誘電体ハードマスクを堆積すること、
    前記炭素質ハードマスク及び前記ケイ素含有誘電体ハードマスクをエッチングする第1のエッチング処理を実施すること、
    前記一又は複数の酸化物及び窒化物含有材料層、前記炭素質ハードマスク、及び前記ケイ素含有誘電体ハードマスクの上に炭窒化ホウ素ハードマスクを共形に堆積すること、及び、
    前記一又は複数の酸化物及び窒化物含有材料層をエッチングして、前記一又は複数の酸化物及び窒化物含有材料層にチャネルを形成する第2のエッチング処理であって、CH、N、O、及びフッ素リッチ前駆体からなる群から選択された前駆体を用いるプラズマベースのエッチング処理である第2のエッチング処理を実施すること、
    を含む、基板処理方法。
JP2021108321A 2016-01-20 2021-06-30 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク Active JP7266068B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662281046P 2016-01-20 2016-01-20
US62/281,046 2016-01-20
JP2018537462A JP6907217B2 (ja) 2016-01-20 2017-01-04 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018537462A Division JP6907217B2 (ja) 2016-01-20 2017-01-04 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク

Publications (2)

Publication Number Publication Date
JP2021177557A true JP2021177557A (ja) 2021-11-11
JP7266068B2 JP7266068B2 (ja) 2023-04-27

Family

ID=59313885

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018537462A Active JP6907217B2 (ja) 2016-01-20 2017-01-04 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
JP2021108321A Active JP7266068B2 (ja) 2016-01-20 2021-06-30 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018537462A Active JP6907217B2 (ja) 2016-01-20 2017-01-04 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク

Country Status (6)

Country Link
US (2) US9991118B2 (ja)
JP (2) JP6907217B2 (ja)
KR (1) KR20180097763A (ja)
CN (2) CN108475640B (ja)
TW (1) TWI726034B (ja)
WO (1) WO2017127233A1 (ja)

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019199822A2 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. Resolving spontaneous arcing during thick film deposition of high temperature amorphous carbon deposition
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109545790B (zh) * 2018-11-02 2021-08-31 长江存储科技有限责任公司 三维存储器的沟道孔的形成方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20200143605A (ko) 2019-06-14 2020-12-24 삼성전자주식회사 열분해막을 이용한 반도체 소자의 제조 방법, 반도체 제조 장비 및 이를 이용하여 제조된 반도체 소자
US11658040B2 (en) 2019-06-26 2023-05-23 Hitachi High-Tech Corporation Plasma processing method
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
US11276573B2 (en) 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
JP7370943B2 (ja) 2020-07-15 2023-10-30 Hoya株式会社 マスクブランク、転写用マスクの製造方法及び半導体デバイスの製造方法
JP7453874B2 (ja) * 2020-07-30 2024-03-21 芝浦メカトロニクス株式会社 基板処理方法、および基板処理装置
US11715640B2 (en) * 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
US20220102200A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including carbon-containing layer and method for semiconductor device fabrication
US11830729B2 (en) * 2021-01-08 2023-11-28 Applied Materials, Inc. Low-k boron carbonitride films
US20240014039A1 (en) * 2022-07-11 2024-01-11 Applied Materials, Inc. Carbon hardmask opening using boron nitride mask
WO2024091323A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Aluminum oxide carbon hybrid hardmasks and methods for making the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11350110A (ja) * 1998-06-11 1999-12-21 Mitsubishi Heavy Ind Ltd 炭窒化ホウ素膜の製造方法
US20050136675A1 (en) * 2003-12-19 2005-06-23 Sukesh Sandhu Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
JP2007507091A (ja) * 2003-08-22 2007-03-22 マイクロン・テクノロジー・インコーポレーテッド マスキング方法
US20130164922A1 (en) * 2011-12-27 2013-06-27 Samsung Electronics Co., Ltd. Methods of manufacturing a semiconductor device
JP2013540359A (ja) * 2010-10-05 2013-10-31 アプライド マテリアルズ インコーポレイテッド 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
WO2014069559A1 (ja) * 2012-11-01 2014-05-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20140213059A1 (en) * 2013-01-31 2014-07-31 Kenny Linh Doan Boron-doped carbon-based hardmask etch processing

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001043176A1 (en) * 1999-12-08 2001-06-14 Samsung Electronics Co., Ltd. Semiconductor device having a self-aligned contact structure and methods of forming the same
US6774033B1 (en) * 2002-11-04 2004-08-10 Cypress Semiconductor Corporation Metal stack for local interconnect layer
US7144803B2 (en) * 2003-04-17 2006-12-05 Semiconductor Research Corporation Methods of forming boron carbo-nitride layers for integrated circuit devices
US6939794B2 (en) * 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
JP2005019054A (ja) * 2003-06-24 2005-01-20 Sony Corp 薄膜デバイス、薄膜デバイスの製造方法、液晶表示装置およびエレクトロルミネッセンス表示装置
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
KR100801315B1 (ko) * 2006-09-29 2008-02-05 주식회사 하이닉스반도체 돌기형트랜지스터가 구비된 반도체소자의 제조 방법
US20100327413A1 (en) * 2007-05-03 2010-12-30 Lam Research Corporation Hardmask open and etch profile control with hardmask open
US7615447B2 (en) 2007-12-19 2009-11-10 Sandisk Corporation Composite charge storage structure formation in non-volatile memory using etch stop technologies
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8105465B2 (en) 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
CN101800174A (zh) * 2010-02-11 2010-08-11 中微半导体设备(上海)有限公司 一种含碳层的等离子刻蚀方法
US8778207B2 (en) * 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
US8946076B2 (en) * 2013-03-15 2015-02-03 Micron Technology, Inc. Methods of fabricating integrated structures, and methods of forming vertically-stacked memory cells
JP6140575B2 (ja) * 2013-08-26 2017-05-31 東京エレクトロン株式会社 半導体装置の製造方法
US9296922B2 (en) * 2013-08-30 2016-03-29 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9418867B2 (en) * 2014-01-10 2016-08-16 Applied Materials, Inc. Mask passivation using plasma
JP6200849B2 (ja) * 2014-04-25 2017-09-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびドライエッチング方法
KR102171265B1 (ko) * 2014-07-08 2020-10-28 삼성전자 주식회사 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11350110A (ja) * 1998-06-11 1999-12-21 Mitsubishi Heavy Ind Ltd 炭窒化ホウ素膜の製造方法
JP2007507091A (ja) * 2003-08-22 2007-03-22 マイクロン・テクノロジー・インコーポレーテッド マスキング方法
US20050136675A1 (en) * 2003-12-19 2005-06-23 Sukesh Sandhu Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
JP2013540359A (ja) * 2010-10-05 2013-10-31 アプライド マテリアルズ インコーポレイテッド 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
US20130164922A1 (en) * 2011-12-27 2013-06-27 Samsung Electronics Co., Ltd. Methods of manufacturing a semiconductor device
WO2014069559A1 (ja) * 2012-11-01 2014-05-08 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20140213059A1 (en) * 2013-01-31 2014-07-31 Kenny Linh Doan Boron-doped carbon-based hardmask etch processing

Also Published As

Publication number Publication date
TWI726034B (zh) 2021-05-01
TW201736947A (zh) 2017-10-16
JP6907217B2 (ja) 2021-07-21
JP2019503082A (ja) 2019-01-31
US20170207088A1 (en) 2017-07-20
US10410864B2 (en) 2019-09-10
US20180277370A1 (en) 2018-09-27
CN108475640A (zh) 2018-08-31
JP7266068B2 (ja) 2023-04-27
KR20180097763A (ko) 2018-08-31
CN108475640B (zh) 2023-06-06
CN116631865A (zh) 2023-08-22
WO2017127233A1 (en) 2017-07-27
US9991118B2 (en) 2018-06-05

Similar Documents

Publication Publication Date Title
JP6907217B2 (ja) 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
US10954129B2 (en) Diamond-like carbon as mandrel
KR102513424B1 (ko) 스페이서 및 하드마스크 애플리케이션을 위한 실란 및 알킬실란 종으로부터의 보란 매개 탈수소화 프로세스
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
US9570303B2 (en) Conformal amorphous carbon for spacer and spacer protection applications
US7842622B1 (en) Method of forming highly conformal amorphous carbon layer
KR102551689B1 (ko) 향상된 패터닝을 위한 라인-에지-거칠기 감소를 위한 등각적 스트립퍼블 탄소 막
KR102040022B1 (ko) 합성의 제거가능한 하드마스크
TW201611096A (zh) 利用共形碳薄膜減低臨界尺寸之方法
US20180261510A1 (en) Tone inversion method and structure for selective contact via patterning
US20240087894A1 (en) High density carbon films for patterning applications
US11495454B2 (en) Deposition of low-stress boron-containing layers
US11594416B2 (en) Tribological properties of diamond films
TW202212256A (zh) 低應力含碳層的沉積

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210728

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220823

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230328

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230417

R150 Certificate of patent or registration of utility model

Ref document number: 7266068

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150