JP2013540359A - 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積 - Google Patents

超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積 Download PDF

Info

Publication number
JP2013540359A
JP2013540359A JP2013532843A JP2013532843A JP2013540359A JP 2013540359 A JP2013540359 A JP 2013540359A JP 2013532843 A JP2013532843 A JP 2013532843A JP 2013532843 A JP2013532843 A JP 2013532843A JP 2013540359 A JP2013540359 A JP 2013540359A
Authority
JP
Japan
Prior art keywords
boron
amorphous carbon
carbon film
substrate
hydrocarbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013532843A
Other languages
English (en)
Inventor
マーティン ジェイ シーモンズ,
スダ ラティ,
クァンドゥック ダグラス リー,
ディーネッシュ パディ,
ボクホン キム,
チーウ チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013540359A publication Critical patent/JP2013540359A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/64Manufacture or treatment of solid state devices other than semiconductor devices, or of parts thereof, not peculiar to a single device provided for in groups H01L31/00 - H10K99/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Abstract

本発明の諸実施形態は一般に集積回路に関し、詳細には、半導体基板上へのホウ素含有アモルファスカーボン層の堆積に関する。一実施形態においては、処理チャンバ内で基板を処理する方法が提供される。この方法は、処理容積に基板を設けること、処理容積に炭化水素含有混合ガスを流すこと、RF源から電力を加えることによって、炭化水素含有混合ガスのプラズマを発生させること、処理容積にホウ素含有混合ガスを流すこと、およびプラズマの存在下、基板上にホウ素含有アモルファスカーボン膜を堆積させることを含み、ホウ素含有アモルファスカーボン膜が、約30〜約60原子百分率のホウ素を含有する【選択図】図4

Description

本発明の諸実施形態は一般に集積回路の製造に関し、詳細には、半導体基板上へのホウ素含有アモルファスカーボン層の堆積に関する。
集積回路が、単一チップ上に何百万ものトランジスタ、コンデンサおよび抵抗器を備えることができる複合デバイスへと進化している。チップ設計の進化により、より高速の回路およびより高い回路密度が継続的に必要となる。より高い回路密度を有するより高速の回路に対する要求により、そのような集積回路を製造するために使用される材料に対して対応する要求が課される。特に、集積回路部品の寸法がサブミクロンスケールにまで縮小されると、そのような部品から適切な電気的性能を得るために低抵抗率導電材料ならびに低誘電率絶縁材料を使用することが現在必要である。
より高い集積回路密度に対する要求により、集積回路部品の製造に使用されるプロセス順序にも要求が課される。たとえば、従来のフォトリソグラフィ技術を使用するプロセス順序では、基板上に配置された材料層のスタックの上に、エネルギー感度の高いレジスト層が形成される。このエネルギー感度の高いレジスト層は、あるパターンの画像にさらされて、フォトレジストマスクを形成する。その後、エッチプロセスを用いて、スタックの材料層の1つまたは複数にマスクパターンを転写する。このエッチプロセスで使用する化学エッチャントは、エネルギー感度の高いレジストのマスクに対してよりも、スタックの材料層に対してより高いエッチ選択性を有するように選択される。すなわち、化学エッチャントにより、エネルギー感度の高いレジストよりもはるかに速い速度で、材料スタックの1つまたは複数の層がエッチングされる。スタックの1つまたは複数の材料層に対するレジストを超えるエッチ選択性により、パターン転写の完了前にエネルギー感度の高いレジストが消費されることが防止される。したがって、選択性の高いエッチャントにより、正確なパターン転写が強化される。
パターン寸法が縮小されると、それに応じてエネルギー感度の高いレジストの厚さを、パターン分解能を制御するために減少させなければならない。そのような薄いレジスト層は、化学エッチャントによる攻撃に起因して、パターン転写ステップ中に下層の材料層をマスクするには不十分となり得る。ハードマスクと呼ばれる中間層(たとえば、酸窒化ケイ素、炭化ケイ素またはカーボン膜)が、化学エッチャントに対するそのより大きな耐性によりパターン転写を容易にするために、エネルギー感度の高いレジスト層と下層の材料層と間で多くの場合使用される。エッチ選択性も高く、またエッチングプロセスが完了した後の除去が容易でもある薄いハードマスクを有することが望ましい。限界寸法(CD)が減少すると、現在のハードマスク材料は、下層材料に対する所望のエッチ選択性を欠き、多くの場合除去が困難である。
したがって、ハードマスク層の改良が、また改良したハードマスク層を堆積させるための方法の改良が従来技術では必要である。
本発明の諸実施形態は一般に集積回路の製造に、特に、半導体基板上へのホウ素含有アモルファスカーボン層の堆積に関する。一実施形態において、処理チャンバ内で基板を処理する方法が提供される。この方法は、処理容積に基板を設けること、処理容積に炭化水素含有混合ガスを流すこと、RF源から電力を加えることによって、炭化水素含有混合ガスのプラズマを発生させること、処理容積にホウ素含有混合ガスを流すこと、およびプラズマの存在下、基板上にホウ素含有アモルファスカーボン膜を堆積させることを含み、ホウ素含有アモルファスカーボン膜が、約10〜約60原子百分率のホウ素を含有する。
別の一実施形態において、処理チャンバ内で基板を処理する方法が提供される。この方法は、RF電力の存在下炭化水素含有ガスの流れに基板をさらして、基板上にホウ素を含まないアモルファスカーボン膜を堆積させること、炭化水素含有ガスの流れを継続しながらRF電力を切ること、RF電力の存在下、ホウ素含有ガスの流れと、炭化水素含有ガスの流れとに基板をさらして、ホウ素を含まないアモルファスカーボン膜上にホウ素含有アモルファスカーボン膜を堆積させることを含み、ボロン含有アモルファスカーボン膜が、約30〜60原子百分率のホウ素を含有する。一例では、ホウ素を含まないアモルファスカーボン膜の厚さは約50Å〜約1000Åでよく、一方ホウ素含有アモルファスカーボン膜の厚さは約300Å〜約5000Åでよい。ホウ素含有アモルファスカーボン膜は、約20〜約50原子百分率の炭素と、約10〜約25原子百分率の水素とを含有することができる。この方法は、ホウ素含有アモルファスカーボン膜をエッチングして、パターニングしたホウ素含有アモルファスカーボン膜を形成すること、および基板内にパターニングしたホウ素含有アモルファスカーボン膜に対応するフィーチャ画定部(feature definition)を形成することをさらに含むことができる。
別の実施形態において、処理チャンバ内で基板を処理する方法が提供される。この方法は、処理容積に基板を設けること、処理容積に炭化水素含有混合ガスを流すこと、RF源から電力を加えることによって、炭化水素含有混合ガスのプラズマを発生させること、プラズマの存在下、基板上にホウ素を含まないアモルファスカーボン膜を堆積させること、処理容積にホウ素含有混合ガスを流すこと、およびプラズマの存在下、ホウ素を含まないアモルファスカーボン含有膜上にホウ素含有アモルファスカーボン膜を堆積させることを含み、ホウ素含有アモルファスカーボン膜は、約10〜約60原子百分率のホウ素を含有する。
別の一実施形態において、処理チャンバ内で基板を処理する方法が提供される。この方法は、処理チャンバ内に基板を設けること、処理チャンバに炭化水素含有混合ガスを流すこと、炭化水素含有混合ガスから第1のプラズマを発生させて、約300Å〜約5000Åの厚さを有するホウ素を含まないアモルファスカーボン膜を基板上に堆積させること、処理チャンバへの炭化水素含有混合ガスの流れを継続しながら第1のプラズマを切ることによって、処理チャンバ内の処理条件を安定させること、処理チャンバにホウ素含有混合ガスを流すこと、および炭化水素含有混合ガスおよびホウ素含有混合ガスから第2のプラズマを発生させて、約300Å〜約5000Åの厚さを有するホウ素含有アモルファスカーボン膜をホウ素を含まないアモルファスカーボン膜上に堆積させることを含む。一例では、ホウ素含有アモルファスカーボン膜は、約10〜約60原子百分率のホウ素を含有する。この方法は、過酸化水素と硫酸とを含む溶液を用いてホウ素含有アモルファスカーボン膜を除去すること、および水素含有プラズマ、酸素含有プラズマまたはこれらの組合せを用いてホウ素を含まないアモルファスカーボン膜を除去することをさらに含むことができる。
さらに別の実施形態においては、ホウ素含有アモルファスカーボン膜が提供される。このホウ素含有アモルファスカーボン膜は、約10〜約60原子百分率のホウ素と、約20〜約50原子百分率の炭素と、約10〜約30原子百分率の水素とを含有する。
さらに別の一実施形態では、半導体デバイスが提供される。このデバイスは、基板の上に堆積されているホウ素を含まないアモルファスカーボン膜であって、約50Å〜約5000Åの厚さを有するホウ素を含まないアモルファスカーボン膜と、ホウ素を含まないアモルファスカーボン膜上に堆積されているホウ素含有アモルファスカーボン膜であって、約300Å〜約5000Åの厚さを有し、約10〜60原子百分率のホウ素を含有するホウ素含有アモルファスカーボン膜と、ホウ素含有アモルファスカーボン膜上に堆積されている反射防止コーティング膜と、反射防止コーティング膜上に堆積されているフォトレジスト膜とを含む。
別の一実施形態において、処理チャンバ内で基板を処理する方法が提供される。この方法は、RF電力の存在下、炭化水素含有ガスおよびホウ素含有ガスを含む混合ガスの流れに基板をさらして、基板の上にホウ素含有アモルファスカーボン膜を堆積させること、約35〜約60原子百分率のホウ素を含有し、約300Å〜約5000Åの厚さを有するホウ素含有アモルファスカーボン膜をエッチングして、パターニングしたホウ素含有アモルファスカーボン膜を形成すること、基板内にパターニングしたホウ素含有アモルファスカーボン膜に対応するフィーチャ画定部を形成することを含む。一例では、ホウ素含有アモルファスカーボン膜は、約20〜約50原子百分率の炭素と、約10〜約25原子百分率の水素とを含有することができる。
本発明の上記特徴を詳細に理解することができるように、上で簡潔に要約した本発明について、添付図面にその一部を示す諸実施形態を参照してより詳細に説明することができる。しかしながら、添付図面は本発明の典型的な諸実施形態を示しているにすぎず、したがって本発明の範囲を限定すると考えられるべきではなく、本発明について他の同様に効果的な諸実施形態を認めることができることに留意されたい。
本明細書中に記載されている諸実施形態の実施のために使用することができる装置の概略図である。 本明細書中に記載されている諸実施形態による、ホウ素含有アモルファスカーボン膜を堆積させるための方法の一実施形態を示すプロセスフロー図である。 本明細書中に記載されている諸実施形態による、ハードマスク層としてホウ素含有アモルファスカーボン層を組み込んだ基板構造の概略断面図である。 本明細書中に記載されている諸実施形態による、ホウ素含有アモルファスカーボン膜を堆積させるための方法の一実施形態を示すプロセスフロー図である。 本明細書中に記載されている諸実施形態による、非ドープアモルファスカーボン膜の上にハードマスク層としてホウ素含有アモルファスカーボン層を組み込んだ基板構造の概略断面図である。 既知の非ドープアモルファスカーボン膜と対比して本明細書中に記載されている諸実施形態に従って堆積させたホウ素含有アモルファスカーボン膜についてのブランケットエッチ選択性を示すプロットである。 既知の非ドープアモルファスカーボン膜と対比して本明細書中に記載されている諸実施形態に従って堆積させたホウ素含有アモルファスカーボン膜についてのブランケットエッチ選択性を示すプロットである。
しかしながら、添付図面は本発明の例示的実施形態を示しているにすぎず、したがって本発明を限定すると考えられるべきではなく、本発明について他の同様に効果的な諸実施形態を認めることができることに留意されたい。
本発明の諸実施形態は一般に集積回路の製造に関し、詳細には、半導体基板上へのアモルファスカーボン層の堆積に関し、より詳細には、ホウ素含有アモルファスカーボン層の堆積に関する。論理デバイスおよび記憶デバイスの構造における深いコンタクトのための高アスペクト比エッチでは、アスペクト比は10〜75:1であってもよく、その場合ハードマスクは全スタック厚の10〜40%である。一実施形態においては、エッチ選択性を40〜80%向上させるホウ素含有アモルファスカーボン膜が提供され、これにより同様に対応する量だけハードマスク厚を薄くすることが可能となる。別の実施形態においては、ハードマスク厚の削減および構造のアスペクト比を可能とする現在知られている非ドープアモルファスカーボン膜よりも2倍〜20倍エッチ耐性があるホウ素含有膜が提供される。本明細書中に記載の特定の実施形態では、ハードマスク形状、限界寸法制御および限界寸法均一性が改善される。様々な実施形態において、炭化水素含有ガス、ホウ素含有ガス、およびアルゴン、窒素、ヘリウムなどの不活性/キャリアガスを用いて、ホウ素含有アモルファスカーボン層を堆積させることができる。有利には、下層誘電体膜に損傷を与えることなく、産業的に受け入れられているウエットエッチング化学薬品を用いて下層材料からホウ素含有アモルファスカーボン膜を容易に剥離できることがわかっている。
本発明の諸実施形態ではまた、アモルファスカーボン層と、アモルファスカーボン層上に堆積されているホウ素含有アモルファスカーボン層とを備える多層ハードマスクが提供される。一実施形態においては、ホウ素含有アモルファスカーボン膜が約10〜約60原子百分率のホウ素を含有する。アモルファスカーボン層の厚さは、約50Å〜約5000Åの範囲で異なることがある。ホウ素含有アモルファスカーボン膜の厚さは、約300Å〜約5000Åでよい。アモルファスカーボン層の厚さが約50Å〜約1000Åである場合、下層のアモルファスカーボン層は、ジボランなどのホウ素含有ガスを用いた後に続くホウ素含有アモルファスカーボン堆積中に基板上に直接アモルファスホウ素(除去が困難)が形成されることを回避するために、基板とホウ素含有アモルファスカーボン層との間の遷移層としての機能を果たすことができる。遷移膜としての機能を果たすことに加え、アモルファスカーボン層の厚さが約300Å〜約5000Åである特定の実施形態では、ホウ素含有アモルファスカーボン層は、下層に損傷を与えることなくパターニングを完了させるように、従来の酸素プラズマを用いて容易に灰化可能な、十分に厚いアモルファスカーボン層を下に残しながら、優れたハードマスク性能(たとえば、良好なCD制御およびフィーチャ形状)により主要エッチプロセス中に消費され得る。当業者には理解されるはずであるが、本明細書を通して使用する用語「ホウ素含有アモルファスカーボン」は、炭化ホウ素の形態での、もしくはホウ素および炭素の非化学量論的混合物でのホウ素炭素(ホウ化炭素)材料、またはホウ素をドープしたアモルファスカーボンを全般的に網羅する。この材料を本明細書中では「アモルファス」と称するが、この用語は、膜中に結晶構造が全く存在しないことを表すものではなく、現在利用可能な技術によって認識できる結晶構造がないことを示しているだけであることにも留意されたい。
図1は、本明細書中に記載の諸実施形態に従ってアモルファスカーボン層堆積を行うために使用することができる基板処理システム132の概略図を示す。本発明を実施するために使用することができる基板処理システム132の一例の詳細が、Salvadorらの同一出願人により2002年4月2日に発行された米国特許第6364954号に記載されており、参照により本明細書中に組み込まれている。適切なシステムの例として、DxZ(商標)処理チャンバを使用することができるCENTURA(登録商標)システム、PRECISION5000(登録商標)システム、PRODUCER(商標)システム、PRODUCER GT(商標)およびPRODUCER SE(商標)処理チャンバが挙げられ、これらのシステムは、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されている。他の製造業者から入手可能なシステムを含めた他の処理システムも、本明細書中に記載されている諸実施形態を実施するために適合させることができると考えられる。
処理システム132は、ガスパネル130およびコントローラ110と結合されているプロセスチャンバ100を含む。プロセスチャンバ100は一般に、内部処理容積126を画定する上面124、側面101および底壁122を含む。チャンバ100の内部処理容積126内に、支持体ペデスタル150が設けられる。このペデスタル150はステム160によって支持され、典型的にはアルミニウム、セラミックおよび他の適切な材料から作製することができる。ペデスタル150は、変位機構(図示せず)を用いてチャンバ100の内側で鉛直方向に移動させることができる。
ペデスタル150は、ペデスタル150の表面192上で支持される基板190の温度を制御するために適切な埋め込みヒーター素子170を含むことができる。ペデスタル150は、電力供給部106からヒーター素子170へと電流を流すことによって抵抗加熱することができる。ヒーター素子170は、ニッケル−鉄−クロム合金(たとえばINCOLOY(登録商標))シース管内に封入されたニッケル−クロム線で作成することができる。電力供給部106から供給される電流をコントローラ110によって調節して、ヒーター素子170によって生じる熱を制御し、これにより膜堆積中実質的に一定の温度に基板190およびペデスタル150が維持される。供給電流を調節して、セ氏約100度〜セ氏約700度でペデスタル150の温度を選択的に制御することができる。
熱電対などの温度センサ172を支持体ペデスタル150に埋め込んで、従来のようにしてペデスタル150の温度をモニタすることができる。測定した温度をコントローラ110が使用して、加熱素子170に供給される電力を制御して、基板を所望の温度に維持する。
チャンバ100の底部に形成されているポートに真空ポンプ102が結合されている。真空ポンプ102を使用して、プロセスチャンバ100内の所望のガス圧を維持する。真空ポンプ102はまた、チャンバ100から後処理ガスおよびプロセスの副生成物を排出する。
処理システム132はさらに、チャンバ圧を制御するための追加の機器、たとえば、チャンバ圧を制御するためにプロセスチャンバ100と真空ポンプ102との間に位置するバルブ(たとえば、スロットルバルブおよび遮断バルブ)を含むことができる。
複数の開孔128を有するシャワーヘッド120が、基板支持体ペデスタル150の上のプロセスチャンバ100の上部に配置されている。シャワーヘッド120の開孔128は、チャンバ100へプロセスガスを導入するために利用される。開孔128は、異なるプロセス要件のための様々なプロセスガスの流れが容易になるように、異なるサイズ、数、分布、形状、設計および径を有することができる。プロセス中、内部処理容積126へ様々なガスを供給することを可能にするガスパネル130に、シャワーヘッド120が接続されている。シャワーヘッド120を出たプロセス混合ガスからプラズマを形成して、プロセスガスの熱分解を増進させ、その結果基板190の表面191上に材料が堆積される。
シャワーヘッド120および基板支持体ペデスタル150は、内部処理容積126内に一対の相隔たる電極を形成することができる。1つまたは複数のRF電源140により、整合回路(matching network)138を介してシャワーヘッド120へとバイアス電位が供給されて、シャワーヘッド120とペデスタル150との間でプラズマの生成が促進される。あるいは、RF電源140および整合回路138をシャワーヘッド120、基板ペデスタル150に結合させることも、またはシャワーヘッド120と基板ペデスタル150との両方に結合させることも、またはチャンバ100の外部に配置されているアンテナ(図示せず)に結合させることもできる。一実施形態において、RF電源140は、約50kHz〜約13.6MHzの周波数で約100ワット〜約3,000ワットを供給することができる。別の実施形態では、RF電源140は、約50kHz〜約13.6MHzの周波数で約500ワット〜約1,800ワットを供給することができる。
コントローラ110は、中央演算処理装置(CPU)112と、メモリ116と、プロセスの順序を制御し、またガスパネル130からのガス流を調節するために利用する支援回路114とを備える。CPU112は、産業用の装置で使用することができる汎用コンピュータプロセッサの任意の形態でよい。ランダムアクセスメモリ、ROM、フロッピもしくはハードディスクドライブまたは他の形態のデジタル記憶装置などのメモリ116には、ソフトウエアルーチンを格納することができる。支援回路114は、従来通りCPU112に結合され、キャッシュ、クロック回路、入力/出力システム、電力供給部等を含むことができる。コントローラ110と処理システム132の様々な構成要素との間の双方向通信が、その一部を図1に示す信号バス118と総称される数多くの信号ケーブルを通じて取り扱われる。
他の堆積チャンバもまた、本発明から利益を享受することができ、上記で列挙したパラメータは、アモルファスカーボン層を形成するために使用する特定の堆積チャンバによって変化することができる。たとえば、Applied Materials,Inc.から入手可能な堆積チャンバ向けに記載されているガス流量よりも大きいまたは小さいガス流量を必要とする他の堆積チャンバが、より大きな容積またはより小さな容積を有することもある。一実施形態においては、下記表1に記載のパラメータを用いる、カリフォルニア州サンタクララのApplied Materials,Inc.から市販されているPRODUCER SE(商標)またはPRODUCER GT(商標)処理チャンバを用いて、ホウ素含有アモルファスカーボン層を堆積させることができる。
堆積時の(as−deposited)ホウ素含有アモルファスカーボン膜中のホウ素の量/百分率は、適用例毎に異なることがある。本発明の様々な実施形態において、ホウ素含有アモルファスカーボン膜は、少なくとも8、10、15、20、25、30、35、40、45、50または55原子百分率のホウ素を含有することができる。ホウ素含有アモルファスカーボン膜は、最大15、20、25、30、35、40、45、50、55または60原子百分率のホウ素を含有することができる。ホウ素含有アモルファスカーボン膜は、約10〜約60原子百分率のホウ素を含有することができる。ホウ素含有アモルファスカーボン膜は、約30〜約60原子百分率のホウ素を含有することができる。ホウ素含有アモルファスカーボン膜は、少なくとも15、20、25、30、35、40、45、50、55または60原子百分率の炭素を含有することができる。ホウ素含有アモルファスカーボン膜は、最大25、30、35、40、45、50、55、60または65原子百分率の炭素を含有することができる。ホウ素含有アモルファスカーボン膜は、約20〜約65原子百分率の炭素、たとえば、約35〜約50原子百分率の炭素を含有することができる。ホウ素含有アモルファスカーボン膜は、少なくとも10、15、20、25原子百分率の水素を含有することができる。ホウ素含有アモルファスカーボン膜は、最大15、20、25、30または40原子百分率の水素を含有することができる。ホウ素含有アモルファスカーボン膜は、約10〜約25原子百分率の水素を含有することができる。前駆体として窒素を使用する特定の実施形態においては、ホウ素含有アモルファスカーボン膜は、少なくとも5、10または15原子百分率の窒素を含有することができる。ホウ素含有アモルファスカーボン膜は、最大10、15または20原子百分率の窒素を含有することができる。
一般に、下記の例示的堆積プロセスパラメータを使用して、ホウ素含有アモルファスカーボン層を形成することができる。プロセスパラメータは、ウエハ温度が約100℃〜約700℃、たとえば、約200℃〜約500℃の範囲に及ぶことができる。チャンバ圧は、約1torr〜約20torr、たとえば、約2torr〜約10torrの範囲に及ぶことができる。炭化水素含有ガスの流量は、約200sccm〜約5,000sccm、たとえば、約400sccm〜約2,000sccmでよい。希釈ガスの流量は個々に、約0sccm〜約20,000sccm、たとえば、約2,000sccm〜約10,000sccmの範囲に及ぶことができる。不活性ガスの流量は個々に、約0sccm〜約20,000sccm、たとえば、約200sccm〜約2,000sccmの範囲に及ぶことができる。ホウ素含有混合ガスの流量は、約1,000sccm〜約15,000sccm、たとえば、約5,000sccm〜約13,000sccmでよい。RF電力は約1W/in〜約100W/in、たとえば約3W/in〜約20W/inなどでよく、平板間隔は基板の上面とシャワーヘッドとの間の約200ミル〜約600ミルでよい。ホウ素含有アモルファスカーボン層は、約300Å〜約5000Åなど、約100Å〜約20,000Åの厚さに堆積させることができる。上記プロセスパラメータは、約100Å/分〜約10,000Å/分の範囲でホウ素含有アモルファスカーボン層のための典型的な堆積速度を提供し、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能な堆積チャンバ内の300mmの基板上で実施することができる。
Figure 2013540359
堆積時のホウ素含有アモルファスカーボン膜は、2.0%未満の均一性(R/2%)を有することができる。堆積時のホウ素含有アモルファスカーボン膜は、1.8を超える、たとえば約2.32の屈折率(RI(633nm))を有することができる。堆積時のホウ素含有アモルファスカーボン膜は、0.1未満、たとえば約0.02の誘電率値(633nmにおける誘電率)を有することができる。堆積時のホウ素含有アモルファスカーボン膜は、約0〜約−500MPaの、たとえば、−50MPaの応力(MPa)を有することができる。堆積時のホウ素含有アモルファスカーボン膜は、1.5g/ccを超える、たとえば、1.95g/ccなど約1.86g/cc以上の密度(g/cc)を有することができる。
図2は、本明細書中に記載されている諸実施形態による、ホウ素含有アモルファスカーボン膜を堆積させるための方法200の一実施形態を示すプロセスフロー図である。方法200は、処理チャンバの内部容積に基板を設けることによって、ブロック202から開始する。処理チャンバは、図1に示す処理チャンバ100でよい。図3に示すような基板190は、実質的に平らな表面191を有する。あるいは、基板190には、パターン化構造、トレンチを有する表面、ホールまたはビアが形成されていてもよい。基板190はまた、その上または中に所望の高さで形成された構造を有する実質的に平らな表面を有することもできる。基板190を単体として示しているが、基板190は、金属接点、トレンチ隔離、ゲート、ビット線、他の任意の相互接続フィーチャなど、半導体デバイスを形成する際に使用する1種または複数種の材料を含有することができると理解される。基板190は、半導体デバイスを製造するために利用する1種または複数種の金属層、1種または複数種の誘電材料、半導体材料およびそれらの組合せを備えることができる。たとえば、基板190は、用途に応じて酸化物材料、窒化物材料、ポリシリコン材料等を含むことができる。メモリ用途が所望される一実施形態においては、基板190は、シリコン基板材料、酸化物材料および窒化物材料を、その間にポリシリコンがはさまれているかどうかにかかわらず含むことができる。別の実施形態においては、基板190は、基板(図示せず)の表面上に堆積される複数の交互する酸化物および窒化物材料(すなわち、酸化物−窒化物−酸化物(ONO))を含むことができる。様々な実施形態において、基板190は、複数の交互する酸化物および窒化物材料、1種または複数種の酸化物または窒化物材料、ポリシリコンまたはアモルファスシリコン材料、アモルファスシリコンと交互する酸化物、ポリシリコンと交互する酸化物、ドープシリコンと交互する非ドープシリコン、ドープポリシリコンと交互する非ドープポリシリコン、またはドープアモルファスシリコンと交互する非ドープアモルファスシリコンを含むことができる。基板は、膜処理が行われる任意の基板または材料表面でよい。たとえば、基板190は、結晶シリコン、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、ストレインドシリコン(strained silicon)、シリコンゲルマニウム、タングステン、窒化チタン、ドープまたは非ドープポリシリコン、ドープまたは非ドープシリコンウエハおよびパターン化または非パターン化ウエハ、シリコンオンインシュレータ(SOI)、炭素ドープ酸化ケイ素、窒化ケイ素、ドープシリコン、ゲルマニウム、ガリウムヒ素、ガラス、サファイア、低誘電率誘電体、これらの組合せなどの材料でよい。
ブロック204では、処理容積126に炭化水素含有混合ガスを流す。ガスパネル130から処理容積126へとシャワーヘッド120を介して炭化水素含有混合ガスを流すことができる。この混合ガスは、少なくとも1種の炭化水素化合物および不活性ガスを含むことができる。炭化水素は任意の液体またはガスでよいが、好ましい前駆体は、材料計量、制御およびチャンバに対するデリバリに必要となるハードウエアを簡略化するために室温で気体である。好ましくは、炭素源は、直鎖炭化水素などのガス状炭化水素である。一実施形態においては、炭化水素化合物が一般式Cを有し、式中xの範囲は1〜20、yの範囲は1〜20である。適切な炭化水素化合物として、下記化合物、たとえば、アルカン類、メタン(CH)、エタン(C)、プロピレン(C)、プロパン(C)、ブタン(C10)およびその異性体イソブタン、ペンタン(C12)およびその異性体オイソペンタンおよびネオペンタン、ヘキサン(C14)およびその異性体2−メチルペンタン、3−メチルペンタン、2,3−ジメチルブタン、および2,2−ジメチルブタン等の1種または複数種が挙げられる。追加の適切な炭化水素として、エチレン、プロピレン、ブチレンおよびその異性体、ペンテンおよびその異性体等のアルケン類、ブタジエン、イソプレン、ペンタジエン、ヘキサジエン等のジエン類を挙げることができ、ハロゲン化アルケン類として、モノフルオロエチレン、ジフルオロエチレン、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン、トリクロロエチレン、テトラクロロエチレン等が挙げられる。また、アセチレン(C)、プロピン(C)、ブチレン(C)、ビニルアセチレンおよびこれらの誘導体などのアルキン類を炭素前駆体として使用することもできる。加えて、ベンゼン、スチレン、トルエン、キシレン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フラン等の芳香族炭化水素、α−テルピネン、シメン、1,1,3,3,−テトラメチルブチルベンゼン、t−ブチルエーテル、t−ブチルエチレン、メタクリル酸メチルおよびt−ブチルフルフリルエーテル、式CおよびCを有する化合物、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼン等を含めたハロゲン化芳香族化合物を使用することもできる。一例では、より一層の表面移動度が可能となるより安定した中間体種が形成されることから、Cが好ましい。
適切な希釈ガス、中でもヘリウム(He)、アルゴン(Ar)、水素(H)、窒素(N)、アンモニア(NH)、またはこれらの組合せなどを、必要に応じて混合ガスに添加することができる。アモルファスカーボン層の密度および堆積速度を制御するためにAr、HeおよびNを使用する。場合によっては、以下で議論する通り、アモルファスカーボン層の水素比を制御するために、Nおよび/またはNHの添加を利用することができる。あるいは、堆積中、希釈ガスを使用しなくてもよい。
アルゴン(Ar)および/またはヘリウム(He)などの不活性ガスを、炭化水素含有混合ガスと共にプロセスチャンバ100へと供給することができる。窒素(N)や一酸化窒素(NO)など他の不活性ガスを、アモルファスカーボン層の密度および堆積速度を制御するために使用することもできる。加えて、アモルファスカーボン材料の特性を修正するために、他の様々な処理ガスを混合ガスに添加することができる。一実施形態においては、これら処理ガスは、水素(H)、アンモニア(NH)、水素(H)と窒素(N)との混合物、またはこれらの組合せなどの反応性ガスでよい。堆積させたアモルファスカーボン層の水素比(たとえば、炭素対水素比)を制御するために、Hおよび/またはNHの添加を利用することもできる。アモルファスカーボン膜中に存在する水素比により、反射率などの層特性の制御が行われる。
ブロック206では、内部処理容積126にホウ素含有混合ガスを流す。ガスパネル130から処理容積126へとシャワーヘッド120を介してホウ素含有混合ガスを流すことができる。一実施形態において、ホウ素含有混合ガスは、ホウ素含有化合物および不活性ガスを備える。ホウ素含有化合物の例として、ジボラン(B)、トリメチルホウ素(TMBまたはB(CH)、トリエチルホウ素(TEB)、メチルホウ素、ジメチルホウ素、エチルホウ素、ジエチルホウ素および類似の化合物が挙げられる。一実施形態においては、全ホウ素含有混合ガス中のホウ素含有化合物の百分率は、約2%〜約20%である。別の実施形態においては、全ホウ素含有混合ガス中のホウ素含有化合物の百分率は、約5%〜約10%である。例示的なホウ素含有混合ガスとして、5%B/95%N、5%B/95%He、10%B/90%He、5%B/95%Ar、10%B/90%Arまたは5%B/95%Hを挙げることができる。理論によって限定されるものではないが、ヘリウムを使用すると、窒素の使用よりも、弾性率や硬度などの機械的膜特性の向上が実現されることが発明者らによって見出されている。異なる濃度のホウ素含有混合ガスを使用すると、特定の膜特性を実現するために必要となる流量がその都度変化することがあると考えられる。たとえば、ホウ素含有ガス源として5%ジボランを使用する場合には、ホウ素含有混合ガスの流量は約5,000sccm〜約15,000sccm、たとえば、約13,000sccmでよい。ホウ素含有ガス源として10%ジボランを使用する別の例では、ホウ素含有混合ガスの流量は約4,000sccm〜約10,000sccm、たとえば、約6,000sccm〜約7,000sccmでよい。
ブロック208では、内部処理容積126内でRFプラズマを発生させて、基板190上にホウ素含有アモルファスカーボン膜304を堆積させる。本明細書の図2には、RFプラズマを入れる前に、内部処理容積126に炭化水素含有混合ガスおよびホウ素含有混合ガスを導入する一実施形態が示してある。そのような場合、炭化水素含有混合ガスは、約5秒〜約30秒、たとえば約15秒などより長い時間かけて処理容積126へと導入することができ、この時間は基板の寸法に応じて変化することがある。ホウ素含有ガスの導入前に炭化水素含有混合ガスを流すと、処理容積126の継続的な熱安定化および圧力安定化がもたらされると考えられる。炭化水素含有混合ガスを流しながら、ホウ素含有混合ガスはその後、RFプラズマを加える前に、約0.5秒〜約5秒、たとえば、約1秒〜約2秒処理容積126へと流れている(流れる時間は、ホウ素含有混合ガスが処理容積126に到達し始める程度に十分に長いのであれば変化することがある)。炭化水素含有混合ガスおよびホウ素含有混合ガスは、ホウ素含有アモルファスカーボン膜304が所望の厚さに到達するまで流れ続ける。あるいは、内部処理容積126へのホウ素含有混合ガスの導入前に、RFプラズマを発生させることもできる。
ホウ素含有アモルファスカーボン膜304の厚さは、処理の段階に応じて可変である。一実施形態においては、ホウ素含有アモルファスカーボン膜304の厚さは約100Å〜約20,000Å、たとえば、約300Å〜約5,000Åでよい。ホウ素含有アモルファスカーボン膜304は、標準的なフォトレジストパターニング技法を用いてパターニングすることができる。ホウ素含有アモルファスカーボン膜304は、過酸化水素および硫酸を備える溶液を用いて除去することができる。過酸化水素および硫酸を含む溶液を備える例示的な一溶液が、ピラニア(Piranha)溶液またはピラニアエッチとして知られている。ホウ素含有アモルファスカーボン膜304は、酸素およびハロゲン(たとえば、フッ素または塩素)を含有するエッチング化学薬品、たとえば、Cl/O、CF/O、Cl/O/CFを用いて除去することもできる。
図4は、本明細書中に記載されている諸実施形態によるホウ素含有アモルファスカーボン膜を堆積させるための別の方法400の一実施形態を示すプロセスフロー図である。図5は、本明細書中に記載されている諸実施形態による、非ドープアモルファスカーボン膜502上にハードマスク層としてホウ素含有アモルファスカーボン膜304を組み込んだ基板構造の概略断面図を示す。図4に示す方法400は、非ドープアモルファスカーボン膜502上へのホウ素含有アモルファスカーボン膜304の堆積よりも前に、基板190の表面191上に非ドープアモルファスカーボン膜502が堆積されている以外は、図2に示す方法200と同様である。
ブロック402では、処理チャンバ100の内部処理容積126内に基板190を位置付ける。
ブロック404では、炭化水素含有混合ガスを内部処理容積126に流す。炭化水素含有混合ガスは、方法200で使用した水素含有混合ガスと同様でよい。
ブロック406では、内部処理容積126内でRFプラズマを発生させて、基板190の表面191上に非ドープアモルファスカーボン(ホウ素を含まない)膜を堆積させる。非ドープアモルファスカーボン膜502は、ホウ素含有混合ガスの流れがない状態で上記処理条件を用いて堆積させることができる。一実施形態において、非ドープアモルファスカーボン膜502の厚さは約50Å〜約1,000Åでよく、この非ドープアモルファスカーボン膜502は基板190とその後に堆積されるホウ素含有アモルファスカーボン膜304(図5)との間の遷移層としての役割を果たすことができる。その後のホウ素含有アモルファスカーボン膜304の堆積中、ホウ素源として使用するホウ素含有ガス(ジボランなど)が分解され、プラズマを入れなくても、加熱された基板上にアモルファスホウ素膜(除去が困難)が形成されることが観測されている。堆積時の非ドープアモルファスカーボン膜502により、その後のホウ素含有アモルファスカーボン堆積中に、基板上に直接アモルファスホウ素が形成されることが回避される。
別の実施形態において、非ドープアモルファスカーボン層502の厚さは、約300Å〜約5000Å、たとえば、約2000Å〜約3000Åより厚く、非ドープアモルファスカーボン層502(図5)上にその後堆積されるホウ素含有アモルファスカーボン膜304が、下層に損傷を与えることなくパターニングを完了させるように、従来の酸素プラズマを用いて容易に灰化可能な、十分に厚いアモルファスカーボン層を下に残しながら、優れたハードマスク性能(たとえば、良好なCD制御およびフィーチャ形状)により主要エッチプロセス中に消費され得るようになっている。この多層ハードマスク手法は、深酸化物コンタクトエッチ、DRAMコンデンサモールドエッチ、ラインおよび/またはスペースエッチなど、様々な用途に適用することができる。浅いトレンチ隔離のエッチハードマスク、ゲートエッチのハードマスク、ビット線エッチのハードマスクなど、ラインおよびスペースエッチ用途の場合には、スタック膜は、非ドープアモルファスカーボン膜502が約300Å〜約1,000Å、ホウ素含有アモルファスカーボン膜304が約300Å〜約1,000Åでよい。密集領域および隔離領域のエッチ選択性に応じて、層の厚さを調整することができる。
基板190上に所望の厚さを有する非ドープアモルファスカーボン膜502を堆積させたら、処理容積126への炭化水素含有混合ガスの流れを継続しながらRFプラズマを切ることによって、処理チャンバを安定させることができる。RFプラズマは、処理容積126へのホウ素含有混合ガスの導入後に再開され得る。一例では、ホウ素含有混合ガスは、RFプラズマを加える前に、約0.5秒〜約5秒、たとえば、約1秒〜約2秒、処理容積126へと流れている(流れる時間は、ホウ素含有混合ガスが処理容積126に到達し始める程度に十分に長いのであれば変化することがある)。
非ドープアモルファスカーボン膜502の堆積後、ブロック408では、方法200で使用したホウ素含有混合ガスと同様のホウ素含有混合ガスを、処理チャンバの内部処理容積126へと流す。一実施形態においては、非ドープアモルファスカーボン膜502の堆積に使用する処理条件を、処理チャンバ100の内部処理容積126へホウ素含有混合ガスを流しながら維持することができる。あるいは、上述のように内部処理容積126へのホウ素含有混合ガスの導入の前に、プロセス容積126への炭化水素含有混合ガスの流れを継続しながら、RFプラズマを切ることもできる。
ブロック410では、非ドープアモルファスカーボン膜502上に、RFプラズマの存在下、ホウ素含有アモルファスカーボン膜304を堆積させる。一実施形態において、ホウ素含有アモルファスカーボン膜304の厚さは約100Å〜約20,000Å、たとえば、約300Å〜約5,000Åでよい。堆積時のホウ素含有アモルファスカーボン膜304により、マスク切子面形成(mask faceting)に対する優れた耐性がもたらされ、この耐性は、主要エッチングプロセス中にCD制御およびフィーチャ形状を、また従来のアモルファスカーボンハードマスクよりも最大7倍良い、ブランケット膜試験に基づく優れたエッチ選択性を維持する際に重要である。ホウ素含有アモルファスカーボン膜304は、過酸化水素および硫酸を備える溶液を用いて除去することができる。過酸化水素および硫酸を備える例示的な一溶液が、ピラニア溶液またはピラニアエッチとして知られている。非ドープアモルファスカーボン(ホウ素を含まない)膜502は、水素含有プラズマ、酸素含有プラズマまたはこれらの組合せを用いて除去することができる。ホウ素含有アモルファスカーボン膜304は、酸素およびハロゲン(たとえば、フッ素または塩素)を含有するエッチング化学薬品、たとえば、Cl/O、CF/O、Cl/O/CFを用いて除去することもできる。
以下の非限定的な例を、本明細書中に記載されている諸実施形態をさらに説明するために示す。しかしながら、これらの例は全く包括的なものではなく、また本明細書中に記載されている諸実施形態の範囲を限定することが意図されていない。表IIおよびIVに示す例示的な膜は、サンタクララのApplied Materials,Inc.から市販されているPRODUCER SE(商標)処理チャンバを用いて堆積させた。表IIは、本明細書中に記載されている諸実施形態による、ホウ素含有アモルファスカーボン膜(試料2〜9)についてのプロセス条件および機械的特性を示す。試料1は、ホウ素を含有しない対照である。表IIIは、表IIに示す試料1〜9についての堆積時の膜中の炭素、水素、ホウ素および窒素の百分率を示す。原子百分率(at.%)には、元素毎にそれぞれ以下の不確実性(at.%)および検出限界(at.%)があり、O(±3,3)、N(±3,3)、C(±4,4)、B(±5,4)およびH(±4,4)である。応力の単位はMPa、密度の単位はg/cc、流量の単位はsccm、間隔の単位はミル、圧力の単位はTorr、厚さの単位はÅ、堆積速度の単位はÅ/分、温度の単位はセ氏温度である。
Figure 2013540359
Figure 2013540359
表IVは、本明細書中に記載されている諸実施形態による、ホウ素含有アモルファスカーボン膜(試料10〜16)についてのプロセス条件および機械的特性を示す。表Vは、表IVに示す試料10〜16についての堆積時の膜中の炭素、水素、ホウ素および窒素の百分率を示す。原子百分率(at.%)には、元素毎にそれぞれ以下の不確実性(at.%)および検出限界(at.%)があり、O(±3,3)、N(±3,3)、C(±4,4)、B(±5,4)およびH(±5,0.3)である。
Figure 2013540359
Figure 2013540359
図6は、既知の非ドープアモルファスカーボン膜対本明細書中に記載されている諸実施形態に従って堆積させたホウ素含有アモルファスカーボン膜についてのエッチ選択性を示すプロット600である。y軸は、酸化物の上に堆積させた各膜のブランケットエッチ選択性を示す。図6に示すように、B:a−cは、ブランケットエッチ選択性が比較例の2倍改善されていることを示す。
図7は、既知の非ドープアモルファスカーボン膜対本明細書中に記載されている諸実施形態に従って堆積させたホウ素含有アモルファスカーボン膜についてのブランケットエッチ選択性を示すプロット700である。y軸は、既知の非ドープアモルファスカーボン膜対ホウ素含有アモルファスカーボン膜のブランケットエッチ選択性を示す。x軸は、エッチングされる材料を示す。図7に示すように、B:a−cは、Bドープシリコン、酸化ケイ素、窒化ケイ素およびアモルファスシリコン(a−Si)を含めた下層材料について、ブランケットエッチ選択性が比較例の約2倍改善されていることを示す。
上記は本発明の諸実施形態を対象としているが、本発明の基本的な範囲から逸脱することなく、本発明の他の、またさらなる諸実施形態を考案することができ、本発明の範囲は以下の特許請求の範囲によって決まる。

Claims (15)

  1. 処理チャンバ内で基板を処理する方法であって、
    RF電力の存在下、炭化水素含有ガスの流れに基板をさらして、前記基板上にホウ素を含まないアモルファスカーボン膜を堆積させること、
    前記炭化水素含有ガスの流れを継続しながらRF電力を切ること、および
    RF電力の存在下、ホウ素含有ガスの流れと、前記炭化水素含有ガスの前記流れとに前記基板をさらして、前記ホウ素を含まないアモルファスカーボン膜上にホウ素含有アモルファスカーボン膜を堆積させることを含み、前記ボロン含有アモルファスカーボン膜が、約30〜60原子百分率のホウ素を含有する、方法。
  2. 前記ホウ素を含まないアモルファスカーボン膜の厚さが約50Å〜約1000Åであり、前記ホウ素含有アモルファスカーボン膜の厚さが約300Å〜約5000Åである、請求項1に記載の方法。
  3. 前記ホウ素含有アモルファスカーボン膜が約20〜約50原子百分率の炭素を含有し、前記ホウ素含有アモルファスカーボン膜が約10〜約25原子百分率の水素を含有する、請求項1に記載の方法。
  4. 前記炭化水素含有混合ガスが、一般式Cを有する少なくとも1種の炭化水素化合物を備え、式中、xの範囲が1〜4、yの範囲が2〜10である、請求項1に記載の方法。
  5. 前記炭化水素含有混合ガスが、アルゴン、窒素およびヘリウムを備える群から選択される不活性ガスおよび/またはキャリアガスをさらに備える、請求項4に記載の方法。
  6. 前記ホウ素含有混合ガスが、ジボラン(B)、トリメチルホウ素(TMBまたはB(CH)、トリエチルホウ素(TEB)、メチルホウ素、ジメチルホウ素、エチルホウ素、ジエチルホウ素またはこれらの組合せを含む、請求項5に記載の方法。
  7. 前記炭化水素化合物が、メタン(CH)、エタン(C)、エチレン(C)プロピレン(C)、プロピン(C)、プロパン(C)、ブタン(C10)、ブチレン(C)およびその異性体、ブタジエン(C)、アセチレン(C)ならびにこれらの組合せを備える群から選択される、請求項6に記載の方法。
  8. 前記基板が、複数の交互する酸化物および窒化物材料、アモルファスシリコンと交互する酸化物、ポリシリコンと交互する酸化物、ドープシリコンと交互する非ドープシリコン、ドープポリシリコンと交互する非ドープポリシリコン、ドープアモルファスシリコンと交互する非ドープアモルファスシリコン、アルミニウム、タングステン、窒化チタン、銅、酸化ケイ素、酸窒化ケイ素、窒化ケイ素およびこれらの組合せを備える、請求項1に記載の方法。
  9. 前記ホウ素含有アモルファスカーボン膜をエッチングして、パターニングしたホウ素含有アモルファスカーボン膜を形成すること、および
    前記基板内に前記パターニングしたホウ素含有アモルファスカーボン膜に対応するフィーチャ画定部を形成することをさらに含む、請求項1に記載の方法。
  10. 処理チャンバ内で基板を処理する方法であって、
    処理チャンバ内に基板を設けること、
    前記処理チャンバに炭化水素含有混合ガスを流すこと、
    前記炭化水素含有混合ガスから第1のプラズマを発生させて、約300Å〜約5000Åの厚さを有するホウ素を含まないアモルファスカーボン膜を前記基板上に堆積させること、
    前記処理チャンバへの前記炭化水素含有混合ガスの流れを継続しながら前記第1のプラズマを切ることによって、前記処理チャンバ内の処理条件を安定させること、
    前記処理チャンバにホウ素含有混合ガスを流すこと、および
    前記炭化水素含有混合ガスおよび前記ホウ素含有混合ガスから第2のプラズマを発生させて、約300Å〜約5000Åの厚さを有し、約10〜約60原子百分率のホウ素を含有し、約20〜約50原子百分率の炭素を含有し、約10〜約25原子百分率の水素を含有するホウ素含有アモルファスカーボン膜を、前記ホウ素を含まないアモルファスカーボン膜上に堆積させること、
    過酸化水素および硫酸を備える溶液を用いて前記ホウ素含有アモルファスカーボン膜を除去すること、および
    水素含有プラズマ、酸素含有プラズマまたはこれらの組合せを用いて前記ホウ素を含まないアモルファスカーボン膜を除去することを含む、方法。
  11. 約35〜60原子百分率のホウ素、約20〜約50原子百分率の炭素と、約10〜約30原子百分率の水素とを備えるホウ素含有アモルファスカーボン膜。
  12. 基板上に堆積させた、厚さが約50Å〜約5000Åであるホウ素を含まないアモルファスカーボン膜と、
    前記ホウ素を含まないアモルファスカーボン膜上に堆積させたホウ素含有アモルファスカーボン膜であって、厚さが約300Å〜約5000Åである、約10〜60原子百分率のホウ素を含有するホウ素含有アモルファスカーボン膜と、
    前記ホウ素含有アモルファスカーボン膜上に堆積させた反射防止コーティング膜と、
    前記反射防止コーティング膜上に堆積させたフォトレジスト膜とを備える、半導体デバイス。
  13. 処理チャンバ内で基板を処理する方法であって、
    RF電力の存在下、炭化水素含有ガスおよびホウ素含有ガスを備える混合ガスの流れに基板をさらして、前記基板の上にホウ素含有アモルファスカーボン膜を堆積させること、
    約35〜約60原子百分率のホウ素を含有し、約300Å〜約5000Åの厚さを有するホウ素含有アモルファスカーボン膜をエッチングして、パターニングしたホウ素含有アモルファスカーボン膜を形成すること、および
    前記基板内に前記パターニングしたホウ素含有アモルファスカーボン膜に対応するフィーチャ画定部を形成することを含む、方法。
  14. 前記ホウ素含有アモルファスカーボン膜が、約20〜約50原子百分率の炭素と、約10〜約25原子百分率の水素とを含有する、請求項13に記載の方法。
  15. 前記基板が、複数の交互する酸化物および窒化物材料、アモルファスシリコンと交互する酸化物、ポリシリコンと交互する酸化物、ドープシリコンと交互する非ドープシリコン、ドープポリシリコンと交互する非ドープポリシリコン、ドープアモルファスシリコンと交互する非ドープアモルファスシリコン、アルミニウム、タングステン、窒化チタン、銅、酸化ケイ素、酸窒化ケイ素、窒化ケイ素およびこれらの組合せを備える、請求項13に記載の方法。
JP2013532843A 2010-10-05 2011-09-30 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積 Pending JP2013540359A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39008710P 2010-10-05 2010-10-05
US61/390,087 2010-10-05
PCT/US2011/054145 WO2012047742A2 (en) 2010-10-05 2011-09-30 Ultra high selectivity doped amorphous carbon strippable hardmask development and integration

Publications (1)

Publication Number Publication Date
JP2013540359A true JP2013540359A (ja) 2013-10-31

Family

ID=45889087

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013532843A Pending JP2013540359A (ja) 2010-10-05 2011-09-30 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積

Country Status (6)

Country Link
US (2) US8536065B2 (ja)
JP (1) JP2013540359A (ja)
KR (1) KR20130118880A (ja)
CN (1) CN103210480A (ja)
TW (1) TW201216331A (ja)
WO (1) WO2012047742A2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018056345A (ja) * 2016-09-29 2018-04-05 東京エレクトロン株式会社 ハードマスクおよびその製造方法
JP2018160656A (ja) * 2016-12-15 2018-10-11 東京エレクトロン株式会社 成膜方法、ボロン膜、及び成膜装置
JP2019135739A (ja) * 2018-02-05 2019-08-15 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
JP2020507922A (ja) * 2017-02-01 2020-03-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ハードマスク応用向けのホウ素がドープされた炭化タングステン
JP2021509775A (ja) * 2018-01-08 2021-04-01 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 犠牲マスクの除去を改善するための技術
JP2021523558A (ja) * 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
JP2021177557A (ja) * 2016-01-20 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
JP2022542170A (ja) * 2019-08-01 2022-09-29 アプライド マテリアルズ インコーポレイテッド パターニングされた金属酸化物フォトレジストの線量減少

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130075158A (ko) * 2011-12-27 2013-07-05 삼성전자주식회사 반도체 소자의 제조 방법
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) * 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
KR102222909B1 (ko) * 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) * 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9711360B2 (en) * 2015-08-27 2017-07-18 Applied Materials, Inc. Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
WO2017222938A1 (en) * 2016-06-20 2017-12-28 Applied Materials, Inc. Cleaning process for removing boron-carbon residuals in processing chamber at high temperature
US10410872B2 (en) 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
CN109477207A (zh) 2016-09-23 2019-03-15 应用材料公司 溅射喷淋头
US9870915B1 (en) * 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110678973B (zh) * 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
WO2019022826A1 (en) 2017-07-24 2019-01-31 Applied Materials, Inc. PRETREATMENT APPARATUS FOR IMPROVING THE CONTINUITY OF ULTRA-THIN AMORPHOUS SILICON FILM ON SILICON OXIDE
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
SG11202005150YA (en) * 2017-12-01 2020-06-29 Applied Materials Inc Highly etch selective amorphous carbon film
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN113195786A (zh) 2018-10-19 2021-07-30 朗姆研究公司 用于间隙填充的远程氢等离子体暴露以及掺杂或未掺杂硅碳化物沉积
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11270890B2 (en) 2018-12-14 2022-03-08 Lam Research Corporation Etching carbon layer using doped carbon as a hard mask
JP2022519766A (ja) * 2019-02-14 2022-03-24 アプライド マテリアルズ インコーポレイテッド 基板を処理する方法
JP2021088735A (ja) * 2019-12-03 2021-06-10 東京エレクトロン株式会社 成膜方法および処理装置
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11322352B2 (en) 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63221839A (ja) * 1987-03-09 1988-09-14 Minolta Camera Co Ltd 非晶質水素化炭素膜の製造方法
JPH05208806A (ja) * 1992-01-28 1993-08-20 Sumitomo Electric Ind Ltd 自己修復性硬質固体潤滑膜及びその製造方法
JPH09326114A (ja) * 1996-06-04 1997-12-16 Hitachi Ltd 磁気記録媒体
JP2001062605A (ja) * 1999-08-30 2001-03-13 Sumitomo Electric Ind Ltd 非晶質カーボン被覆工具
US20040259355A1 (en) * 2003-06-17 2004-12-23 Zhiping Yin Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20050056940A1 (en) * 2003-09-12 2005-03-17 Sandhu Gurtej S. Masking structure having multiple layers including an amorphous carbon layer
JP2007531987A (ja) * 2004-03-05 2007-11-08 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜のcvd堆積用の液体前駆体
JP2008541485A (ja) * 2005-05-17 2008-11-20 アプライド マテリアルズ インコーポレイテッド 光吸収層を低温プラズマ堆積させるプロセスおよび高速光アニーリング
US20090286403A1 (en) * 2008-05-15 2009-11-19 Jusung Engineering Co., Ltd Method of forming thin film pattern for semiconductor device and apparatus for the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US7084071B1 (en) * 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US6936539B2 (en) * 2003-09-24 2005-08-30 Micron Technology, Inc. Antireflective coating for use during the manufacture of a semiconductor device
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US20070286954A1 (en) 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
WO2008094792A1 (en) 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63221839A (ja) * 1987-03-09 1988-09-14 Minolta Camera Co Ltd 非晶質水素化炭素膜の製造方法
JPH05208806A (ja) * 1992-01-28 1993-08-20 Sumitomo Electric Ind Ltd 自己修復性硬質固体潤滑膜及びその製造方法
JPH09326114A (ja) * 1996-06-04 1997-12-16 Hitachi Ltd 磁気記録媒体
JP2001062605A (ja) * 1999-08-30 2001-03-13 Sumitomo Electric Ind Ltd 非晶質カーボン被覆工具
US20040259355A1 (en) * 2003-06-17 2004-12-23 Zhiping Yin Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20050056940A1 (en) * 2003-09-12 2005-03-17 Sandhu Gurtej S. Masking structure having multiple layers including an amorphous carbon layer
JP2007505498A (ja) * 2003-09-12 2007-03-08 マイクロン・テクノロジー・インコーポレーテッド 無定形炭素層を含むマスキング構造
JP2007531987A (ja) * 2004-03-05 2007-11-08 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜のcvd堆積用の液体前駆体
JP2008541485A (ja) * 2005-05-17 2008-11-20 アプライド マテリアルズ インコーポレイテッド 光吸収層を低温プラズマ堆積させるプロセスおよび高速光アニーリング
US20090286403A1 (en) * 2008-05-15 2009-11-19 Jusung Engineering Co., Ltd Method of forming thin film pattern for semiconductor device and apparatus for the same

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021177557A (ja) * 2016-01-20 2021-11-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
JP7266068B2 (ja) 2016-01-20 2023-04-27 アプライド マテリアルズ インコーポレイテッド 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
JP2018056345A (ja) * 2016-09-29 2018-04-05 東京エレクトロン株式会社 ハードマスクおよびその製造方法
JP2018160656A (ja) * 2016-12-15 2018-10-11 東京エレクトロン株式会社 成膜方法、ボロン膜、及び成膜装置
JP2020507922A (ja) * 2017-02-01 2020-03-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ハードマスク応用向けのホウ素がドープされた炭化タングステン
JP7229929B2 (ja) 2017-02-01 2023-02-28 アプライド マテリアルズ インコーポレイテッド ハードマスク応用向けのホウ素がドープされた炭化タングステン
JP2021509775A (ja) * 2018-01-08 2021-04-01 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 犠牲マスクの除去を改善するための技術
JP7019050B2 (ja) 2018-01-08 2022-02-14 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド 犠牲マスクの除去を改善するための技術
JP7005367B2 (ja) 2018-02-05 2022-02-04 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
JP2019135739A (ja) * 2018-02-05 2019-08-15 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
JP2021523558A (ja) * 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
US11603591B2 (en) 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
JP2022542170A (ja) * 2019-08-01 2022-09-29 アプライド マテリアルズ インコーポレイテッド パターニングされた金属酸化物フォトレジストの線量減少

Also Published As

Publication number Publication date
CN103210480A (zh) 2013-07-17
US20120080779A1 (en) 2012-04-05
WO2012047742A3 (en) 2012-06-07
TW201216331A (en) 2012-04-16
US8993454B2 (en) 2015-03-31
WO2012047742A2 (en) 2012-04-12
US8536065B2 (en) 2013-09-17
US20140017897A1 (en) 2014-01-16
KR20130118880A (ko) 2013-10-30

Similar Documents

Publication Publication Date Title
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
JP7301931B2 (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
JP2013540359A (ja) 超高選択性ドープアモルファスカーボン剥離性ハードマスクの開発および集積
JP5686944B2 (ja) アモルファスカーボン層の高温堆積のための方法
KR20160125912A (ko) 하드마스크 막과 실리콘 산화물 막 사이의 접착을 개선하기 위한 플라즈마 처리
KR20160015157A (ko) 금속 도핑된 비정질 탄소 막의 증착
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
JP2023532883A (ja) ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法
KR20240064735A (ko) 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140626

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150521

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150623

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151117