KR20130118880A - 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합 - Google Patents

초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합 Download PDF

Info

Publication number
KR20130118880A
KR20130118880A KR1020137011628A KR20137011628A KR20130118880A KR 20130118880 A KR20130118880 A KR 20130118880A KR 1020137011628 A KR1020137011628 A KR 1020137011628A KR 20137011628 A KR20137011628 A KR 20137011628A KR 20130118880 A KR20130118880 A KR 20130118880A
Authority
KR
South Korea
Prior art keywords
boron
amorphous carbon
carbon film
substrate
kpa
Prior art date
Application number
KR1020137011628A
Other languages
English (en)
Inventor
마틴 제이 시몬스
수다 라티
광덕 더글라스 이
데니쉬 파디
복 헌 김
치우 찬
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130118880A publication Critical patent/KR20130118880A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/64Manufacture or treatment of solid state devices other than semiconductor devices, or of parts thereof, not peculiar to a single device provided for in groups H01L31/00 - H10K99/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것으로서, 상세하게는, 반도체 기판 상에 붕소 함유 비정질 탄소층의 성막에 관한 것이다. 일 실시예에 있어서, 프로세싱 챔버에서 기판을 프로세싱하는 방법이 제공된다. 그 방법은 프로세싱 체적에 기판을 제공하는 단계, 탄화수소 함유 가스 혼합물을 프로세싱 체적으로 플로우하는 단계, RF 소스로부터 전력을 인가함으로써 탄화수소 함유 가스 혼합물의 플라즈마를 발생시키는 단계, 붕소 함유 가스 혼합물을 프로세싱 체적으로 플로우하는 단계, 및 플라즈마의 존재 시 기판 상에 붕소 함유 비정질 탄소막을 성막하는 단계를 포함하고, 여기서, 붕소 함유 비정질 탄소막은 약 30 내지 약 60 원자 퍼센티지의 붕소를 함유한다.

Description

초고도의 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합{ULTRA HIGH SELECTIVITY DOPED AMORPHOUS CARBON STRIPPABLE HARDMASK DEVELOPMENT AND INTEGRATION}
본 발명의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것으로서, 상세하게는, 반도체 기판 상에 붕소 함유 비정질 탄소층의 성막에 관한 것이다.
집적 회로들은, 수백만개의 트랜지스터들, 커패시터들 및 저항기들을 단일 칩 상에 포함할 수 있는 복합 디바이스들로 진화하였다. 칩 설계의 진화는 더 신속한 회로 및 더 큰 회로 밀도를 지속적으로 요구한다. 더 큰 회로 밀도들을 갖는 더 신속한 회로들에 대한 요구들은 그러한 집적 회로들을 제조하는데 사용되는 재료들에 대한 대응하는 요구들을 부과한다. 특히, 집적 회로 컴포넌트들의 치수들이 서브-마이크론 스케일로 감소됨에 따라, 이제, 그러한 컴포넌트들로부터 적절한 전기적 성능을 획득하기 위해 저 저항률의 도전 재료들뿐 아니라 저 유전 상수의 절연 재료들을 이용하는 것이 필요하다.
더 큰 집적 회로 밀도들에 대한 요구들은 또한, 집적 회로 컴포넌트들의 제조에 있어서 사용된 프로세스 시퀀스들에 대한 요구들을 부과한다. 예를 들어, 종래의 포토 리소그래픽 기술들을 사용하는 프로세스 시퀀스들에 있어서, 에너지 민감성 레지스트의 층이, 기판 상에 배치된 재료층들의 스택 위에 형성된다. 에너지 민감성 레지스트층은 포토레지스트 마스크를 형성하기 위해 패턴의 이미지에 노출된다. 그 후, 마스크 패턴이 에칭 프로세스를 이용하여 스택의 재료층들 중 하나 또는 그 이상에 전사된다. 에칭 프로세스에서 사용되는 화학적 에천트는 에너지 민감성 레지스트의 마스크보다 스택의 재료층들에 대해 더 큰 에칭 선택도를 갖도록 선택된다. 즉, 화학적 에천트는 에너지 민감성 레지스트보다 훨씬 더 신속한 레이트로 재료 스택의 하나 또는 그 이상의 층들을 에칭한다. 레지스트에 대한 스택의 하나 또는 그 이상의 재료층들의 에칭 선택도는 에너지 민감성 레지스트가 패턴 전사의 완료 이전에 소비되는 것을 방지한다. 따라서, 매우 선택적인 에천트는 정확한 패턴 전사를 강화한다.
패널 치수들이 감소됨에 따라, 에너지 민감성 레지스트의 두께는 패턴 해상도를 제어하기 위해 대응하게 감소되어야 한다. 그러한 박형의 레지스트층들은 화학적 에천트에 의한 공격으로 인해 패턴 전사 단계 동안 하위의 재료층들을 마스킹하기에 불충분할 수 있다. 하드마스크로 지칭되는 중간층(예를 들어, 실리콘 산화질화물, 실리콘 카바인 또는 탄소막)은 종종, 화학적 에천트에 대한 그 더 큰 저항 때문에 패턴 전사를 용이하게 하도록 에너지 민감성 레지스트층 및 하위의 재료층들 사이에서 사용된다. 에칭 프로세스가 완료한 이후 높은 에칭 선택도를 갖고 또한 제거하기 용이한 박형의 하드마스크들을 갖는 것이 바람직하다. 임계 치수(CD)들이 감소함에 따라, 현재의 하드마스크 재료들은 하위의 재료들에 대해 원하는 에칭 선택도를 결여하고, 종종 제거하기 난해하다.
따라서, 개선된 하드마스크층 및 개선된 하드마스크층들을 성막하는 방법이 당업계에 필요하다.
본 발명의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것으로서, 상세하게는, 반도체 기판 상에 붕소 함유 비정질 탄소층의 성막에 관한 것이다. 일 실시예에 있어서, 프로세싱 챔버에서 기판을 프로세싱하는 방법이 제공된다. 그 방법은 프로세싱 체적에 기판을 제공하는 단계, 탄화수소 함유 가스 혼합물을 프로세싱 체적으로 플로우하는 단계, RF 소스로부터 전력을 인가함으로써 탄화수소 함유 가스 혼합물의 플라즈마를 발생시키는 단계, 붕소 함유 가스 혼합물을 프로세싱 체적으로 플로우하는 단계, 및 플라즈마의 존재 시 기판 상에 붕소 함유 비정질 탄소막을 성막하는 단계를 포함하고, 여기서, 붕소 함유 비정질 탄소막은 약 10 내지 약 60 원자 퍼센티지의 붕소를 함유한다.
하나의 다른 실시예에 있어서, 프로세싱 챔버에서 기판을 프로세싱하는 방법이 제공된다. 그 방법은 RF 전력의 존재 시 탄화수소 함유 가스의 플로우에 기판을 노출하여 기판 상에 붕소-프리 비정질 탄소막을 성막하는 단계, 탄화수소 함유 가스의 플로우를 계속하면서 RF 전력을 턴오프하는 단계, 및 RF 전력의 존재 시 붕소 함유 가스의 플로우 및 탄화수소 함유 가스의 플로우에 기판을 노출하여 붕소-프리 비정질 탄소막 상에 붕소 함유 비정질 탄소막을 성막하는 단계를 포함하고, 여기서, 붕소 함유 비정질 탄소막은 약 30 내지 약 60 원자 퍼센티지의 붕소를 함유한다. 일 예에 있어서, 붕소-프리 비정질 탄소막은 약 50Å과 약 1000Å 사이의 두께를 가질 수도 있지만, 붕소 함유 비정질 탄소막은 약 300Å과 약 5000Å 사이의 두께를 가질 수도 있다. 붕소 함유 비정질 탄소막은 약 20 내지 약 50 원자 퍼센티지의 탄소 및 약 10 내지 약 25 원자 퍼센티지의 수소를 함유할 수도 있다. 그 방법은 붕소 함유 비정질 탄소막을 에칭하여 패터닝된 붕소 함유 비정질 탄소막을 형성하는 단계, 및 패터닝된 붕소 함유 비정질 탄소막에 대응하여 기판에 피처 정의부들을 형성하는 단계를 더 포함할 수도 있다.
다른 실시예에 있어서, 프로세싱 챔버에서 기판을 프로세싱하는 방법이 제공된다. 그 방법은 프로세싱 체적에 기판을 제공하는 단계, 탄화수소 함유 가스 혼합물을 프로세싱 체적으로 플로우하는 단계, RF 소스로부터 전력을 인가함으로써 탄화수소 함유 가스 혼합물의 플라즈마를 발생시키는 단계, 플라즈마의 존재 시 기판 상에 붕소-프리 비정질 탄소막을 성막하는 단계, 붕소 함유 가스 혼합물을 프로세싱 체적으로 플로우하는 단계, 및 플라즈마의 존재 시 붕소-프리 비정질 탄소 함유막 상에 붕소 함유 비정질 탄소막을 성막하는 단계를 포함하고, 여기서, 붕소 함유 비정질 탄소막은 약 10 내지 약 60 원자 퍼센티지의 붕소를 함유한다.
하나의 다른 실시예에 있어서, 프로세싱 챔버에서 기판을 프로세싱하는 방법이 제공된다. 그 방법은 프로세싱 챔버에 기판을 제공하는 단계, 탄화수소 함유 가스 혼합물을 프로세싱 챔버로 플로우하는 단계, 탄화수소 함유 가스 혼합물로부터 제1플라즈마를 발생시켜 기판 상에 붕소-프리 비정질 탄소막을 성막하는 단계로서, 그 붕소-프리 비정질 탄소막은 약 300Å과 약 5000Å 사이의 두께를 갖는, 상기 붕소-프리 비정질 탄소막을 성막하는 단계, 탄화수소 함유 가스 혼합물의 프로세싱 챔버로의 플로우를 계속하면서 제1플라즈마를 턴오프시킴으로써 프로세싱 챔버 내에서 프로세싱 조건을 안정화시키는 단계, 붕소 함유 가스 혼합물을 프로세싱 챔버로 플로우하는 단계, 및 탄화수소 함유 가스 혼합물 및 붕소 함유 가스 혼합물로부터 제2플라즈마를 발생시켜 붕소-프리 비정질 탄소막 상에 붕소 함유 비정질 탄소막을 성막하는 단계를 포함하고, 붕소 함유 비정질 탄소막은 약 300Å과 약 5000Å 사이의 두께를 가진다. 일 예에 있어서, 붕소 함유 비정질 탄소막은 약 10 내지 약 60 원자 퍼센티지의 붕소를 함유할 수도 있다. 그 방법은 과산화수소 및 황산을 포함하는 용액을 사용하여 붕소 함유 비정질 탄소막을 제거하는 단계, 및 수소 함유 플라즈마, 산소 함유 플라즈마, 또는 이들의 조합을 이용하여 붕소-프리 비정질 탄소막을 제거하는 단계를 더 포함할 수도 있다.
또다른 실시예에 있어서, 붕소 함유 비정질 탄소막이 제공된다. 붕소 함유 비정질 탄소막은 약 10 내지 약 60 원자 퍼센티지의 붕소, 약 20 내지 약 50 원자 퍼센티지의 탄소 및 약 10 내지 약 30 원자 퍼센티지의 수소를 함유한다.
또 하나의 다른 실시예에 있어서, 반도체 디바이스가 제공된다. 그 디바이스는 기판 위에 성막되고 약 50Å과 약 5000Å 사이의 두께를 갖는 붕소-프리 비정질 탄소막, 붕소-프리 비정질 탄소막 상에 성막되고 약 300Å과 약 5000Å 사이의 두께를 가지며 약 10 내지 60 원자 퍼센티지의 붕소를 함유하는 붕소 함유 비정질 탄소막, 붕소 함유 비정질 탄소막 상에 성막된 반사방지 코팅막, 및 반사방지 코팅막 상에 성막된 포토레지스트막을 포함한다.
하나의 다른 실시예에 있어서, 프로세싱 챔버에서 기판을 프로세싱하는 방법이 제공된다. 그 방법은 RF 전력의 존재 시 탄화수소 함유 가스 및 붕소 함유 가스를 포함하는 가스 혼합물의 플로우에 기판을 노출하여 기판 위에 붕소 함유 비정질 탄소막을 성막하는 단계, 붕소 함유 비정질 탄소막을 에칭하여 패터닝된 붕소 함유 비정질 탄소막을 형성하는 단계로서, 붕소 함유 비정질 탄소막은 약 35 내지 약 60 원자 퍼센티지의 붕소를 함유하고 약 300Å과 약 5000Å 사이의 두께를 갖는, 상기 패터닝된 붕소 함유 비정질 탄소막을 형성하는 단계, 및 패터닝된 붕소 함유 비정질 탄소막에 대응하여 기판에서 피처 정의부들을 형성하는 단계를 포함한다. 일 예에 있어서, 붕소 함유 비정질 탄소막은 약 20 내지 약 50 원자 퍼센티지의 탄소 및 약 10 내지 약 25 원자 퍼센티지의 수소를 함유할 수도 있다.
본 발명의 상기 기재된 특징들이 상세히 이해될 수 있도록, 상기 간략히 요약된 본 발명의 더 상세한 설명이 실시예들을 참조하여 행해질 수도 있으며, 이 실시예들 중 일부는 첨부 도면들에 도시된다. 하지만, 첨부 도면들은 본 발명의 오직 통상적인 실시예들을 예시할 뿐이고, 따라서, 그 범위를 한정하는 것으로서 간주되지 않아야 하며, 본 발명은 다른 동일하게 유효한 실시예들을 인정할 수도 있음을 유의해야 한다.
도 1은 본 명세서에서 설명된 실시예들의 실시에 이용될 수 있는 장치의 개략도를 도시한 것이다.
도 2는 본 명세서에서 설명된 실시예들에 따른 붕소 함유 비정질 탄소막을 성막하는 방법의 일 실시예를 도시한 프로세스 플로우 다이어그램이다.
도 3은 본 명세서에서 설명된 실시예들에 따른 하드마스크층으로서 붕소 함유 비정질 탄소층을 통합한 기판 구조의 개략 단면도를 도시한 것이다.
도 4는 본 명세서에서 설명된 실시예들에 따른 붕소 함유 비정질 탄소막을 성막하는 방법의 일 실시예를 도시한 프로세스 플로우 다이어그램이다.
도 5는 본 명세서에서 설명된 실시예들에 따른 도핑되지 않은 비정질 탄소막 위의 하드마스크층으로서 붕소 함유 비정질 탄소층을 통합한 기판 구조의 개략 단면도를 도시한 것이다.
도 6은 공지된 도핑되지 않은 비정질 탄소막 대 본 명세서에서 설명된 실시예들에 따라 성막된 붕소 함유 비정질 탄소막에 대한 블랭킷 에칭(blanket etch) 선택도를 도시한 도면이다.
도 7은 공지된 도핑되지 않은 비정질 탄소막 대 본 명세서에서 설명된 실시예들에 따라 성막된 붕소 함유 비정질 탄소막에 대한 블랭킷 에칭 선택도를 도시한 도면이다.
하지만, 첨부 도면들은 본 발명의 오직 예시적인 실시예들을 예시할 뿐이고, 따라서, 그 범위를 한정하는 것으로서 간주되지 않아야 하며, 본 발명은 다른 동일하게 유효한 실시예들을 인정할 수도 있음을 유의해야 한다.
본 발명의 실시예들은 일반적으로 집적 회로들의 제조에 관한 것으로서, 상세하게는, 반도체 기판 상에 비정질 탄소층의 성막에 관한 것이고, 더 상세하게는, 붕소 함유 비정질 탄소층의 성막에 관한 것이다. 로직 및 메모리 디바이스 구조들에 있어서 깊은 콘택을 위한 고 애스펙트비 에칭들은 10-75:1의 애스펙트비들을 가질 수도 있으며, 여기서, 하드 마스크는 총 스택 두께의 10 내지 40%이다. 일 실시예에 있어서, 하드마스크 두께를 유사하게 대응하는 양만큼 감소시키는 것을 허용하는 40 내지 80%까지 에칭 선택도를 개선시키는 붕소 함유 비정질 탄소막이 제공된다. 다른 실시예에 있어서, 그 구조들의 애스펙트들 및 하드마스크 두께에 있어서의 감소를 허용하는 현재 공지된 도핑되지 않은 비정질 탄소막들보다 2 내지 20배 더 많은 에칭 내성인 붕소 함유막이 제공된다. 본 명세서에서 설명된 특정 실시예들은 하드마스크 프로파일, 임계 치수 제어 및 임계 치수 균일도를 개선시킨다. 다양한 실시예들에 있어서, 붕소 함유 비정질 탄소층은 탄화수소 함유 가스, 붕소 함유 가스, 및 아르곤, 질소 및 헬륨과 같은 비활성/캐리어 가스를 이용하여 성막될 수도 있다. 바람직하게는, 붕소 함유 비정질 탄소막은 하위의 유전체막들을 손상시키지 않고 산업상 허용된 습식 에칭 케미스트리를 이용하여 하위의 재료들로부터 용이하게 박리될 수도 있음이 발견되었다.
본 발명의 실시예들은 또한 비정질 탄소층, 및 비정질 탄소층 상에 성막된 붕소 함유 비정질 탄소층을 포함하는 다층 하드마스크를 제공한다. 일 실시예에 있어서, 붕소 함유 비정질 탄소막은 약 10 내지 약 60 원자 퍼센티지의 붕소를 함유한다. 비정질 탄소층의 두께는 약 50Å과 약 5000Å 사이의 범위로 변할 수도 있다. 붕소 함유 비정질 탄소막은 약 300Å과 약 5000Å 사이의 두께를 가질 수도 있다. 비정질 탄소층이 약 50Å 내지 약 1000Å의 두께를 갖는 경우들에 있어서, 하위의 비정질 탄소층은, 디보란과 같은 붕소 함유 가스를 이용한 후속 붕소 함유 비정질 탄소 성막 동안 기판 상에 직접 비정질 붕소(제거하기 어려움)의 형성을 회피하기 위해 기판과 붕소 함유 비정질 탄소층 사이의 전이층으로서 기능할 수도 있다. 전이막으로서 기능하는 것에 부가하여, 비정질 탄소층이 약 300Å 내지 약 5000Å의 두께를 갖는 특정 실시예들에 있어서, 붕소 함유 비정질 탄소층은, 충분히 두꺼운 비정질 탄소층을 가지면서 우수한 하드마스크 성능(예를 들어, 양호한 CD 제어 및 피처 프로파일)으로 메인 에칭 프로세스 동안 소비될 수 있으며, 이는 하위의 층들을 손상시키지 않으면서 패터닝을 완료하기 위해 아래에 남겨진 종래의 산소 플라즈마를 이용하여 용이하게 애싱될 수 있다. 명세서 전반에 걸쳐 사용된 용어 "붕소 함유 비정질 탄소"는 일반적으로, 탄화 붕소 형태로 또는 붕소와 탄소의 비-화학양론적 혼합물로의 붕소 탄소(보로카본) 재료, 또는 붕소가 도핑된 비정질 탄소를 커버함을 당업자는 인식해야 한다. 또한, 재료가 "비정질"로서 본 명세서에서 언급되지만, 이 용어는 막에서의 결정 구조의 완전한 부재를 나타내도록 의도되지 않으며 대신 어떠한 결정 구조도 현재 이용가능한 기술들에 의해 식별할 수 없다는 것만을 나타냄을 유의해야 한다.
도 1은 본 명세서에서 설명된 실시예들에 따른 비정질 탄소층 성막을 수행하는데 이용될 수 있는 기판 프로세싱 시스템(132)의 개략도를 도시한 것이다. 본 발명을 실시하는데 이용될 수도 있는 기판 프로세싱 시스템(132)의 일 예의 상세는 Salvador 등에게 2002년 4월 2일자로 등록되고 공동 양수된 미국특허 제6,364,954호에 설명되어 있고, 본 명세서에 참조로 통합된다. 적절한 시스템들의 예들은 DxZ™ 프로세싱 챔버를 사용할 수도 있는 CENTURA® 시스템즈, PRECISION 5000® 시스템즈, PRODUCER™ 시스템즈, PRODUCER GT™ 및 PRODUCER SE™ 프로세싱 챔버들을 포함하고, 이들은 캘리포니아주 산타클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능하다. 다른 제조자들로부터 입수가능한 것들을 포함하여 다른 프로세싱 시스템이 본 명세서에서 설명된 실시예들을 실시하도록 적응될 수도 있음이 고려된다.
프로세싱 시스템(132)은 가스 패널(130) 및 제어기(110)에 커플링된 프로세스 챔버(100)를 포함한다. 프로세스 챔버(100)는 일반적으로 내부 프로세싱 체적(126)을 정의하는 상부(124), 측부(101) 및 저부 벽(122)을 포함한다. 지지 페데스탈(150)이 챔버(100)의 내부 프로세싱 체적(126)에 제공된다. 페데스탈(150)은 스템(160)에 의해 지지되고, 통상적으로, 알루미늄, 세라믹, 및 다른 적절한 재료들로부터 제조될 수도 있다. 페데스탈(150)은 변위 메커니즘(도시 안됨)을 이용하여 챔버(100) 내부에서 수직 방향으로 이동될 수도 있다.
페데스탈(150)은 페데스탈(150)의 표면(192) 상에 지지된 기판(190)의 온도를 제어하기에 적절한 내장형 히터 엘리먼트(170)를 포함할 수도 있다. 페데스탈(150)은 전원(106)으로부터 히터 엘리먼트(170)로 전류를 인가함으로써 저항적으로 가열될 수도 있다. 히터 엘리먼트(170)는 니켈-철-크롬 합금(예를 들어, INCOLOY®) 시스 튜브에 캡슐화된 니켈-크롬 와이어로 제조될 수도 있다. 전원(106)으로부터 공급된 전류는 히터 엘리먼트(170)에 의해 발생된 열을 제어하기 위해 제어기(110)에 의해 조정되고, 이에 의해, 기판(190) 및 페데스탈(150)을 막 성막 동안 실질적으로 일정한 온도로 유지한다. 공급된 전류는 약 100℃ 내지 약 700℃ 사이에서 페데스탈(150)의 온도를 선택적으로 제어하도록 조절될 수도 있다.
열전쌍과 같은 온도 센서(172)가 지지 페데스탈(150)에 내장되어 종래의 방식으로 페데스탈(150)의 온도를 모니터링할 수도 있다. 측정된 온도는, 기판을 원하는 온도로 유지하기 위해 가열 엘리먼트(170)에 공급된 전력을 제어하도록 제어기(110)에 의해 사용된다.
진공 펌프(102)는 챔버(100)의 저부에 형성된 포트에 커플링된다. 진공 펌프(102)는 프로세스 챔버(100)에서 원하는 가스 압력을 유지하는데 이용된다. 진공 펌프(102)는 또한, 프로세스의 후처리 가스들 및 부산물들을 챔버(100)로부터 배출한다.
프로세싱 시스템(132)은 챔버 압력을 제어하기 위한 부가적인 장비, 예를 들어, 챔버 압력을 제어하기 위해 프로세스 챔버(100)와 진공 펌프(102) 사이에 배치된 밸브들(예를 들어, 스로틀 밸브들 및 격리 밸브들)을 더 포함할 수도 있다.
복수의 개구들(128)을 갖는 샤워헤드(120)가 기판 지지 페데스탈(150) 위 프로세스 챔버(100)의 상부 상에 배치된다. 샤워헤드(120)의 개구들(128)은 프로세스 가스들을 챔버(100)로 도입하는데 활용된다. 개구들(128)은 상이한 프로세스 요건들에 대한 다양한 프로세스 가스들의 플로우를 용이하게 하도록 상이한 사이즈들, 갯수, 분포들, 형상, 설계, 및 직경들을 가질 수도 있다. 샤워헤드(120)는, 다양한 가스들이 프로세스 동안 내부 프로세싱 체적(126)으로 공급되게 하는 가스 패널(130)에 연결된다. 기판(190)의 표면(191) 상에 재료의 성막을 발생시키는 프로세스 가스들의 열분해를 강화하기 위해 샤워헤드(120)를 나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
샤워헤드(120) 및 기판 지지 페데스탈(150)은 내부 프로세싱 체적(126)에서 복수의 이격된 전극들을 형성할 수도 있다. 하나 또는 그 이상의 RF 전력원들(140)은 바이어스 전위를 매칭 네트워크(138)를 통해 샤워헤드(120)로 제공하여, 샤워헤드(120)와 페데스탈(150) 사이의 플라즈마의 발생을 용이하게 한다. 대안적으로, RF 전력원들(140) 및 매칭 네트워크(138)는 샤워헤드(120), 기판 페데스탈(150)에 커플링되거나, 샤워헤드(120) 및 기판 페데스탈(150) 양자에 커플링되거나, 챔버(100) 외부에 배치된 안테나(도시 안함)에 커플링될 수도 있다. 일 실시예에 있어서, RF 전력원들(140)은 약 50kHz 내지 약 13.6MHz의 주파수에서 약 100와트와 약 3,000와트 사이로 제공할 수도 있다. 다른 실시예에 있어서, RF 전력원들(140)은 약 50kHz 내지 약 13.6MHz의 주파수에서 약 500와트와 약 1,800와트 사이로 제공할 수도 있다.
제어기(110)는, 프로세스 시퀀스를 제어하고 가스 패널(130)로부터의 가스 플로우들을 조정하도록 활용되는 중앙 프로세싱 유닛(CPU)(112), 메모리(116), 및 지지 회로(114)를 포함한다. CPU(112)는, 산업 설정에 있어서 이용될 수도 있는 임의 형태의 범용 컴퓨터 프로세서일 수도 있다. 소프트웨어 루틴들은 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장부와 같은 메모리(116)에 저장될 수 있다. 지지 회로(114)는 관례적으로 CPU(112)에 커플링되고, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수도 있다. 제어기(110)와 프로세싱 시스템(132)의 다양한 컴포넌트들 간의 양방향 통신은 신호 버스들(118)로서 총칭되는 다수의 신호 케이블들을 통해 처리되며, 이들 중 일부가 도 1에 도시되어 있다.
다른 성막 챔버들이 또한 본 발명으로부터 이점을 얻을 수도 있으며, 상기 리스트된 파라미터들은 비정질 탄소층을 형성하는데 이용되는 특정 성막 챔버에 따라 변할 수도 있다. 예를 들어, 다른 성막 챔버들은 더 크거나 더 적은 체적을 가져서, 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 성막 챔버들에 기재된 것들보다 더 크거나 더 적은 가스 유량들을 요구할 수도 있다. 일 실시예에 있어서, 하기 표 I에 기재된 파라미터들을 이용하여 캘리포니아주 산타클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능한 PRODUCER SE™ 또는 PRODUCER GT™ 프로세싱 챔버를 이용하여 붕소 함유 비정질 탄소층이 성막될 수도 있다.
성막된 상태의 붕소 함유 비정질 탄소막에서의 붕소의 양/퍼센티지는 어플리케이션에 따라 변할 수도 있다. 본 발명의 다양한 실시예들에 있어서, 붕소 함유 비정질 탄소막은 적어도 8, 10, 15, 20, 25, 30, 35, 40, 45, 50 또는 55 원자 퍼센티지의 붕소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 15, 20, 25, 30, 35, 40, 45, 50, 55, 또는 60까지의 원자 퍼센티지의 붕소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 약 10 내지 약 60 원자 퍼센티지의 붕소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 약 30 내지 약 60 원자 퍼센티지의 붕소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 적어도 15, 20, 25, 30, 35, 40, 45, 50, 55, 또는 60 원자 퍼센티지의 탄소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 25, 30, 35, 40, 45, 50, 55, 60, 또는 65까지의 원자 퍼센티지의 탄소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 약 20 내지 약 65 원자 퍼센티지의 탄소, 예를 들어, 약 35 내지 약 50 원자 퍼센티지의 탄소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 적어도 10, 15, 20, 25 원자 퍼센티지의 수소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 15, 20, 25, 30, 또는 40까지의 원자 퍼센티지의 수소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 약 10 내지 약 25 원자 퍼센티지의 수소를 함유할 수도 있다. 질소가 전구체로서 사용되는 특정 실시예들에 있어서, 붕소 함유 비정질 탄소막은 적어도 5, 10, 또는 15 원자 퍼센티지의 질소를 함유할 수도 있다. 붕소 함유 비정질 탄소막은 10, 15, 또는 20까지의 원자 퍼센티지의 질소를 함유할 수도 있다.
일반적으로, 다음의 예시적인 성막 프로세스 파라미터들이 붕소 함유 비정질 탄소층을 형성하는데 이용될 수도 있다. 그 프로세스 파라미터들은 약 100℃ 내지 약 700℃, 예를 들어, 약 200℃ 내지 약 500℃ 사이의 웨이퍼 온도로부터 레인징할 수도 있다. 챔버 압력은 약 1torr 내지 약 20torr, 예를 들어, 약 2Torr와 약 10Torr 사이의 챔버 압력으로부터 레인징할 수도 있다. 탄화수소 함유 가스의 유량은 약 200sccm으로부터 약 5,000sccm까지, 예를 들어, 약 400sccm과 약 2,000sccm 사이일 수도 있다. 희석 가스의 유량은 약 0sccm으로부터 약 20,000sccm까지, 예를 들어, 약 2,000sccm으로부터 약 10,000sccm까지 개별적으로 레인징할 수도 있다. 비활성 가스의 유량은 약 0sccm으로부터 약 20,000sccm까지, 예를 들어, 약 200sccm으로부터 약 2,000sccm까지 개별적으로 레인징할 수도 있다. 붕소 함유 가스 혼합물의 유량은 약 1,000sccm으로부터 약 15,000sccm까지, 예를 들어, 약 5,000sccm과 약 13,000sccm 사이일 수도 있다. RF 전력은 약 3W/in2과 약 20W/in2 사이와 같이 약 1W/in2과 약 100W/in2 사이일 수도 있고, 플레이트 간격은 기판의 상부면과 샤워헤드 사이에서 약 200mils 내지 약 600mils 사이일 수도 있다. 붕소 함유 비정질 탄소층은 약 300Å 내지 약 5000Å 사이와 같이 약 100Å과 약 20,000Å 사이의 두께로 성막될 수도 있다. 상기 프로세스 파라미터들은 붕소 함유 비정질 탄소층에 대한 통상의 성막 레이트를 약 100Å/min 내지 약 10,000Å/min의 범위로 제공하고, 캘리포니아주 산타클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 성막 챔버 내 300mm 기판 상에서 구현될 수 있다.
표 I
Figure pct00001
성막된 상태의 붕소 함유 비정질 탄소막은 2.0%보다 작은 균일도(R/2 %)를 가질 수도 있다. 성막된 상태의 붕소 함유 비정질 탄소막은 1.8보다 큰, 예를 들어, 대략 2.32의 굴절율(RI(633nm))을 가질 수도 있다. 성막된 상태의 붕소 함유 비정질 탄소막은 0.1보다 작은, 예를 들어, 대략 0.02의 k값(K(633nm에서))을 가질 수도 있다. 성막된 상태의 붕소 함유 비정질 탄소막은 약 0 내지 약 -500MPa, 예를 들어, -50MPa의 응력(MPa)을 가질 수도 있다. 성막된 상태의 붕소 함유 비정질 탄소막은 1.5g/cc보다 큰, 예를 들어, 1.95g/cc와 같이 대략 1.86g/cc 또는 그 이상의 밀도(g/cc)를 가질 수도 있다.
도 2는 본 명세서에서 설명된 실시예들에 따른 붕소 함유 비정질 탄소막을 성막하는 방법(200)의 일 실시예를 도시한 프로세스 플로우 다이어그램이다. 방법(200)은 프로세싱 챔버의 내부 체적에 기판을 제공함으로써 블록202에서 시작한다. 프로세싱 챔버는 도 1에 도시된 프로세싱 챔버(100)일 수도 있다. 도 3에 도시된 바와 같이, 기판(190)은 실질적으로 평탄면(191)을 가진다. 대안적으로, 기판(190)은 패터닝된 구조들, 즉, 트렌치들, 홀들 또는 비아들이 그 내부에 형성된 표면을 가질 수도 있다. 기판(190)은 또한, 원하는 고도로 그 상에 또는 그 내부에 형성된 구조를 갖는 실질적으로 평탄면을 가질 수도 있다. 기판(190)이 단일 보디로서 도시되어 있지만, 기판(190)은 금속 콘택들, 트랜치 분리들, 게이트들, 비트라인들, 또는 임의의 다른 배선 피처들과 같은 반도체 디바이스들을 형성하는데 사용되는 하나 또는 그 이상의 재료들을 함유할 수도 있음을 이해한다. 기판(190)은 반도체 디바이스들을 제조하는데 활용되는 하나 또는 그 이상의 금속층들, 하나 또는 그 이상의 유전체 재료들, 반도체 재료 및 이들의 조합들을 포함할 수도 있다. 예를 들어, 기판(190)은 어플리케이션에 의존하여, 산화물 재료, 질화물 재료, 폴리실리콘 재료 등을 포함할 수도 있다. 메모리 어플리케이션이 요구되는 일 실시예에 있어서, 기판(190)은 실리콘 기판 재료, 산화물 재료, 및 질화물 재료를 포함할 수도 있으며, 그 사이에 폴리실리콘이 개재하거나 개재하지 않을 수도 있다. 다른 실시예에 있어서, 기판(190)은 기판의 표면 상에 성막된 복수의 교번하는 산화물 및 질화물 재료들(즉, 산화물-질화물-산화물(ONO))(도시 안함)을 포함할 수도 있다. 다양한 실시예들에 있어서, 기판(190)은 복수의 교번하는 산화물 및 질화물 재료들, 하나 또는 그 이상의 산화물 또는 질화물 재료들, 폴리실리콘 또는 비정질 실리콘 재료들, 비정질 실리콘과 교번하는 산화물들, 폴리실리콘과 교번하는 산화물들, 도핑된 실리콘과 교번하는 도핑되지 않은 실리콘, 도핑된 폴리실리콘과 교번하는 도핑되지 않은 폴리실리콘, 또는 도핑된 비정질 실리콘과 교번하는 도핑되지 않은 비정질 실리콘을 포함할 수도 있다. 기판은, 막 프로세싱이 수행되는 임의의 기판 또는 재료 표면일 수도 있다. 예를 들어, 기판(190)은 결정 실리콘, 실리콘 산화물, 실리콘 산화질화물, 실리콘 질화물, 스트레인드 실리콘, 실리콘 게르마늄, 텅스텐, 티탄 질화물, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 웨이퍼들 및 패터닝되거나 패터닝되지 않은 웨이퍼들, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물들, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 로우 k 유전체들, 및 이들의 조합들과 같은 재료일 수도 있다.
블록204에서, 탄화수소 함유 가스 혼합물이 프로세싱 체적(126)으로 플로우된다. 탄화수소 함유 가스 혼합물은 가스 패널(130)로부터 샤워헤드(120)를 통해 프로세싱 체적(126)으로 플로우될 수도 있다. 그 가스 혼합물은 적어도 하나의 탄화수소 화합물 및 비활성 가스를 포함할 수도 있다. 선호된 전구체가 재료 계량, 제어 및 챔버로의 전달에 요구되는 하드웨어를 단순화하기 위해 실온에서 수증기일 것이지만, 탄화수소는 임의의 액체 또는 가스일 수 있다. 바람직하게는, 탄소 소스는 선형 탄화수소와 같은 가스상의 탄화수소이다. 일 실시예에 있어서, 탄화수소 화합물은 일반식 CxHy을 가지며, 여기서, x는 1과 20사이의 범위를 갖고 y는 1과 20사이의 범위를 가진다. 적절한 탄화수소 화합물들은 다음의 화합물들, 예를 들어, 알칸 메탄(CH4), 에탄(C2H6), 프로필렌(C3H6), 프로판(C3H8), 부탄(C4H10) 및 그 이성질체 이소부탄, 펜탄(C5H12) 및 그 이성질체 이소펜탄 및 네오펜탄, 헥산(C6H14) 및 그 이성질체 2-메틸펜탄, 3-메틸펜탄, 2,3-디메틸부탄, 및 2,2-디메틸부탄 등 중 하나 또는 그 이상을 포함한다. 부가적인 적절한 탄화수소들은 에틸렌, 프로필렌, 부틸렌 및 그 이성질체들, 펜텐 및 그 이성질체들 등과 같은 알켄, 부타디엔, 이소프렌, 펜타디엔, 헥사디엔 등과 같은 디엔, 및 모노플루오로에틸렌, 디플루오로에틸렌, 트리플루오로에틸렌, 테트라플루오로에틸렌, 모노클로로에틸렌, 디클로로에틸렌, 트리클로로에틸렌, 테트라클로로에틸렌 등을 포함하는 할론겐화 알켄을 포함할 수도 있다. 또한, 아세틸렌(C2H2), 프로핀(C3H4), 부틸렌(C4H8), 비닐아세틸렌 및 그 유도체들과 같은 알킨이 탄소 전구체들로서 사용될 수 있다. 부가적으로, 벤젠, 스티렌, 톨루엔, 자일렌, 에틸벤젠, 아세토페논, 메틸 벤조에이트, 페닐 아세테이트, 페놀, 크레솔, 푸란 등과 같은 방향족 탄화수소, 알파-터피넨, 시멘, 1,1,3,3,-테트라메틸부틸벤젠, t-부틸에테르, t-부틸에틸렌, 메틸-메타크릴레이트, 및 t-부틸퍼푸릴에테르, 식 C3H2 및 C5H4를 갖는 화합물들, 모노플루오로벤젠, 디플루오로벤젠, 테트라플루오로벤젠, 헥사플루오로벤젠 등을 포함한 할로겐화 방향족 화합물들이 사용될 수 있다. 일 실시예에 있어서, 더 많은 표면 이동도를 허용하는 더 많은 안정적인 중간 종들의 형성으로 인해 C2H2가 바람직하다.
요구된다면, 다른 것들 중에서, 헬륨(He), 아르곤(Ar), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들과 같은 적절한 희석 가스들이 가스 혼합물에 부가될 수도 있다. Ar, He, 및 N2가 비정질 탄소층의 밀도 및 성막 레이트를 제어하는데 사용된다. 일부 경우들에 있어서, N2 및/또는 NH3의 부가는 이하 논의되는 바와 같이, 비정질 탄소층의 수소 레이트를 제어하는데 사용될 수 있다. 대안적으로, 희석 가스들은 성막 동안에는 사용되지 않을 수도 있다.
아르곤(Ar) 및/또는 헬륨(He)과 같은 비활성 가스는 프로세스 챔버(100)로의 탄화수소 함유 가스 혼합물로 공급될 수도 있다. 질소(N2) 및 일산화질소(NO)와 같은 다른 비활성 가스들이 비정질 탄소층의 밀도 및 성막 레이트를 제어하는데 사용될 수도 있다. 부가적으로, 다양한 다른 프로세싱 가스들이 가스 혼합물에 부가되어, 비정질 탄소 재료의 특성들을 변경할 수도 있다. 일 실시예에 있어서, 프로세싱 가스들은 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들과 같은 반응 가스들일 수도 있다. H2 및/또는 NH3의 부가는 성막된 비정질 탄소층의 수소 레이트(예를 들어, 수소에 대한 탄소의 비율)를 제어하는데 사용될 수도 있다. 비정질 탄소막에 존재하는 수소 비율은 반사율과 같은 층 특성들에 대한 제어를 제공한다.
블록206에서, 붕소 함유 가스 혼합물이 내부 프로세싱 체적(126)으로 플로우된다. 붕소 함유 가스 혼합물은 가스 패널(130)로부터 샤워헤드(120)를 통해 프로세싱 체적(126)으로 플로우될 수도 있다. 일 실시예에 있어서, 붕소 함유 가스 혼합물은 붕소 함유 화합물 및 비활성 가스를 포함한다. 붕소 함유 화합물들의 예들은 디보란(B2H6), 트리메틸 보론(TMB 또는 B(CH3)3), 트리에틸보론(TEB), 메틸 보론, 디메틸 보론, 에틸 보론, 디에틸 보론, 및 유사한 화합물들을 포함한다. 일 실시예에 있어서, 전체 붕소 함유 가스 혼합물에 있어서의 붕소 함유 화합물의 퍼센티지는 약 2%로부터 약 20%까지이다. 다른 실시예에 있어서, 전체 붕소 함유 가스 혼합물에 있어서의 붕소 함유 화합물의 퍼센티지는 약 5%로부터 약 10%까지이다. 예시적인 붕소 함유 가스 혼합물들은 5% B2H6/95% N2, 5% B2H6/95% He, 10% B2H6/90% He, 5% B2H6/95% Ar, 10% B2H6/90% Ar, 또는 5% B2H6/95% H2를 포함할 수도 있다. 이론에 의해 제한되지는 않지만, 발명자들은 헬륨의 사용이 질소의 사용보다 모듈러스 및 경도와 같은 개선된 기계적 막 특성들을 달성함을 발견하였다. 상이한 농도들의 붕소 함유 가스 혼합물들이 사용될 경우, 특정한 막 특성들을 달성하는데 요구되는 유량이 그에 따라 변할 수도 있음이 고려된다. 예를 들어, 5% 디보란이 붕소 함유 가스 소스로서 사용된 경우에 있어서, 붕소 함유 가스 혼합물의 유량은 약 5,000sccm으로부터 약 15,000sccm까지, 예를 들어, 약 13,000sccm일 수도 있다. 10% 디보란이 붕소 함유 가스 소스로서 사용되는 하나의 다른 예에 있어서, 붕소 함유 가스 혼합물의 유량은 약 4,000sccm으로부터 약 10,000sccm까지, 예를 들어, 약 6,000sccm 내지 약 7,000sccm일 수도 있다.
블록208에서, 기판(190) 상에 붕소 함유 비정질 탄소막(304)을 성막하기 위해 내부 프로세싱 체적(126)에서 RF 플라즈마가 발생된다. 도 2는 탄화수소 함유 가스 혼합물 및 붕소 함유 가스 혼합물이 RF 플라즈마를 턴온하기 전에 내부 프로세싱 체적(126)으로 도입되는 일 실시예를 본 명세서에 도시한다. 그러한 경우에 있어서, 탄화수소 함유 가스 혼합물이 약 5초와 약 30초 사이, 예를 들어, 약 15초와 같은 긴 시간 동안 프로세싱 체적(126)으로 도입될 수도 있으며, 이 시간은 기판의 사이즈에 의존하여 변할 수도 있다. 붕소 함유 가스의 도입 이전에 탄화수소 함유 가스 혼합물을 플로우하는 것은 프로세싱 체적(126)의 연속적인 열 및 압력 안정성을 제공하는 것으로 사료된다. 탄화수소 함유 가스 혼합물을 플로우하는 동안, 그 후, RF 플라즈마를 발생(strike)시키기 전에 붕소 함유 가스 혼합물이 프로세싱 체적(126)에 약 0.5초 내지 약 5초, 예를 들어, 약 1초 내지 약 2초 플로우하고 있다(붕소 함유 가스 혼합물이 프로세싱 체적(126)에 도달하기 시작하기에 그 플로우가 충분히 길다면 그 플로우하는 시간은 변할 수도 있음). 탄화수소 함유 가스 혼합물 및 붕소 함유 가스 혼합물은, 붕소 함유 비정질 탄소막(304)의 원하는 두께가 도달될 때까지 계속 플로우할 수도 있다. 대안적으로, 붕소 함유 가스 혼합물의 내부 프로세싱 체적(126)으로의 도입 이전에 RF 플라즈마가 발생될 수도 있다.
붕소 함유 비정질 탄소막(304)의 두께는 프로세싱의 스테이지에 의존하여 가변이다. 일 실시예에 있어서, 붕소 함유 비정질 탄소막(304)은 약 100Å으로부터 약 20,000Å까지, 예를 들어, 약 300Å 내지 약 5,000Å의 두께를 가질 수도 있다. 붕소 함유 비정질 탄소막(304)은 표준 포토레지스트 패터닝 기술들을 이용하여 패터닝될 수도 있다. 붕소 함유 비정질 탄소막(304)은 과산화수소 및 황산을 포함하는 용액을 이용하여 제거될 수도 있다. 과산화수소 및 황산을 포함하는 하나의 예시적인 용액은 피라나 용액 또는 피라나 에칭으로서 공지된다. 붕소 함유 비정질 탄소막(304)은 또한, 산소 및 할로겐을 함유하는 에칭 케미스트리들(예를 들어, 불소 또는 염소), 예를 들어, Cl2/O2, CF4/O2, Cl2/O2/CF4를 이용하여 제거될 수도 있다.
도 4는 본 명세서에서 설명된 실시예들에 따른 붕소 함유 비정질 탄소막을 성막하는 다른 방법(400)의 일 실시예를 도시한 프로세스 플로우 다이어그램이다. 도 5는 본 명세서에서 설명된 실시예들에 따른 도핑되지 않은 비정질 탄소막(502) 상의 하드마스크층으로서 붕소 함유 비정질 탄소막(304)을 통합한 기판 구조의 개략 단면도를 도시한 것이다. 도 4에 도시된 방법(400)은, 도핑되지 않은 비정질 탄소막(502) 상의 붕소 함유 비정질 탄소막(304)의 성막 이전에 도핑되지 않은 비정질 탄소막(502)이 기판(190)의 표면(191) 상에 성막된다는 점을 제외하면 도 2에 도시된 방법(200)과 유사하다.
블록402에서, 기판(190)은 프로세싱 챔버(100)의 내부 프로세싱 체적(126)에 배치된다.
블록404에서, 탄화수소 함유 가스 혼합물이 내부 프로세싱 체적(126)으로 플로우된다. 탄화수소 함유 가스 혼합물은 방법(200)에서 사용된 수소 함유 가스 혼합물과 유사할 수도 있다.
블록406에서, 기판(190)의 표면(191) 상에 도핑되지 않은 비정질 탄소(붕소-프리)막을 성막하기 위해 내부 프로세싱 체적(126)에서 RF 플라즈마가 발생된다. 도핑되지 않은 비정질 탄소막(502)은 붕소 함유 가스 혼합물의 플로우 없이 전술된 프로세싱 조건들을 이용하여 성막될 수도 있다. 일 실시예에 있어서, 도핑되지 않은 비정질 탄소막(502)은 약 50Å 내지 약 1,000Å의 두께를 가질 수도 있으며, 이는 기판(190)과 후속적으로 성막되는 붕소 함유 비정질 탄소막(304)(도 5) 사이의 전이층으로서 기능할 수 있다. 붕소 함유 비정질 탄소막(304)의 후속 성막 동안, 붕소 소스로서 사용된 (디보란과 같은)붕소 함유 가스가 분해되고, 플라즈마를 턴온하지 않아도 가열된 기판 상에 비정질 붕소막(제거하기 어려움)을 형성함이 관측되었다. 성막된 바와 같은 도핑되지 않은 비정질 탄소막(502)은 후속 붕소 함유 비정질 탄소 성막 동안 기판 상에서 직접적으로 비정질 붕소의 형성을 회피한다.
다른 실시예에 있어서, 도핑되지 않은 비정질 탄소층(502)은 약 300Å 내지 약 5000Å, 예를 들어, 약 2000Å 내지 약 3000Å의 두께를 가질 수도 있어서, 도핑되지 않은 비정질 탄소층(502)(도 5) 상에 성막될 후속 붕소 함유 비정질 탄소막(304)이 충분히 두꺼운 비정질 탄소층을 가지면서 우수한 하드마스크 성능(예를 들어, 양호한 CD 제어 및 피처 프로파일)으로 메인 에칭 프로세스 동안 소비될 수 있으며, 이는 하위의 층들을 손상시키지 않으면서 패터닝을 완료하기 위해 아래에 남겨진 종래의 산소 플라즈마를 이용하여 용이하게 애싱될 수 있다. 이 다층 하드마스크 접근법은 깊은 산화물 콘택 에칭, DRAM 커패시터 몰드 에칭, 그리고 라인 및/또는 스페이스 에칭과 같은 다양한 어플리케이션들에 적용될 수도 있다. 얕은 트렌치 분리 에칭 하드마스크, 게이트 에칭 하드마스크 및 비트라인 에칭 하드마스크와 같은 라인 및 스페이스 에칭 어플리케이션들의 경우에 있어서, 막 스택은 약 300Å 내지 약 1,000Å의 도핑되지 않은 비정질 탄소막(502) 및 약 300Å 내지 약 1,000Å의 붕소 함유 비정질 탄소막(304)을 가질 수도 있다. 밀집 영역 및 분리 영역의 에칭 선택도에 의존하여, 층들의 두께가 조정될 수도 있다.
일단 원하는 두께를 갖는 도핑되지 않은 비정질 탄소막(502)이 기판(190) 상에 성막되면, 탄화수소 함유 가스 혼합물의 프로세스 체적(126)으로의 플로우를 계속하면서 RF 플라즈마를 턴오프함으로써, 프로세싱 챔버가 안정화될 수도 있다. 붕소 함유 가스 혼합물의 프로세싱 체적(126)으로의 도입 이후에 RF 플라즈마가 재개될 수도 있다. 일 예에 있어서, 붕소 함유 가스 혼합물은 RF 플라즈마를 발생시키기 전에 프로세싱 체적(126)으로 약 0.5초 내지 약 5초, 예를 들어, 약 1초 내지 약 2초 플로우하고 있다(붕소 함유 가스 혼합물이 프로세싱 체적(126)에 도달하기 시작하기에 그 플로우가 충분히 길다면 그 플로우하는 시간은 변할 수도 있음).
도핑되지 않은 비정질 탄소막(502)의 성막 이후, 블록408에서, 방법(200)에서 사용된 붕소 함유 가스 혼합물과 유사한 붕소 함유 가스 혼합물이 프로세싱 챔버의 내부 프로세싱 체적(126)으로 플로우된다. 일 실시예에 있어서, 도핑되지 않은 비정질 탄소막(502)의 성막에 사용되는 프로세싱 조건들은 붕소 함유 가스 혼합물을 프로세싱 챔버(100)의 내부 프로세싱 체적(126)으로 플로우하면서 유지될 수도 있다. 대안적으로, 상기 논의된 바와 같이 붕소 함유 가스 혼합물의 내부 프로세싱 체적(126)으로의 도입 이전에 탄화수소 함유 가스 혼합물의 프로세스 체적(126)으로의 플로우를 계속하면서 RF 플라즈마가 턴오프될 수도 있다.
블록410에서, 붕소 함유 비정질 탄소막(304)이, 도핑되지 않은 비정질 탄소막(502) 상에 RF 플라즈마의 존재 시 성막된다. 일 실시예에 있어서, 붕소 함유 비정질 탄소막(304)은 약 100Å으로부터 약 20,000Å까지, 예를 들어, 약 300Å 내지 약 5,000Å의 두께를 가질 수도 있다. 성막된 바와 같은 붕소 함유 비정질 탄소막(304)은, 메인 에칭 프로세스 동안 CD 제어 및 피처 프로파일을 유지하는데 중요한 마스크 패시팅에 대한 우수한 내성, 및 종래의 비정질 탄소 하드마스크들보다 더 양호한 7X까지의 블랭킷 막 테스팅에 기초한 우수한 에칭 선택도를 제공한다. 붕소 함유 비정질 탄소막(304)은 과산화수소 및 황산을 포함하는 용액을 이용하여 제거될 수도 있다. 과산화수소 및 황산을 포함하는 하나의 예시적인 용액은 피라나 용액 또는 피라나 에칭으로서 공지된다. 도핑되지 않은(붕소-프리) 비정질 탄소막(502)은 수소 함유 플라즈마, 산소 함유 플라즈마, 또는 이들의 조합들을 이용하여 제거될 수도 있다. 붕소 함유 비정질 탄소막(304)은 또한, 산소 및 할로겐을 함유하는 에칭 케미스트리들(예를 들어, 불소 또는 염소), 예를 들어, Cl2/O2, CF4/O2, Cl2/O2/CF4을 이용하여 제거될 수도 있다.
다음의 비한정적인 예들은 본 명세서에서 설명된 실시예들을 더 예시하도록 제공된다. 하지만, 그 예들은 본 명세서에서 설명된 실시예들의 범위를 모두 포함하는 것으로 의도되지 않고, 그 범위를 한정하도록 의도되지도 않는다. 표 II 및 IV에 나타낸 예시적인 막들은, 산타클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업적으로 입수가능한 PRODUCER SE™ 프로세싱 챔버를 이용하여 성막되었다. 표 II는 본 명세서에서 설명된 실시예들에 따른 붕소 함유 비정질 탄소막들(샘플들2-9)에 대한 프로세스 조건들 및 기계적 특성들을 나타낸 것이다. 샘플1은 붕소를 함유하지 않는 제어이다. 표 III은 표 II에 나타낸 샘플들1-9에 대한 성막된 상태의 막들에 있어서 탄소, 수소, 붕소, 및 질소의 퍼센티지를 나타낸 것이다. 원자 퍼센티지(at.%)는 각각의 원소에 대해 각각 다음의 불확실도(at.%) 및 검출 한계(at.%)를 가진다: 즉, O(±3, 3), N(±3, 3), C(±4, 4), B(±5, 4), 및 H(±4, 4). 응력의 단위는 MPa이고, 밀도의 단위는 g/cc이고, 유량의 단위는 sccm이고, 간격의 단위는 mils이고, 압력의 단위는 Torr이고, 두께의 단위는 Å이고, 성막 레이트의 단위는 Å/분이고, 온도의 단위는 ℃이다.
표 II
Figure pct00002

표 III
Figure pct00003
표 IV는 본 명세서에서 설명된 실시예들에 따른 붕소 함유 비정질 탄소막들(샘플들10-16)에 대한 프로세스 조건들 및 기계적 특성들을 나타낸 것이다. 표 V는 표 IV에 나타낸 샘플들10-16에 대한 성막된 상태의 막들에 있어서 탄소, 수소, 붕소, 및 질소의 퍼센티지를 나타낸 것이다. 원자 퍼센티지(at.%)는 각각의 원소에 대해 각각 다음의 불확실도(at.%) 및 검출 한계(at.%)를 가진다: 즉, O(±3, 3), N(±3, 3), C(±4, 4), B(±5, 4), 및 H(±5, 0.3).
표 IV
Figure pct00004

표 V
Figure pct00005
도 6은 공지된 도핑되지 않은 비정질 탄소막 대 본 명세서에서 설명된 실시예들에 따라 성막된 붕소 함유 비정질 탄소막에 대한 에칭 선택도를 도시한 도면(600)이다. y축은 산화물 위에 성막된 각각의 막의 블랭킷 에칭 선택도를 나타낸 것이다. 도 6에 도시된 바와 같이, B:a-c는 비교예의 블랭킷 에칭 선택도의 2배의 개선을 나타낸다.
도 7은 공지된 도핑되지 않은 비정질 탄소막 대 본 명세서에서 설명된 실시예들에 따라 성막된 붕소 함유 비정질 탄소막에 대한 블랭킷 에칭 선택도를 도시한 도면(700)이다. y축은 공지된 도핑되지 않은 비정질 탄소막 대 붕소 함유 비정질 탄소막의 블랭킷 에칭 선택도를 나타낸 것이다. x축은 에칭될 재료를 나타낸 것이다. 도 7에 도시된 바와 같이, B:a-c는 B-도핑된 실리콘, 실리콘 산화물, 실리콘 질화물, 및 비정질 실리콘(a-Si)을 포함한 하위의 재료들에 대해 비교예의 블랭킷 에칭 선택도의 대략 2배의 개선을 나타낸다.
전술한 바는 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가의 실시예들이 그 기본적인 범위로부터 일탈함없이 발명될 수도 있으며, 그 범위는 다음에 오는 청구항들에 의해 결정된다.

Claims (15)

  1. 프로세싱 챔버에서 기판을 프로세싱하는 방법으로서,
    RF 전력의 존재 시 탄화수소 함유 가스의 플로우(flow)에 기판을 노출하여 상기 기판 상에 붕소-프리(free) 비정질 탄소막을 성막(deposit)하는 단계;
    상기 탄화수소 함유 가스의 플로우를 계속하면서 상기 RF 전력을 턴오프하는 단계; 및
    RF 전력의 존재 시 붕소 함유 가스의 플로우 및 상기 탄화수소 함유 가스의 플로우에 상기 기판을 노출하여 상기 붕소-프리 비정질 탄소막 상에 붕소 함유 비정질 탄소막을 성막하는 단계를 포함하고,
    상기 붕소 함유 비정질 탄소막은 약 30 내지 60 원자 퍼센티지의 붕소를 함유하는, 기판을 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    상기 붕소-프리 비정질 탄소막은 약 50Å 내지 약 1000Å의 두께를 갖고,
    상기 붕소 함유 비정질 탄소막은 약 300Å 내지 약 5000Å의 두께를 갖는, 기판을 프로세싱하는 방법.
  3. 제 1 항에 있어서,
    상기 붕소 함유 비정질 탄소막은 약 20 내지 약 50 원자 퍼센티지의 탄소를 함유하고, 상기 붕소 함유 비정질 탄소막은 약 10 내지 약 25 원자 퍼센티지의 수소를 함유하는, 기판을 프로세싱하는 방법.
  4. 제 1 항에 있어서,
    상기 탄화수소 함유 가스 혼합물은 일반식 CxHy를 갖는 적어도 하나의 탄화수소 화합물을 포함하고, 상기 x는 1 내지 4의 범위를 갖고 상기 y는 2 내지 10의 범위를 갖는, 기판을 프로세싱하는 방법.
  5. 제 4 항에 있어서,
    상기 탄화수소 함유 가스 혼합물은 아르곤, 질소, 및 헬륨을 포함하는 그룹으로부터 선택된 비활성 및/또는 캐리어 가스를 더 포함하는, 기판을 프로세싱하는 방법.
  6. 제 5 항에 있어서,
    상기 붕소 함유 가스 혼합물은 디보란(B2H6), 트리메틸 보론(TMB 또는 B(CH3)3), 트리에틸보론(TEB), 메틸 보론, 디메틸 보론, 에틸 보론, 디에틸 보론, 또는 이들의 조합들을 포함하는, 기판을 프로세싱하는 방법.
  7. 제 6 항에 있어서,
    상기 탄화수소 화합물은 메탄(CH4), 에탄(C2H6), 에틸렌(C2H4), 프로필렌(C3H6), 프로핀(C3H4), 프로판(C3H8), 부탄(C4H10), 부틸렌(C4H8) 및 그 이성질체, 부타디엔(C4H6), 아세틸렌(C2H2), 및 이들의 조합들을 포함하는 그룹으로부터 선택되는, 기판을 프로세싱하는 방법.
  8. 제 1 항에 있어서,
    상기 기판은 복수의 교번하는(alternating) 산화물 및 질화물 재료들, 비정질 실리콘과 교번하는 산화물들, 폴리실리콘과 교번하는 산화물들, 도핑된 실리콘과 교번하는 도핑되지 않은 실리콘, 도핑된 폴리실리콘과 교번하는 도핑되지 않은 폴리실리콘, 도핑된 비정질 실리콘과 교번하는 도핑되지 않은 비정질 실리콘, 알루미늄, 텅스텐, 티탄 질화물, 구리, 실리콘 산화물, 실리콘 산화질화물, 실리콘 질화물, 및 이들의 조합들을 포함하는, 기판을 프로세싱하는 방법.
  9. 제 1 항에 있어서,
    상기 붕소 함유 비정질 탄소막을 에칭하여, 패터닝된 붕소 함유 비정질 탄소막을 형성하는 단계; 및
    상기 패터닝된 붕소 함유 비정질 탄소막에 대응하여 상기 기판에 피처 정의부들(feature definitions)을 형성하는 단계를 더 포함하는, 기판을 프로세싱하는 방법.
  10. 프로세싱 챔버에서 기판을 프로세싱하는 방법으로서,
    프로세싱 챔버에 기판을 제공하는 단계;
    탄화수소 함유 가스 혼합물을 상기 프로세싱 챔버로 플로우하는 단계;
    상기 탄화수소 함유 가스 혼합물로부터 제1 플라즈마를 발생시켜 상기 기판 상에 붕소-프리 비정질 탄소막을 성막하는 단계로서, 상기 붕소-프리 비정질 탄소막은 약 300Å 내지 약 5000Å의 두께를 갖는, 상기 붕소-프리 비정질 탄소막을 성막하는 단계;
    상기 탄화수소 함유 가스 혼합물의 상기 프로세싱 챔버로의 플로우를 계속하면서 상기 제1 플라즈마를 턴오프함으로써, 상기 프로세싱 챔버 내의 프로세싱 조건을 안정화시키는 단계;
    붕소 함유 가스 혼합물을 상기 프로세싱 챔버로 플로우하는 단계;
    상기 탄화수소 함유 가스 혼합물 및 상기 붕소 함유 가스 혼합물로부터 제2 플라즈마를 발생시켜 상기 붕소-프리 비정질 탄소막 상에 붕소 함유 비정질 탄소막을 성막하는 단계로서, 상기 붕소 함유 비정질 탄소막은 약 300Å 내지 약 5000Å의 두께를 갖고, 약 10 내지 약 60 원자 퍼센티지의 붕소를 함유하고, 약 20 내지 약 50 원자 퍼센티지의 탄소를 함유하고, 약 10 내지 약 25 원자 퍼센티지의 수소를 함유하는, 상기 붕소 함유 비정질 탄소막을 성막하는 단계;
    과산화수소 및 황산을 포함하는 용액을 이용하여 상기 붕소 함유 비정질 탄소막을 제거하는 단계; 및
    수소 함유 플라즈마, 산소 함유 플라즈마, 또는 이들의 조합들을 이용하여 상기 붕소-프리 비정질 탄소막을 제거하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  11. 약 35 내지 60 원자 퍼센티지의 붕소, 약 20 내지 약 50 원자 퍼센티지의 탄소 및 약 10 내지 약 30 원자 퍼센티지의 수소를 포함하는, 붕소 함유 비정질 탄소막.
  12. 반도체 디바이스로서,
    기판 위에 성막되고 약 50Å 내지 약 5000Å의 두께를 갖는 붕소-프리 비정질 탄소막;
    상기 붕소-프리 비정질 탄소막 상에 성막되고 약 300Å 내지 약 5000Å의 두께를 가지며 약 10 내지 60 원자 퍼센티지의 붕소를 함유하는 붕소 함유 비정질 탄소막;
    상기 붕소 함유 비정질 탄소막 상에 성막된 반사방지 코팅막; 및
    상기 반사방지 코팅막 상에 성막된 포토레지스트막을 포함하는, 반도체 디바이스.
  13. 프로세싱 챔버에서 기판을 프로세싱하는 방법으로서,
    RF 전력의 존재 시 탄화수소 함유 가스 및 붕소 함유 가스를 포함하는 가스 혼합물의 플로우에 기판을 노출하여 상기 기판 위에 붕소 함유 비정질 탄소막을 성막하는 단계;
    상기 붕소 함유 비정질 탄소막을 에칭하여, 패터닝된 붕소 함유 비정질 탄소막을 형성하는 단계로서, 상기 붕소 함유 비정질 탄소막은 약 35 내지 약 60 원자 퍼센티지의 붕소를 함유하고 약 300Å 내지 약 5000Å의 두께를 갖는, 상기 패터닝된 붕소 함유 비정질 탄소막을 형성하는 단계; 및
    상기 패터닝된 붕소 함유 비정질 탄소막에 대응하여 상기 기판에 피처 정의부들을 형성하는 단계를 포함하는, 기판을 프로세싱하는 방법.
  14. 제 13 항에 있어서,
    상기 붕소 함유 비정질 탄소막은 약 20 내지 약 50 원자 퍼센티지의 탄소 및 약 10 내지 약 25 원자 퍼센티지의 수소를 함유하는, 기판을 프로세싱하는 방법.
  15. 제 13 항에 있어서,
    상기 기판은 복수의 교번하는 산화물 및 질화물 재료들, 비정질 실리콘과 교번하는 산화물들, 폴리실리콘과 교번하는 산화물들, 도핑된 실리콘과 교번하는 도핑되지 않은 실리콘, 도핑된 폴리실리콘과 교번하는 도핑되지 않은 폴리실리콘, 도핑된 비정질 실리콘과 교번하는 도핑되지 않은 비정질 실리콘, 알루미늄, 텅스텐, 티탄 질화물, 구리, 실리콘 산화물, 실리콘 산화질화물, 실리콘 질화물, 및 이들의 조합들을 포함하는, 기판을 프로세싱하는 방법.
KR1020137011628A 2010-10-05 2011-09-30 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합 KR20130118880A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39008710P 2010-10-05 2010-10-05
US61/390,087 2010-10-05
PCT/US2011/054145 WO2012047742A2 (en) 2010-10-05 2011-09-30 Ultra high selectivity doped amorphous carbon strippable hardmask development and integration

Publications (1)

Publication Number Publication Date
KR20130118880A true KR20130118880A (ko) 2013-10-30

Family

ID=45889087

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137011628A KR20130118880A (ko) 2010-10-05 2011-09-30 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합

Country Status (6)

Country Link
US (2) US8536065B2 (ko)
JP (1) JP2013540359A (ko)
KR (1) KR20130118880A (ko)
CN (1) CN103210480A (ko)
TW (1) TW201216331A (ko)
WO (1) WO2012047742A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180053766A (ko) * 2015-10-09 2018-05-23 어플라이드 머티어리얼스, 인코포레이티드 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들
KR20200084365A (ko) * 2017-12-01 2020-07-10 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
KR20200098711A (ko) * 2018-01-08 2020-08-20 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 희생 마스크의 제거 방법
WO2022066503A1 (en) * 2020-09-28 2022-03-31 Applied Materials, Inc. Method of using dual frequency rf power in a process chamber

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130075158A (ko) * 2011-12-27 2013-07-05 삼성전자주식회사 반도체 소자의 제조 방법
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
US9129911B2 (en) * 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
KR102222909B1 (ko) * 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US9711360B2 (en) 2015-08-27 2017-07-18 Applied Materials, Inc. Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
WO2017127233A1 (en) 2016-01-20 2017-07-27 Applied Materials, Inc. Hybrid carbon hardmask for lateral hardmask recess reduction
WO2017222938A1 (en) * 2016-06-20 2017-12-28 Applied Materials, Inc. Cleaning process for removing boron-carbon residuals in processing chamber at high temperature
CN109643639B (zh) 2016-09-13 2023-08-11 应用材料公司 用于间隔件和硬掩模应用的硼烷介导的从硅烷和烷基硅烷物质脱氢的工艺
KR102195798B1 (ko) 2016-09-23 2020-12-28 어플라이드 머티어리얼스, 인코포레이티드 스퍼터링 샤워헤드
JP6667410B2 (ja) * 2016-09-29 2020-03-18 東京エレクトロン株式会社 ハードマスクおよびその製造方法
US9870915B1 (en) * 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal
JP6950315B2 (ja) * 2016-12-15 2021-10-13 東京エレクトロン株式会社 成膜方法、ボロン膜、及び成膜装置
WO2018144198A1 (en) * 2017-02-01 2018-08-09 Applied Materials, Inc. Boron doped tungsten carbide for hardmask applications
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
CN110709967B (zh) 2017-07-24 2023-09-01 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
JP7112490B2 (ja) 2017-11-11 2022-08-03 マイクロマテリアルズ エルエルシー 高圧処理チャンバのためのガス供給システム
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
JP7005367B2 (ja) * 2018-02-05 2022-02-04 東京エレクトロン株式会社 ボロン系膜の成膜方法および成膜装置
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
KR102670420B1 (ko) * 2018-04-24 2024-05-28 어플라이드 머티어리얼스, 인코포레이티드 카본 하드-마스크의 플라즈마-강화 화학 기상 증착
JP2021523558A (ja) * 2018-05-03 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのための高品質c膜のパルスプラズマ(dc/rf)蒸着
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11158507B2 (en) * 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11270890B2 (en) * 2018-12-14 2022-03-08 Lam Research Corporation Etching carbon layer using doped carbon as a hard mask
SG11202108054WA (en) * 2019-02-14 2021-08-30 Applied Materials Inc Method of processing a substrate
WO2021021279A1 (en) * 2019-08-01 2021-02-04 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists
JP2021088735A (ja) * 2019-12-03 2021-06-10 東京エレクトロン株式会社 成膜方法および処理装置
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11322352B2 (en) * 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
CN116250063A (zh) 2020-07-30 2023-06-09 恩特格里斯公司 用于移除硬掩模的方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63221839A (ja) * 1987-03-09 1988-09-14 Minolta Camera Co Ltd 非晶質水素化炭素膜の製造方法
JP3225576B2 (ja) * 1992-01-28 2001-11-05 住友電気工業株式会社 自己修復性硬質固体潤滑膜で被覆した摺動機械部品
JPH09326114A (ja) * 1996-06-04 1997-12-16 Hitachi Ltd 磁気記録媒体
JP2001062605A (ja) * 1999-08-30 2001-03-13 Sumitomo Electric Ind Ltd 非晶質カーボン被覆工具
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6352921B1 (en) * 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US7084071B1 (en) * 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
US6939794B2 (en) * 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7105431B2 (en) 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US6936539B2 (en) * 2003-09-24 2005-08-30 Micron Technology, Inc. Antireflective coating for use during the manufacture of a semiconductor device
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US20070286954A1 (en) 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
WO2008094792A1 (en) 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
KR101055962B1 (ko) * 2008-05-15 2011-08-11 주성엔지니어링(주) 박막패턴 형성방법

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180053766A (ko) * 2015-10-09 2018-05-23 어플라이드 머티어리얼스, 인코포레이티드 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들
KR20180137044A (ko) * 2015-10-09 2018-12-26 어플라이드 머티어리얼스, 인코포레이티드 초고 탄성률 및 에칭 선택비의 붕소-탄소 하드마스크 막들
KR20200084365A (ko) * 2017-12-01 2020-07-10 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
US12014927B2 (en) 2017-12-01 2024-06-18 Applied Materials, Inc. Highly etch selective amorphous carbon film
US12112949B2 (en) 2017-12-01 2024-10-08 Applied Materials, Inc. Highly etch selective amorphous carbon film
KR20200098711A (ko) * 2018-01-08 2020-08-20 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 희생 마스크의 제거 방법
WO2022066503A1 (en) * 2020-09-28 2022-03-31 Applied Materials, Inc. Method of using dual frequency rf power in a process chamber
US11721545B2 (en) 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
US12106958B2 (en) 2020-09-28 2024-10-01 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber

Also Published As

Publication number Publication date
US8536065B2 (en) 2013-09-17
JP2013540359A (ja) 2013-10-31
US8993454B2 (en) 2015-03-31
WO2012047742A2 (en) 2012-04-12
CN103210480A (zh) 2013-07-17
US20140017897A1 (en) 2014-01-16
WO2012047742A3 (en) 2012-06-07
US20120080779A1 (en) 2012-04-05
TW201216331A (en) 2012-04-16

Similar Documents

Publication Publication Date Title
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
JP7534492B2 (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
US8536065B2 (en) Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20200266064A1 (en) Method of processing a substrate
US11664226B2 (en) Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
JP2023532883A (ja) ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment