JP4879159B2 - アモルファス炭素膜堆積のためのcvdプロセス - Google Patents

アモルファス炭素膜堆積のためのcvdプロセス Download PDF

Info

Publication number
JP4879159B2
JP4879159B2 JP2007501834A JP2007501834A JP4879159B2 JP 4879159 B2 JP4879159 B2 JP 4879159B2 JP 2007501834 A JP2007501834 A JP 2007501834A JP 2007501834 A JP2007501834 A JP 2007501834A JP 4879159 B2 JP4879159 B2 JP 4879159B2
Authority
JP
Japan
Prior art keywords
amorphous carbon
layer
substrate
gas
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007501834A
Other languages
English (en)
Other versions
JP2007531987A (ja
Inventor
マーティン ジェイ シーモンズ,
ウェンディー エイチ. イー,
スダ エス.アール. ラシ,
ディーネシュ パディ,
アンディー(シン チャオ) ルアン,
サム‐イー ベティー タン,
プリヤ クルカーニ,
ヴィスウェスワレン シヴァラマクリシュナン,
ボク ホエン キム,
ヒケム マサド,
ユシャン メイ ワン,
マイケル チウ クワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/799,146 external-priority patent/US7638440B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007531987A publication Critical patent/JP2007531987A/ja
Application granted granted Critical
Publication of JP4879159B2 publication Critical patent/JP4879159B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)

Description

発明の背景
発明の分野
[0001]本発明は集積回路の製造と、基板上に材料を堆積するためのプロセスと、この材料によって形成された構造とに関する。
関連技術の説明
[0002]現代の半導体デバイスの製造は、化学気相堆積法、CVDと称されるガスの化学反応による基板上への金属および誘電層の形成を必要とする。熱CVDプロセスは反応ガスを基板表面に供給し、ここでエネルギー誘導化学反応が生じて所望の層を発生させる。
[0004]CVDプロセスは、1つ以上の材料層の堆積およびパターニングを伴うダマシンまたはデュアルダマシン方法によって垂直および水平の配線を形成する際に有用である。ダマシン方法において、低誘電率誘電材料(つまり、<4.0の誘電定数(k)を有する)などの1つ以上の誘電材料が堆積およびパターンエッチングされて、ビアとしても既知である垂直配線と、ラインとしても既知の水平配線とを形成する。例えば銅を含有する導電材料と、周囲の低誘電率誘電体への銅含有材料の拡散を防止するために使用されるバリヤ層材料を含む他の材料とが次いでエッチングパターンにはめ込まれる。次いで、基板の電界上などのエッチングパターンの外部の過剰な銅含有材料および過剰なバリヤ層材料が除去される。
[0005]しかしながら、低誘電率材料がダマシン形成で使用される場合にほとんどまたは全く表面欠陥や特徴部の変形のない特徴部を発生させることは困難であった。低誘電率誘電材料はしばしば多孔性であり、導電材料の除去中に引っかかれたりダメージを受けたりしやすいため、欠陥が基板表面上に形成される可能性を増大させる。さらに、低誘電率材料はしばしば脆く、従来の研磨プロセスで変形する場合がある。表面の欠陥および変形を制限または削減する一つの解決策は、低誘電率材料の特徴限定部をパターニングおよびエッチングする前に暴露された低誘電率材料上にハードマスクを堆積することである。ハードマスクはダメージおよび変形に抵抗性がある。ハードマスクはまた、化学機械研磨技術やエッチング技術などの後続の材料堆積および平坦化や材料除去プロセス中に下地低誘電率材料を保護することもあり、これによって欠陥形成および特徴部変形を削減することができる。次いでハードマスクは、後続の基板処理の前に平坦化に続いて除去されてもよい。
[0006]加えて、上記ダマシンプロセスにおいて、1層のエネルギー感応レジストが基板上の材料層のスタック上に形成される従来のリソグラフィック技術を使用してパターンが形成され、パターンのイメージがエネルギー感応レジスト材料に導入され、エネルギー感応レジスト材料に導入されたパターンは、エネルギー感応レジスト層をマスクとして使用して基板上に形成された材料スタックの1つ以上の層に移送される。
[0007]エネルギー感応レジストに導入されたパターンは化学エッチャントを使用して材料スタックの1つ以上の層に移送可能である。化学エッチャントは、エネルギー感応レジストよりもスタックの材料層に対してより大きなエッチング選択性を有するように設計される。つまり、化学エッチャントは、エネルギー感応レジストをエッチングするよりもかなり高速で材料スタックの1つ以上の層をエッチングする。スタックの1つ以上の材料層に対する高速エッチングレートは通常、パターン移送の完了前にエネルギー感応レジスト材料が消費されるのを防止する。
[0008]パターン寸法が縮小されると、エネルギー感応レジストの厚さは、パターン解像度をコントロールするために、これに応じて縮小されなければならない。このような薄いレジスト材料(約6000Å未満)は、化学エッチャントを使用するパターン移送ステップ中に下地材料層をマスキングするのに不十分である恐れがある。上記のようなハードマスク層は、下地材料層へのパターン移送を容易にするために、エネルギー感応レジスト材料と下地材料層間に使用されてもよい。しかしながら、半導体構造を形成する一部の用途では、ハードマスク材料を基板表面から除去するのは困難であり、残りのハードマスク材料は半導体処理に決定的に影響を与えることがある。さらに、従来のハードマスク材料は、形成中の特徴部の所望の寸法を保有するためにエッチング中の材料とハードマスク間に十分なエッチング選択性を提供しないこともある。
[0009]波長(例えば約250ナノメートル(nm)未満)をイメージングする遠紫外線(DUV)を有するリソグラフィックイメージングツールがレジストパターンを生成するのに使用される場合に、レジストパターニングの問題はさらに悪化される。回折効果はこれらの短い波長で低下されるため、DUVイメージング波長はレジストパターン解像度を改良する。しかしながら、このようなDUV波長での多結晶シリコン、金属および金属シリサイドなどの多くの下地材料の反射性質の強化は、得られるレジストパターンを劣化させる恐れがある。
[0010]下地材料層からの反射を最小化するために提案された一技術は反射防止コーティング(ARC)である。ARCはレジストパターニングの前に反射材料層上に形成される。ARCはレジストイメージング中に下地材料層の反射を抑制し、エネルギー感応レジストの層に適切なパターン複製を提供する。多数のARC材料がエネルギー感応レジストとの併用を勧められてきたが、満足のいく結果には至らなかった。加えて、ハードマスクおよび反射防止コーティングの現在の堆積プロセスは、所望のステップカバレージ未満のプロセスおよび前駆体を使用する。さらに、ハードマスク材料のようなARC材料は除去するのが困難であり、また後続の集積回路製造ステップと潜在的に干渉する残渣物を残す場合がある。
[0011]したがって、良好なエッチング選択性および/または反射防止特性を有し、かつ残渣物がごくわずかまたは最小限となるように除去可能である、集積回路製造に有用な材料層の必要性が当分野にある。
発明の概要
[0012]本発明の態様は概して、アモルファス炭素材料を堆積するための方法を提供する。一態様では、本発明は、基板を処理チャンバに位置決めするステップと、処理ガスを該処理チャンバに導入するステップであって、該処理ガスが1つ以上の炭化水素化合物やこれらの誘導体および水素を含むステップと、二重周波数RF源から電力を印加することによって該処理ガスのプラズマを生成するステップと、該基板上にアモルファス炭素層を堆積するステップとを含む基板処理方法を提供する。
[0013]本発明の別の態様では、誘電材料層を基板の表面上に形成するステップと、1つ以上の炭化水素化合物および水素を含む処理ガスを導入するステップを含むプロセスによって該誘電材料層上に1つ以上のアモルファス炭素層を堆積するステップと、二重周波数RF源から電力を印加することによって該処理ガスのプラズマを生成するステップと、該1つ以上のアモルファス炭素層をエッチングしてパターニング済みアモルファス炭素層を形成するステップと、該パターニング済みの1つ以上のアモルファス炭素層に対応する該誘電材料層に特徴限定部をエッチングするステップと、を含む基板処理方法が提供される。
[0014]本発明の別の態様では、1つ以上の誘電層を基板表面上に堆積するステップであって、該1つ以上の誘電層のうちの少なくとも1つがシリコン、酸素および炭素を含み、かつ約3以下の誘電定数を有するステップと、1つ以上の炭化水素化合物やこれらの誘導体と水素とを含む処理ガスを導入するステップを含むプロセスによって該1つ以上の誘電層上に1つ以上のアモルファス炭素層を形成するステップと、二重周波数RF源から電力を印加することによって該処理ガスのプラズマを生成するステップと、該1つ以上のアモルファス炭素層の少なくとも1つの領域にパターンを画成するステップと、該1つ以上のアモルファス炭素層の該少なくとも1つの領域に形成された該パターンによって該1つ以上の誘電層に特徴限定部を形成するステップと、該特徴限定部に1つ以上の導電材料を堆積するステップと、を含む基板処理方法が提供される。
[0015]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のより具体的な説明が実施形態を参照してなされてもよく、この一部が添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、したがって、本発明は他の等しく効果的な実施形態を認めてもよいため、その範囲を制限するものとみなすべきではないことに注目されたい。
[0022]本発明の態様のさらなる理解のために、後の詳細な説明を参照すべきである。
詳細な説明
[0023]本明細書で使用されている用語およびフレーズは、さらに定義されない限り、当分野における通常かつ慣用的な意味が当業者によって付与されるべきである。本発明の態様は概して、アモルファス炭素材料を堆積、処理および除去するための方法を提供する。酸化物などの誘電材料に対するアモルファス炭素堆積レートおよびエッチング選択性は、二重周波数RF電源を使用し、希釈ガスとしての水素とキャリアガスとしてのアルゴンとをアモルファス炭素処理ガスに含めることによる従来のアモルファス炭素堆積プロセスによって堆積されたアモルファス炭素に比較して大きい場合がある。アモルファス炭素堆積はさらに、2003年6月3日に発行され、「Method for Depositing an Amorphous Carbon Layer」と題された米国特許第6,573,030号に説明されており、特許請求されている態様および説明に矛盾しない限り参照として本明細書に組み込まれる。
[0024]適切なシステムの例は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているDxZ(商標)処理チャンバ、PRECISION5000(登録商標)システム、PRODUCER(商標)システムおよびPRODUCER SE(商標)処理チャンバを使用してもよいCENTURA(登録商標)システムを含む。プロセスは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているProducer(商標)処理チャンバなどの堆積チャンバにおいて200mm基板上で具現化可能である。以下の堆積プロセスは、カリフォルニ州サンタクララにあるアプライドマテリアルズ社から市販されている300mmProducer(商標)二重堆積ステーション処理チャンバの使用によって説明され、それに応じて解釈されるべきであり、例えば流量は全流量であり、またチャンバにおけるエッチング堆積ステーションでのプロセス流量を説明するために2つに分割されるべきである。加えて、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているDxZ処理チャンバなどの単一堆積チャンバは、適切なプロセス変換によって以下のプロセスを実行するように、つまり、全二重堆積ステーションProducer(商標)処理チャンバ流量から単一堆積ステーション流量に流量を調整するために使用されてもよい。
例となる装置
[0025]図6は、アモルファス炭素層堆積を実行するのに使用可能な基板処理システムの一実施形態の概略図である。この装置はプロセスチャンバ625と、ガスパネル630と、コントロールユニット610と、電源および真空ポンプなどの他のハードウェアコンポーネントとを備える。本発明で使用されるシステムの一実施形態の詳細は、2002年4月2日に発行された、同一出願人による米国特許第6,364,954号、「High Temperature Chemical Vapor Deposition Chamber」に説明されており、参照として本明細書に組み込まれている。
[0026]プロセスチャンバ625は概して、半導体基板690などの基板を支持するのに使用される支持ペデスタル650を備える。このペデスタル650は変位機構(図示せず)を使用して、チャンバ625内部で垂直方向に移動する。プロセスに応じて、基板690は処理前に所望の温度に加熱可能である。基板支持ペデスタル650は埋め込みヒーター要素670によって加熱される。例えば、ペデスタル650はAC電源606からヒーター要素670に電流を印加することによって抵抗加熱されてもよい。そして基板690はペデスタル650によって加熱される。熱電対などの温度センサー672はまた、ペデスタル650の温度を監視するために基板支持ペデスタル650に埋め込まれる。測定温度は、加熱素子670に対する電源606をコントロールするためにフィードバックループで使用される。基板温度は、具体的なプロセス用途に対して選択される温度に維持またはコントロール可能である。ペデスタル650はプラズマを使用して、または放射熱によって場合によって加熱される。
[0027]真空ポンプ602は、プロセスチャンバ625を空にして、チャンバ625内部の適切なガス流および圧力を維持するために使用される。これを介してプロセスガスがチャンバ625に導入されるシャワーヘッド620が基板支持ペデスタル650上に配置される。シャワーヘッド620はガスパネル630に接続され、これはプロセスシーケンスの異なるステップで使用される種々のガスをコントロールおよび供給する。
[0028]ガスパネル630はまた種々の気化液体前駆体をコントロールおよび供給するために使用されてもよい。図示されていないが、液体前駆体サプライからの液体前駆体は、例えば液体注入気化器によって気化され、キャリアガスの存在下のプロセスチャンバに送出されてもよい。キャリアガスは通常、窒素などの不活性ガス、あるいはアルゴンやヘリウムなどの希ガスである。代替的に、液体前駆体は、熱および/または真空増強気化プロセスによってアンプルから気化されてもよい。
[0029]シャワーヘッド620および基板支持ペデスタル650はまた1対の間隔をあけられた電極を形成することがある。これらの電極間に電界が生成される場合、チャンバ625に導入されたプロセスガスはプラズマに着火される。通常、電界は、基板支持ペデスタル650を単周波数または二重周波数無線周波数(RF)電源(図示せず)に整合ネットワーク(図示せず)を介して接続することによって生成される。代替的に、RF電源および整合ネットワークはシャワーヘッド620に結合されてもよく、あるいはシャワーヘッド620および基板支持ペデスタル650の両方に結合されてもよい。
[0030]プラズマ化学気相堆積(PECVD)技術は、電界を基板表面付近の反応ゾーンに印加して、反応種のプラズマを作成することによって反応ガスの励起および/または解離を促進する。プラズマにおける種の反応性は、化学反応が生じるのに必要なエネルギーを削減し、実際にこのようなPECVDプロセスに必要な温度を低下させる。
[0031]本実施形態では、アモルファス炭素層堆積は、炭化水素化合物のプラズマ増強熱分解によって遂行される。炭化水素化合物はガスパネル630のコントロール下でプロセスチャンバ625に導入される。炭化水素化合物は、流れが調節されたガスとしてプロセスチャンバに導入される。
[0032]ガスパネル630を介するガスおよび液体流の適切なコントロールおよび調節は質量流コントローラ(図示せず)と、コンピュータなどのコントロールユニット610とによって実行される。シャワーヘッド620によってガスパネル630からのプロセスガスは、プロセスチャンバ625に均一に分布および導入される。例示的に、コントロールユニット610は中央演算処理装置(CPU)612と、支援回路614と、関連コントロールソフトウェア616を含有するメモリとを備える。このコントロールユニット610は、基板移送、ガス流コントロール、液体流コントロール、温度コントロール、チャンバ排出などの基板処理に必要な多数のステップの自動コントロールを担っている。コントロールユニット610と装置の種々のコンポーネント間の双方向通信は、総称的に信号バス618と称される多数の信号ケーブルを介して取り扱われ、この一部が図6に図示されている。
[0033]本発明で使用される加熱ペデスタル650はアルミニウムで作られており、ペデスタル650の基板支持表面下のある距離に埋め込まれている加熱素子670を含んでいる。加熱素子670は、Incoloy(商標)シースチューブにカプセル化されているニッケル・クロムワイヤーで作られていてもよい。加熱素子670に供給される電流を適切に調整することによって、基板690およびペデスタル650は膜堆積中に比較的一定の温度に維持可能である。これはフィードバックコントロールループで遂行され、ここでは、ペデスタル650の温度はペデスタル650に埋め込まれた熱電対672によって連続的に監視される。この情報は信号バス618を介してコントロールユニット610に送信され、これはヒーター電源に必要な信号を送ることによって応答する。ペデスタル650を所望の温度、例えば特定のプロセス用途に適した温度に維持およびコントロールするために、後に電源606で調整がなされる。プロセスガス混合物がシャワーヘッド620を出ると、炭化水素化合物のプラズマ増強熱分解が加熱された基板690の表面691で生じ、基板690上へのアモルファス炭素層の堆積をもたらす。
堆積プロセス
[0034]アモルファス炭素層は、1つ以上の炭化水素化合物のガス混合物やこれらの誘導体を処理チャンバに導入するステップを含むプロセスによって堆積されてもよい。加えて、酸素含有化合物が使用されてもよい。炭化水素化合物は場合によって窒素を含有したり、アンモニアなどの窒素含有ガスを堆積されたりしてもよい。また、炭化水素化合物は、フッ素および酸素などの置換基を有してもよい。炭化水素化合物やその誘導体は式Cを有しており、ここでAは1〜24の範囲を有しており、Bは0〜50の範囲を有しており、Cは0〜10の範囲を有しており、Dは0〜50の範囲を有しており、BおよびDの合計は少なくとも2である。
[0035]適切な炭化水素化合物の例は飽和または不飽和の脂肪族または脂環式炭化水素および芳香族炭化水素である。より具体的には、脂肪族炭化水素は例えば、メタン、エタン、プロパン、ブタン、ペンタン、ヘキサン、ヘプタン、オクタン、ノナン、デカンなどのアルカン類と、エチレン、プロピレン、ブチレン、ペンテンなどのアルケン類と、ブタジエン、イソプレン、ペンタジエン、ヘキサジエン、などのジエン類と、アセチレン、ビニルアセチレンなどのアルキン類とを含む。脂環式炭化水素は例えば、シクロプロパン、シクロブタン、シクロペンタン、シクロペンタジエン、トルエンなどを含む。芳香族炭化水素は例えば、ベンゼン、スチレン、トルエン、キシレン、ピリジン、エチルベンゼン、アセトフェノン、安息香酸メチル、酢酸フェニル、フェノール、クレゾール、フランなどを含む。加えて、α−テルピネン、シメン、1、1、3、3、−テトラメチルブチルベンゼン、t−ブチルエーテル、t−ブチルエチレン、メチル−メタクリレートおよびt−ブチルフルフリルエーテルが選択されてもよい。
[0036]炭化水素化合物の適切な誘導体の例はフッ素化アルケン、ハロゲン化アルケンおよびハロゲン化芳香族化合物である。フッ素化アルケンは例えば、モノフルオロメタン、ジフルオロメタン、トリフルオロメタン、テトラフルオロメタン、モノフルオロエタン、テトラフルオロエタン、ペンタフルオロエタン、ヘキサフルオロエタン、モノフルオロプロパン、トリフルオロプロパン、ペンタフルオロプロパン、ペルフルオロプロパン、モノフルオロブタン、トリフルオロブタン、テトラフルオロブタン、オクタフルオロブタン、ジフルオロブタン、モノフルオロペンタン、ペンタフルオロペンタン、テトラフルオロヘキサン、テトラフルオロヘプタン、ヘキサフルオロヘプタン、ジフルオロオクタン、ペンタフルオロオクタン、ジフルオロテトラフルオロオクタン、モノフルオロノナン、ヘキサフルオロノナン、ジフルオロデカン、ペンタフルオロデカンなどを含む。ハロゲン化アルケンは、モノフルオロエチレン、ジフルオロエチレン、トリフルオロエチレン、テトラフルオロエチレン、モノクロロエチレン、ジクロロエチレン、トリクロロエチレン、テトラクロロエチレンなどを含む。ハロゲン化芳香族化合物は、モノフルオロベンゼン、ジフルオロベンゼン、テトラフルオロベンゼン、ヘキサフルオロベンゼンなどを含む。
[0037]少なくとも5個の炭素原子を有する炭化水素化合物や誘導体はおよそ20℃の室温では液体であり、前のアモルファス炭素堆積プロセスの上に、ステップカバレージなどの増強膜カバレージと改良コンフォーマル性とを提供すると考えられている。さらに、液体前駆体は、ウェーハ表面上に吸収し、かつトポグラフィに対する移動性を有し、ひいては改良ステップカバレージを有するメタ安定中間種を形成するより大きな分子および種を有すると考えられている。
[0038]代替的に、炭化水素化合物の部分的または完全ドープ誘導体が使用されてもよい。誘導体は窒素、フッ素、酸素、ヒドロキシル基、および炭化水素化合物のホウ素含有誘導体ならびにこれらのフッ素化誘導体を含む。適切なフッ素ベース化合物は、フッ素(F)、三フッ化窒素(NF)などの無機化合物フッ素誘導体、炭化水素化合物の部分または完全フッ素化誘導体、およびこれらの組み合わせを含む。フッ素化炭化水素化合物は式Cを有しており、ここでxは例えば5〜20の範囲と、5より大きく、yは0〜18の範囲を有しており、zは0〜42の範囲を有しており、y+zは2以上かつ62以下である。例として、CやCおよびCなどの完全フッ素化炭化水素を含んでおり、これらは、アモルファス過フッ化炭化水素層として記述されることもあるフッ素化アモルファス炭素層を堆積するのに使用されてもよい。炭化水素化合物と炭化水素化合物のドープ誘導体との組み合わせは、アモルファス炭素層やアモルファス過フッ化炭化水素層を堆積するのに使用されてもよい。
[0039]1つ以上のフッ素含有化合物が、堆積されたアモルファス炭素材料の炭素濃度を高めるためにアモルファス炭素堆積プロセス中、あるいは中間ステップとして導入可能である。フッ素はアモルファス炭素の堆積プロセスの前、この最中あるいはこの最中の途中に添加されてもよい。塩素ベース化合物および臭化物ベース化合物などのハロゲン化物化合物もまた使用されてもよい。フッ素ベース化合物は堆積プロセス中、あるいは中間ステップとして導入されてもよい。フッ素ベース化合物は、堆積プロセス全体、堆積プロセスの一部の間に、あるいは堆積プロセス中に周期的または循環的に炭化水素化合物を導入されてもよい。
[0040]フッ素ベース化合物は炭化水素導入の100%にわたり導入されてもよい。代替的に、フッ素ベース化合物が堆積サイクル中に炭化水素化合物に連続的に添加される間、フッ素ベース化合物濃度は、アモルファス炭素層の堆積中に2または3サイクルなど、周期的または循環的に増減されてもよい。
[0041]フッ素ベース化合物は、例えば堆積サイクルの約40%〜約60%という堆積プロセスの一部において、あるいは例えば、堆積サイクル期間の約40%〜60%中など、堆積サイクルの中間部分において導入されてもよい。さらに、フッ素ベース化合物をプロセスの一部の間印加する場合、フッ素ベース化合物は、アモルファス炭素層の堆積中2または3サイクルなど周期的または循環的に導入されてもよい。
[0042]さらなる実施形態では、炭化水素の流れが終了される場合があり、またフッ素ベース化合物の流れは、炭化水素化合物の再導入およびアモルファス炭素材料のさらなる堆積の前に、堆積されたアモルファス炭素材料の中間処理ステップとして処理チャンバに導入される場合がある。加えて、フッ素ベース化合物および炭化水素化合物の濃度を修正することによって、例えば高炭化水素濃度および低フッ素ベース化合物濃度を有する初期プロセスステップと、低炭化水素濃度および高フッ素ベース化合物濃度を有するステップと、高炭化水素濃度および低フッ素ベース化合物濃度を有する最終ステップまたはさらなる循環ステップによって類似の効果が達成される場合がある。
[0043]加えて、フッ素は、アモルファス炭素堆積のために処理ガスを導入する前に添加されてもよい。このような実施形態では、フッ素ガスは、処理チャンバを洗浄し、かつアモルファス炭素処理ガスの導入に対してフッ素が豊富な環境を提供するために使用されてもよい。フッ素前処理の存在はアモルファス炭素処理ガスにおけるフッ素と併用して、あるいはこの代替物として使用されてもよい。
[0044]フッ素ベース化合物のフッ素が反応し、堆積プロセスおよび堆積材料から水素を除去する、つまり取り除く際、フッ素ベース化合物の導入は堆積されたアモルファス炭素層の炭素原子濃度の増加を見込んでいると考えられている。堆積されたアモルファス炭素層の炭素濃度の増加は、半導体製造に従来のリソグラフィックプロセスで使用される157nm〜900nmの波長の吸収度増大(k、減衰係数)をもたらすことが観察された。吸収度増大はARC有効性の増大をもたらす。さらに、フッ素の存在は、従来のアモルファス炭素堆積プロセス未満であり、かつ半導体製造で最もよく使用される材料の熱量内である約550℃以下の温度での効果的なアモルファス炭素堆積を見込んでいる。
[0045]代替的に、1つ以上の炭化水素化合物は、式Cを有する炭化水素などの、5個未満の炭素原子を有する炭化水素化合物と混合されたり、これを導入されたりしてもよく、ここでxは2〜4の範囲を有し、yは2〜10の範囲を有する。例えば、プロピレン(C)、プロピン(C)、プロパン(C)、ブタン(C10)、ブチレン(C)、ブタジエン(C)またはたアセチレン(C)ならびにこれらの組み合わせが、本明細書に説明された炭化水素化合物と併用されてもよい。
[0046]好ましくは、メタン、エタン、エチレン、プロピレン(C)、プロピン(C)、プロパン(C)、ブタン(C10)、ブチレン(C)、ブタジエン(C)、アセチレン(C)、ペンタン、ペンテン、ペンタジエン、シクロペンタン、シクロペンタジエン、ベンゼン、トルエン、α−テルピネン、フェノール、シメンおよびこれらの組み合わせが、アモルファス炭素層を堆積するために使用されてもよい。
[0047]反応ガスおよび不活性ガスの混合物が、アモルファス炭素層を堆積するために処理ガスに添加されてもよい。不活性ガスはアルゴン(Ar)およびヘリウム(He)を含んでもよい。不活性ガスは、アモルファス炭素層の密度および堆積レートをコントロールするために使用されてもよい。
[0048]加えて、様々な処理ガスが、アモルファス炭素材料の特性を修正するためにガス混合物に添加されてもよい。水素(H)が、アモルファス炭素材料の特性を修正するために処理ガスに添加される。また、炭化水素化合物は窒素を含有してもよく、あるいはアンモニアなどの窒素含有ガスを堆積されてもよい。ガスは、水素(H)、アンモニア(NH)、水素(H)および窒素(N)の混合物、フッ素ベース化合物あるいはこれらの組み合わせなどの反応ガスであってもよい。Hおよび/またはNHの添加は、アモルファス炭素層の水素比をコントロールして、反射率などの層特性をコントロールするために使用可能である。
[0049]アモルファス過フッ化炭化水素層を堆積するために、フッ素ベース化合物が好ましくは、約1:20(フッ素ベース化合物:炭化水素化合物)〜約2:1、例えば約1:20〜約1:5の炭化水素化合物に対する流量比で導入される。フッ素ベース化合物は、約50sccm〜約3000sccm、例えば約100sccm〜約2000sccmの流量で処理チャンバに炭化水素化合物を導入されても、されなくてもよい。処理ガスはさらに、約50sccm〜約10000sccm、例えば約500sccm〜約5000sccmの流量でキャリアまたは不活性ガス、例えば窒素、ヘリウムまたはアルゴンを含んでもよい。
[0050]アモルファス炭素層は炭素および水素原子を備えており、これらは、約10%水素〜約60%水素に及ぶ調整可能な炭素:水素比を有してもよい。アモルファス炭素層の水素比をコントロールすることは、それぞれの光学特性、エッチング選択性および化学機械研磨抵抗特性を同調するのに望ましい。水素はヘリウムやアルゴンに比較して低いイオン化しきい値を有しているため、より高い水素濃度が反応ガスの混合物には望ましく、かつ水素は分子量が小さいため、水素が堆積膜の表面により高速で拡散する恐れがあると考えられている。水素イオン(H)は、メチル基イオン(CH )の浸透深さの10倍の浸透深さを有している。水素は基板のアークを招く恐れがあるプラズマの局所化を防止し、かつプラズマを横方向に拡大させ、かつ得られる膜の均一性を改良する助けとなるため、水素もまた望ましい。
[0051]ハードマスク用途において、水素含有率が低下すると、アモルファス炭素層のエッチング抵抗、引いては選択性が増加する。ハードマスクは、例えば1:10より大きくかつ1:20以下の約1:10より大きな、誘電材料に対するアモルファス炭素の選択性つまり除去レート比を提供する。導電材料にエッチングされた特徴部を画成するアモルファス炭素層の除去レートの低下は、アモルファス炭素層の損失のない効果的な誘電材料エッチングを見込んでいる。ここでのプロセスによって堆積されたアモルファス炭素層は、ヘリウム堆積アモルファス炭素材料よりも約5%〜約20%大きなエッチング選択性の改良を有することが観察された。
[0052]反射防止コーティング用途では、アモルファス炭素層の含有率およびアモルファス炭素層を堆積するためのプロセスのコントロールは反射防止コーティング特性のコントロールをもたらす可能性がある。例えば、水素含有率が低下すると、例えば屈折率(n)および吸収係数(k)などの堆積された層の光学特性が高まる。
[0053]アモルファス炭素層の光吸収係数kが、例えば約193nm〜約250nmにおいて約250nm以下の波長で約0.1〜約1.0で変更可能であり、DUV波長での反射防止コーティング(ARC)としての使用に適したアモルファス炭素層を作る。加えて、反射防止コーティング用途で使用されるアモルファス炭素層の含有率およびアモルファス炭素層を堆積するためのプロセスのコントロールは、得られる反射防止コーティング特性のコントロールを提供可能である。例えば、水素含有率が低下すると、屈折率(n)および吸収係数(k)などの堆積層の光学特性が大きくなる。
[0054]アモルファス炭素層の吸収係数は堆積温度の関数として変更可能である。とりわけ、温度が高くなると、堆積層の吸収係数は同様に大きくなる。例えば、プロピレンが炭化水素化合物である場合、堆積アモルファス炭素層のk値は、堆積温度を約150℃から約480℃に高めることによって約0.2から約0.7に大きくなる可能性がある。通常、アモルファス炭素層は約200Å〜約1100Åの厚さを有する。堆積温度の低下は、炭素二重結合の形成の可能性を低下させる。炭素二重結合の形成の可能性を低下させることは、得られる膜の透明性を高め、誘電定数を低下させることがある。
[0055]アモルファス炭素層の吸収係数はまた、ガス混合物で使用される添加剤の関数として変更可能である。とりわけ、ガス混合物における水素(H)、アンモニア(NH)および窒素(N)やこれらの組み合わせの存在は約10%〜約100%k値を大きくする可能性がある。アモルファス炭素層はさらに、2000年6月8日に出願され、「Method for Depositing an Amorphous Carbon Layer」と題された米国特許出願第09/590,322号に説明されており、これは特許請求された態様および説明に矛盾しない限り本明細書に組み込まれている。
[0056]加えて、吸収係数は堆積チャンバの圧力の関数として変更可能である。堆積チャンバの低圧力はチャンバにおけるイオンの平均自由行程を大きくする。イオンが基板表面付近を移動する場合、これらは基板表面に沿った原子と相互作用する際に、活動の増加を経験する。チャンバの圧力は約1トール〜約10トールで変更可能である。膜堆積中のチャンバにおける圧力の増加は得られる膜密度を低下させることになると考えられている。
[0057]代替実施形態では、アモルファス炭素層は、層の厚さにわたって変化する吸収係数(k)を有することが可能である。つまり、アモルファス炭素層は、吸収係数勾配を形成することができる。勾配は、層形成中のガス混合物の温度および組成の変化の関数として形成される。
[0058]2つの材料層間の界面において、屈折率(n)および吸収係数(k)の差ゆえに反射が生じる可能性がある。アモルファス炭素ARCが勾配を有する場合、2つの材料層の屈折率(n)および吸収係数(k)を一致させることが可能であるため、アモルファス炭素ARCへの最小の反射および最大の透過がある。そしてアモルファス炭素ARCの屈折率(n)および吸収係数(k)は、ここを透過する光のすべてを吸収するように漸次的に調整可能である。
[0059]アモルファス炭素層は、異なる光学特性を有する2つ以上の層を堆積されることがある。例えば、アモルファス炭素二重層は、上述のプロセスパラメータに従った第1のアモルファス炭素層を含んでもよく、主に光吸収に対して設計されている。したがって、第1のアモルファス炭素層は、約250nm未満の波長で約1.5〜約1.9の範囲の屈折率と、約0.5〜約1.0の範囲の吸収係数(k)とを有する。第2のアモルファス炭素層である反射防止コーティング層は上述のプロセスパラメータに従って第1のアモルファス炭素層上に形成されて、約1.5〜約1.9の屈折率と約0.1〜約0.5の吸収係数とを有する。第2のアモルファス炭素層は、エネルギー感応レジスト材料などの下地材料層、例えばレジストとの界面で生成された反射をキャンセルする反射を作成することによる位相シフトキャンセルのために主に設計されている。第1および第2のアモルファス炭素層の屈折率(n)および吸収係数(k)は、層形成中のガス混合物の温度および組成の関数として変更可能である点において同調可能である。
[0060]誘電材料からのアモルファス炭素材料の任意の除去は、水素含有ガス、窒素含有ガスおよび/または酸素含有ガスのプラズマにアモルファス炭素層を付すことによって達成されてもよい。水素含有ガスおよび/または酸素含有ガスのプラズマは、下に配置された誘電材料や、下に配置されたコア材料の表面粗さの最小限の効果で、アモルファス炭素材料を除去すると考えられている。
[0061]アモルファス炭素堆積プロセスは熱化学気相堆積プロセスやプラズマ化学気相堆積プロセスであってもよい。アモルファス炭素層は、約100℃〜約700℃、例えば450℃以下に基板温度を維持し、約1トール〜約20トールにチャンバ圧力を維持し、約50sccm〜約2000sccmの流量で水素ガスおよび不活性または反応ガスをそれぞれ200mm基板に導入することによって処理ガスから堆積されてもよく、場合によって、プラズマは約0.03W/cm〜約20W/cmまたは約10ワット(W)〜約6000W、例えば約0.3W/cm〜約3W/cm、約100W〜約1000WのRF電力を200mm基板に印加することによって生成され、ガス分配器は基板表面から約200ミル〜約1000ミルである。上記プロセスパラメータは、約100Å/分〜約5000Å/分の範囲でのアモルファス炭素層の堆積レートを提供する。
[0062]好ましくは、二重周波数システムが、アモルファス炭素層を堆積するために使用される。二重周波数は、流束およびイオンエネルギーの独立コントロールを提供すると考えられている。膜表面に衝突するイオンのエネルギーは膜密度に影響すると考えられている。水素イオンによるより高いレートの表面衝撃は、膜表面からのより高いレートの水素除去を生み出す。高周波数プラズマはプラズマ密度をコントロールする。低周波数プラズマはウェーハ表面に衝突する運動学的エネルギーをコントロールする。混合RF電力の二重周波数源は、約10MHz〜約30MHzの範囲、例えば13.56MHzの高周波数電力、ならびに約10KHz〜約1MHzの範囲、例えば約350KHzの低周波数電力を提供する。混合周波数RF電力用途の一例は、約200ワット〜約1600ワットの範囲の電力かつ約0.27W/cm〜約1.7W/cmの電力密度で約10MHz〜約30MHzの範囲の周波数の第1のRF電力と、約1ワット〜約1000ワットの範囲の電力かつ約0.27W/cm〜約1.4W/cmの電力密度で約10KHz〜約1MHzの範囲の周波数の少なくとも1つの第2のRF電力とを含んでもよい。第2のRF電力対全混合周波数電力の比は好ましくは約0.6対1.0(0.6:1)未満である。印加されたRF電力および1つ以上の周波数の使用は、基板サイズおよび使用される機器に基づいて変更されてもよい。
[0063]高周波数RF電力および低周波数RF電力はガス分配器(シャワーヘッド)または基板支持に結合されてもよく、あるいはシャワーヘッドおよび支持ペデスタルに結合されてもよい。混合RF電源119の詳細は、2000年3月28日に発行された、「Use of an Asymmetric Waveform to Control Ion Bombardment During Substrate Processing」と題された同一出願人による米国特許第6,041,734号に説明されており、参照として本明細書に組み込まれている。
[0064]ポストプラズマ処理は概して、水素、アンモニア、水蒸気(HO)またはこれらの組み合わせを含む水素含有ガスを、約100sccm〜約8000sccm、好ましくは約500sccm〜約2500sccmの流量で処理チャンバに提供するステップと、プラズマを処理チャンバで生成するステップとを含む。プラズマは、約0.15W/cm〜約5W/cmに及ぶ電力密度を使用して生成されてもよく、これは200mm基板に対して約50W〜約1500WのRF電力レベルである。RF電力は、例えば13MHz〜14MHzの高周波数で提供可能である。RF電力は連続的に、あるいは短期間サイクルで提供可能であり、ここでは、電力は、上記レベルで約200Hz未満のサイクル、および全デューティサイクルの約10%〜約30%のサイクルである。
[0065]プラズマ処理は、約1トール〜約10トール、好ましくは約3トール〜約8トールのチャンバ圧力を維持し、約15秒〜約120秒間、プラズマ処理中約100℃〜約300℃、好ましくは約200℃〜約300℃の温度に基板を維持し、必要ならば、プラズマ処理中に基板表面から約100ミル〜約2000ミルに位置決めされる、好ましくは約200ミル〜約1000ミルに位置決めされるガス分配器によってアモルファス炭素材料を除去することによって実行されてもよい。しかしながら、それぞれのパラメータは種々のチャンバにおいて、かつ例えば200nm〜300nm基板の異なる基板サイズでプラズマプロセスを実行するように修正されてもよい点に注目すべきである。代替的に、プラズマ処理プロセスパラメータは、材料堆積プロセスパラメータと同じまたは実質的に同じであってもよい。
[0066]上記プロセスパラメータは、約100Å/分〜約1000Å/分の範囲のアモルファス炭素層の通常の堆積レートを提供し、またアプライドマテリアルズ社から市販されているProducer(商標)処理チャンバなどの堆積チャンバで300mm基板上に具現化可能である。
[0067]本明細書に説明されている、アモルファス炭素材料堆積と、アモルファス炭素材料の水素含有ガスプラズマ除去とを実行するのに適した反応器は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているProducer(商標)処理チャンバやDxZ(商標)化学気相堆積チャンバで実行されてもよい。
ハードマスク/反射防止コーティング(ARC)用途
[0068]図1A〜1Eは、ハードマスクとしてアモルファス炭素層を組み込んでいる集積回路製造シーケンスの異なる段階での基板100の一実施形態の概略断面図を図示している。一般的に、基板100は、処理が実行される任意のワークのことをいい、基板構造150は、基板100上に形成された他の材料と共に基板100を概して記すために使用される。特定の処理段階に応じて、基板100はシリコン基板や、基板上に形成されている他の材料層に対応してもよい。図1Aは例えば、従来その上に形成されていた材料層102を有する基板構造150の断面図を図示している。材料層102は酸化物(例えば、SiO)であってもよい。一般的に、基板100は、1層のシリコン、シリサイド、金属または他の材料を含んでもよい。
[0069]図1Bは、図1Aの基板構造150上に堆積されたアモルファス炭素層104を描いている。アモルファス炭素層104は、上記プロセスパラメータに従って基板構造150上に形成される。アモルファス炭素層の厚さは特定の処理段階に応じて可変的である。通常、アモルファス炭素層は、約50Å〜約1000Åの範囲の厚さを有している。
[0070]製造シーケンスで使用されるエネルギー感応レジスト材料のエッチング化学物質に応じて、任意のキャッピング層106がアモルファス炭素層104上に形成されてもよい。任意のキャッピング層106は、パターンが移送される場合に、アモルファス炭素層104に対するマスクとして機能する。任意のキャッピング層106は、酸化シリコンなどの酸化物、窒化シリコンや窒化チタンなどの窒化物、酸窒化シリコン、シリコンカーバイド、アモルファスシリコン、無ドープシリカガラス(USG)、ドープ酸化シリコン、あるいは他の材料を含む材料を備えてもよい。任意のキャッピング層106は約100Å〜約1000Åの厚さに堆積されてもよいが、層の厚さはプロセス要件に応じて変更してもよい。キャッピング層は、フォトレジストからアモルファス炭素層を保護し、かつアモルファス炭素材料に形成されたピンホールなどの層の不完全性をカバーすると考えられている。
[0071]1層のエネルギー感応レジスト材料108が任意のキャッピング層106上に形成される。1層のエネルギー感応レジスト材料108は、約2000Å〜約6000Åの範囲内の厚さに基板上にスピンコーティング可能である。ほとんどのエネルギー感応レジスト材料は、約450nm未満の波長を有する紫外線(UV)放射に敏感である。DUVレジスト材料は245nmまたは193nmの波長を有するUV放射に敏感である。
[0072]エネルギー感応レジスト材料108をマスク110を介してUV放射に暴露することによって、パターンのイメージがエネルギー感応レジスト材料108の層に導入される。エネルギー感応レジスト材料108の層に導入されたパターンのイメージは、図1Cに示されるような層を介してパターンを画成するために適切な現像器で現像される。
[0073]その後、図1Dを参照すると、エネルギー感応レジスト材料108に画成されたパターンは任意のキャッピング層106およびアモルファス炭素層104の両方を介して移送される。パターンは、エネルギー感応レジスト材料108をマスクとして使用して任意のキャッピング層106を介して移送される。パターンは、適切な化学エッチャントを使用して任意のキャッピング層106をエッチングすることによって任意のキャッピング層106を介して移送される。そしてパターンは、任意のキャッピング層106をマスクとして使用して、また適切な化学エッチャント(例えば、オゾン、酸素またはアンモニアプラズマ)を使用してアモルファス炭素層104を介して、次いで、図1Eに示されるようにアモルファス炭素層104をハードマスクとして使用して材料層102を介して移送される。材料層102、つまり二酸化シリコンがパターニングされた後、アモルファス炭素層104は、本明細書に説明されるように基板100から場合によってストリップ可能である。
[0074]製造シーケンスの特定の例では、アモルファス炭素ハードマスクに画成されたパターンは、ダマシン構造などの集積回路の構造に組み込むことができる。ダマシン構造は通常、集積回路上に金属配線を形成するために使用される。
導電特徴部形成
[0075]エッチングストップおよび/または反射防止コーティング(ARC)としてのアモルファス炭素および本明細書に説明されたアモルファス炭素材料除去プロセスによって形成された導電または誘電特徴部の一例が図2A〜2Eに示されており、これらは、本発明のステップを形成する基板の断面図である。
[0076]図2Aに示されるように、任意のバリヤ層210が、基板200と後に堆積される材料間のレベル間拡散を排除するために基板表面上に堆積される。基板表面205は誘電または導電材料を備えてもよく、また図示されていないが、基板表面205は誘電材料に形成された金属特徴部を備えてもよい。バリヤ層210は約100Å〜約1000Åの厚さに堆積されてもよい。
[0077]バリヤ層210は、例えば窒化シリコン、酸窒化シリコンまたはこれらの組み合わせを含む従来のバリヤ層材料を備えてもよい。バリヤ層はまた、シリコンカーバイドや、約5以下の誘電定数を有する窒素含有シリコンカーバイドなどの低誘電定数材料を含んでもよい。低誘電率材料の一例は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているBLOK(商標)誘電材料である。
[0078]誘電材料層220がバリヤ層210上に堆積される。誘電材料層は、例えば素子分離(STI)堆積プロセスで使用する酸化シリコンベース材料を含んでもよい。誘電材料の例は、シリコン酸化物、PSG、PBSGなどのドープシリコン酸化物、および炭素ドープシリコン酸化物を含む。低誘電率材料の一例は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているBlack Diamond(商標)誘電材料である。
[0079]そしてアモルファス炭素層230が誘電材料層220上に堆積される。通常、アモルファス炭素層は約50Å〜約1000Åの範囲の厚さを有する。アモルファス炭素層230は、エッチング中のダメージや研磨方法から誘電材料層220などの下地材料を保護しつつ材料の選択的除去を可能にするために、化学機械研磨技術のストップとして実行してもよいハードマスクである。
[0080]アモルファス炭素層230はまた、エッチングストップとして実行して、下地誘電材料層の選択的除去を可能にし、かつ/または反射防止コーティングとして実行してもよい。アモルファス炭素層の光吸収係数kは、例えば約193nm〜約250nmにおいて約250nm以下の波長で約0.1〜約1.0で変更可能であり、アモルファス炭素層をDUV波長での反射防止コーティング(ARC)としての使用に適したものにする。通常、アモルファス炭素層230は約200Å〜約10,000Åの厚さを有する。
[0081]場合によって、反射防止コーティング240はアモルファス炭素層230上に堆積されてもよい。反射防止コーティングは、酸化物、窒化物、酸窒化シリコン、シリコンカーバイド、アモルファスシリコンおよびこれらの組み合わせからなる群より選択される材料を備えてもよい。反射防止コーティング240は、パターンが移送される場合にアモルファス炭素層230のハードマスクとして機能してもよい。
[0082]代替的に、反射防止コーティング240は別のアモルファス炭素層を備えてもよい。反射防止コーティング240がアモルファス炭素層である場合、アモルファス炭素二重層は上記プロセスパラメータに従った第1のアモルファス炭素層230を含んでもよく、また主に光吸収に対して設計されている。したがって、第1のアモルファス炭素層230は、約250nm未満の波長で約1.2〜約1.9の範囲の屈折率および約0.3〜約1.0の範囲の吸収係数(k)を有する。第1のアモルファス炭素層230の厚さは特定の処理段階に応じて可変的である。通常、第1のアモルファス炭素層230は約300Å〜約10,000Åの範囲の厚さを有する。
[0083]第2のアモルファス炭素層である反射防止コーティング層240は上記のプロセスパラメータに従って第1のアモルファス炭素層230上に形成されて、約1.2〜約1.9の屈折率および約0.01〜約0.5の吸収係数を有する。第2のアモルファス炭素層は、エネルギー感応レジスト材料などの下地材料層、例えばレジストとの界面で生成される反射をキャンセルする反射を作成することによって、主に位相シフトキャンセルに対して設計されている。第2のアモルファス炭素層の厚さはまた特定の処理段階に応じて、例えば約200Å〜約700Åで可変的である。第1および第2のアモルファス炭素層の屈折率(n)および吸収係数(k)は、層形成中のガス混合物の温度および電力ならびに組成の関数として可変的である点において同調可能である。
[0084]レジスト材料250などのエネルギーレジスト材料がアモルファス炭素材料の表面上に堆積およびパターニングされる。レジスト材料250は約200Å〜約6000Åの範囲内の厚さに、基板上にスピンコーティング可能である。フォトレジスト材料は約450nm未満の波長を有する紫外線(UV)放射に敏感である。DUVレジスト材料は245nmまたは193nmの波長を有するUV放射に敏感である。パターンのイメージは、フォトリソグラフィックレチクルを介するUV放射への暴露によってレジスト材料250の層に導入される。レジスト材料250の層に導入されたパターンのイメージは図2Aに示されるようなパターンを画成するために適切な現像器で現像される。
[0085]レジスト材料250に画成されたパターンは、図2Bに示されるようにアモルファス炭素層230、および反射防止コーティング240などの介在層を介して移送される。パターンは、適切な化学エッチャントを使用するエッチングによってアモルファス炭素層230および介在層を介して移送される。例えば、オゾン、酸素またはアンモニアプラズマが、アモルファス炭素材料をエッチングするために使用されてもよい。可変的なエッチングガス組成を含む複数のエッチングステップが、アモルファス炭素層230および介在層を介してエッチングするために使用されてもよい。場合によって、エッチングプロセス後の残りのレジスト材料はさらなる処理の前に除去されてもよい。
[0086]そしてアモルファス炭素層230に形成されたパターンは、適切な化学エッチャントを使用してエッチングして、図2Dに示されるような特徴部260を形成することによって誘電材料層220および介在層に移送されてもよい。既知の導電材料エッチャントは、導電材料120をエッチングするために使用されてもよい。
[0087]そしてアモルファス炭素層230は、基板表面からアモルファス含有材料を除去するために水素含有ガスのプラズマに暴露されてもよい。水素含有プラズマ除去プロセスの一例は約1000sccmの流量で水素ガスを導入し、約5トールのチャンバ圧力を維持し、基板温度を約250℃に維持し、200mm基板に対して約100W〜約300WのRF電力レベルを供給することによってプラズマを生成し、またプラズマを約60秒間維持し、必要ならばアモルファス炭素材料を除去することによって実行されてもよい。ガス分配器は、図2Dに示されるように、プラズマ処理中に基板表面から約500ミルに位置決めされる。ARC材料などの残りの介在材料はエッチャントによって、あるいはアモルファス炭素除去プロセスによって除去される。本発明は、ARC層の個別除去プロセスがアモルファス炭素除去前にこのような層残渣物を除去するのに必要な場合があることを想定している。
[0088]そして導電特徴部は特徴部260間の特徴限定部270にバルク堆積によって形成されてもよい。導電材料は、アルミニウム、タングステンまたは銅などの金属や、多結晶シリコンなどの導電材料を備えてもよく、またタングステンシリサイドなどの金属シリサイドを含んでもよい。導電材料は例えば、原子層堆積技術を含む化学気相堆積法、高密度物理気相堆積技術を含む物理気相堆積法、電気メッキおよび無電界堆積技術を含む電気化学堆積法、あるいは堆積技術の組み合わせによって堆積されてもよい。導電材料はまた約2,000Å〜約4,000Åの厚さに堆積されてもよく、また製造される構造のサイズに応じて厚さが変化してもよい。
[0089]低誘電率誘電材料を含む誘電材料はまた図2Eに示されるように特徴限定部270に堆積されてもよい。低誘電率誘電材料によるギャップ充填プロセスの一例は2000年4月25日に発行された米国特許第6,054,379号に開示されており、これは開示および特許請求された態様と矛盾しない限り参照として本明細書に組み込まれている。酸化シリコンおよび酸化シリコンベース材料などの誘電材料のギャップ充填堆積が素子分離(STI)堆積プロセスに対して使用されてもよい。誘電材料はまた約2,000Å〜約4,000Åの厚さに堆積されてもよく、また製造される構造のサイズに応じて厚さが変化してもよい。
[0090]第1および第2のアモルファス炭素層の屈折率(n)および(減衰係数とも称される)吸収係数(k)は、層形成中のガス混合物の温度ならびに組成の関数として変更可能である点において同調可能である。2つのアモルファス炭素ベース反射防止コーティング層の組み合わせが使用される場合、水素含有率は、異なる水素濃度を有する膜を発生させるためにそれに応じて調整されてもよい。例えば、より高い水素含有率のARC層がアモルファス炭素処理ガスにおいてフッ素濃度が最小または全くなく堆積されてもよいのに対して、より高い吸収係数を有する別の層がアモルファス炭素処理ガスにおいてより高いフッ素濃度を有してもよい。さらに、ARC層は堆積層における水素含有率の漸次的変化で堆積されてもよいため、両ARC層は、アモルファス炭素処理ガスにおいてフッ素濃度を経時的に変化させることによってイン・シトゥーで連続的に堆積されてもよい。このような漸次的変化アプローチにおいて、漸次的変化した水素濃度を有する厚いアモルファス炭素層は多層ARCスタックの代わりに使用されてもよい。
デュアルダマシン構造の堆積
[0091]低誘電率誘電シリコン、酸素および炭素材料と、アモルファス炭素材料と、本明細書に説明されたアモルファス炭素材料除去プロセスとを使用して形成されるダマシン構造の一例が図3A〜3Gに示されており、これらは断面図である。
[0092]図3Aに示されるように、シリコンカーバイドバリヤ層310が、基板と後に堆積される材料間のレベル間拡散を排除するために基板表面上に堆積される。基板表面は、誘電材料305に形成された金属特徴部307を備えてもよい。シリコンカーバイドバリヤ層310は酸素、ホウ素、リン酸またはこれらの組み合わせによってドープされてもよい。
[0093]本明細書に説明されたようなシリコン、酸素および炭素を備える第1の誘電層312が、誘電材料305に形成された金属特徴部307を含む基板表面上のシリコンカーバイドバリヤ層310上に堆積される。層間誘電材料の第1の誘電層312は、製造される構造のサイズに応じて約5,000〜約15,000Åの厚さにトリメチルシランなどの有機シランや有機シロキサンを酸化することによって第1のシリコンカーバイドバリヤ層310上に堆積される。
[0094]層間誘電材料として使用されてもよい低誘電定数材料の一例は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されているBlack Diamond(商標)誘電材料である。代替的に、第1の誘電層はまた、パラリンを含む低誘電率ポリマー材料などの低誘電率誘電材料や、無ドープシリコンガラス(USG)やフッ素ドープシリコンガラス(FSG)などの低誘電率スピンオンガラスを備えてもよい。そしてシリコンオキシカーバイド層に対して本明細書に説明されたような堆積に続くプラズマプロセスが第1の誘電層312を処理してもよい。低誘電率誘電定数層およびアモルファス炭素層スタックの一例はさらに、2004年4月1日に発行された米国特許第6,541,397号に開示されており、これは、開示および特許請求された態様と矛盾しない限り参照として本明細書に組み込まれている。
[0095]そして、シリコンカーバイド材料や酸化有機シラン層の低誘電率エッチングストップ(つまり第2のバリヤ層)314が約200Å〜約1000Åの厚さに、第1の誘電層312上に堆積される。代替的に、エッチングストップ314は窒素含有シリコンカーバイド材料であってもよい。そして低誘電率エッチングストップ314は、コンタクト/ビアが図3Aに示されるように形成されるエリアにおいてコンタクト/ビア開口316を画成し、かつ第1の誘電層312を暴露するためにパターンエッチングされる。好ましくは、低誘電率エッチングストップ314は、フッ素、炭素および酸素イオンを使用する従来のフォトリソグラフィおよびエッチングプロセスを使用してパターンエッチングされる。図示されていないが、約100Å〜約500Å厚の無窒素シリコンカーバイドや酸化シリコンキャッピング層が、さらなる材料を堆積する前にエッチングストップ314上に堆積されてもよい。
[0096]低誘電率エッチングストップ314がコンタクト/ビアをパターニングするためにエッチングされ、かつフォトレジストが除去された後、本明細書に説明されたようなシリコンオキシカーバイドの第2の誘電層318が、図3Aに示されるように約5,000〜約15,000Åの厚さに堆積される。第2の誘電層318はまたシリコンオキシカーバイド層に対して、本明細書に説明されたようにプラズマ処理されてもよい。
[0097]代替実施形態では、約100Å〜約500Å厚の無窒素シリコンカーバイドや酸化シリコンキャッピング層が、フォトレジスト材料などの追加材料を堆積する前に第2の誘電層318上に堆積されてもよい。さらなる代替実施形態では、シリコンカーバイドキャッピング層(図示せず)が、フォトレジスト材料などの追加材料を堆積する前に第2の誘電層318上に堆積されてもよい。
[0098]そしてアモルファス炭素層322が第2の誘電層318(つまりキャッピング層)上に堆積され、好ましくは従来のフォトリソグラフィプロセスを使用してパターニングされて、図3Bに示されるような配線ライン320を画成する。アモルファス炭素層322は本明細書に説明されたように堆積される。通常、アモルファス炭素層は、約50Å〜約1000Åの範囲の厚さを有する。
[0099]アモルファス炭素層322は、第2の誘電層318などの低誘電率誘電材料をエッチング中のダメージや研磨方法から保護しつつ導電材料の除去を許容するために、化学機械研磨技術に対するストップとして実行してもよいハードマスクである。アモルファス炭素層322はまた、ハードマスクに加えて反射防止コーティングとして機能してもよい。さらなるアモルファス炭素の多層がアモルファス炭素層322に使用されてもよい。例えば、本明細書に説明されたアモルファス炭素二重層ARC層がアモルファス炭素層322として使用されてもよい。
[00100]そして特徴部は図3Cに示されるように、第2の誘電層318、低誘電率エッチングストップ314、第1の誘電層312およびシリコンカーバイドバリヤ層310を介してエッチングされる。アモルファス炭素層は、例えば約1:10〜約1:20において約1:10より大きな、下地に堆積された誘電材料に対する選択性つまり除去レート比を有しており、また1:30より大きな除去レート比を含む可能性がある。
[00101]配線ライン320は、アルミニウム、銅、タングステンまたはこれらの組み合わせなどの導電材料によってメタライゼーション構造を形成するために充填される。現在、トレンチは、低抵抗率の銅(アルミニウムの3.1mW/cmに比較して1.7mW/cm)によるより小さな特徴部を形成するために銅を使用することになる。好ましくは、図3Dに示されるように、タンタルや窒化タンタルなどの適切なバリヤ層324がメタライゼーションパターンにコンフォーマルに最初に堆積され、周囲のシリコンおよび/または誘電材料への銅の移入を防止する。その後、銅326が、化学気相堆積法、物理気相堆積法、電気メッキ、またはこれらの組み合わせのいずれかを使用して堆積され、図3Eに示されるような構造を充填する。
[00102]構造が銅や他の金属で充填されると、表面は化学機械研磨を使用して平坦化されて、過剰な銅326およびバリヤ層324の材料を除去する。しかしながら、アモルファス炭素層322は研磨抵抗性があり、図3Fに示されるように研磨プロセス後に残る。アモルファス炭素層は、例えば約5:1〜約30:1の、約1:1より大きな、アモルファス炭素に対する銅またはバリヤ層材料のいずれかの導電材料の選択性つまり除去レート比を有しており、30:1より大きな除去レート比を含む可能性がある。
[00103]そしてアモルファス炭素層322は水素含有ガスのプラズマに暴露されて、基板表面からアモルファス含有材料を除去する。水素含有プラズマ除去プロセスの一例は、約1000sccmの流量で水素ガスを導入し、約5トールのチャンバ圧力を維持し、基板温度を約250℃に維持し、200mm基板に対して約100W〜約300WのRF電力レベルを供給することによってプラズマを生成し、プラズマを約60秒間維持し、必要ならばアモルファス炭素材料を除去することによって実行されてもよい。ガス分配器はプラズマ処理中に基板表面から約500ミルに位置決めされる。
代替ハードマスク/反射防止コーティング(ARC)用途
[00104]図4は、アモルファス炭素層を組み込む集積回路製造シーケンスの異なる段階でのアモルファス炭素堆積プロセスの一実施形態の断面図である。基板構造401は、基板上に形成された他の材料層を伴う基板のことである。処理段階に応じて、基板はシリコン基板や、基板上に形成されている他の層に相当する場合がある。図4は、従来その上に形成されてきた材料層402を有する基板構造401を図示している。材料層402は酸化物(例えば、SiO)であってもよい。一般的に、材料層402は1層のシリコン、シリサイド、金属または他の材料を含んでもよい。アモルファス炭素層403が上記のプロセスパラメータに従って材料層402上に形成される。アモルファス炭素層の厚さは特定の処理段階に応じて可変的である。通常、アモルファス炭素層は約50Å〜約1000Åの範囲の厚さを有している。
[00105]図4はさらに任意のキャッピング層404を図示している。製造シーケンスで使用されるエネルギー感応レジスト材料のエッチング化学物質に応じて、任意のキャッピング層404はアモルファス炭素層403上に形成されてもよい。任意のキャッピング層404は、パターンを移送することによってアモルファス炭素層403のマスクとして機能してもよい。任意のキャッピング層404は、酸化シリコンなどの酸化物、窒化シリコンや窒化チタンなどの窒化物、酸窒化シリコン、シリコンカーバイド、アモルファスシリコン、無ドープシリカガラス(USG)、ドープ酸化シリコン、あるいは他の材料を含んでもよい。任意のキャッピング層404は、約100Å〜約1000Åの厚さに堆積されてもよい。しかしながら、層の厚さはプロセス要件に応じて変化してもよい。キャッピング層404は、アモルファス炭素層をフォトレジストから保護し、かつアモルファス炭素材料に形成されたピンホールなどの層の不完全性をカバーするものと考えられている。
[00106]図4はさらに、スピンオン材料層405およびパターニング済みフォトレジスト406を図示している。スピンオン材料層405は、シリコン、フッ化シリコン、炭素ドープシリコン、フッ素ドープ酸化シリコン、窒素ドープシリコン、または炭素ドープ酸化シリコンなどの任意のシリコン含有誘電材料であってもよい。スピンオン材料層405は、回転する基板表面に印加される液体ベース前駆体を使用して堆積されてもよい。カリフォルニア州サンタクララにあるApplied Materialsから市販されているプロセスであるBARC(商標)はまた、スピンオン材料層405の堆積プロセスとして使用されてもよい。
[00107]1層のエネルギー感応レジスト材料406がスピンオン材料層405上に形成される。1層のエネルギー感応レジスト材料406は約2000Å〜約6000Åの範囲内の厚さに基板上にスピンコーティング可能である。ほとんどのエネルギー感応レジスト材料は、約450nm未満の波長を有する紫外線(UV)放射に敏感である。DUVレジスト材料は、245nmまたは193nmの波長を有するUV放射に敏感である。
[00108]このようなエネルギー感応レジスト材料108をマスク(図示せず)を介してUV放射に暴露することによって、パターンのイメージがエネルギー感応レジスト材料406の層に導入される。1層のエネルギー感応レジスト材料406に導入されたパターンのイメージは、このような層を介してパターンを画成するために適切な現像器において現像される。
追加の代替ハードマスク/反射防止コーティング(ARC)用途
[00109]図5は、アモルファス炭素層をハードマスクとして組み込む集積回路製造シーケンスの異なる段階でのアモルファス炭素堆積プロセスの代替実施形態の断面図である。一般的に、基板構造501は、処理が実行される任意のワークのことである。特定の処理段階に応じて、基板構造501はシリコン基板や、基板上に形成されている他の材料層であってもよい。図5は例えば、従来その上に形成されてきた材料層を含む場合がある基板構造501の断面図を図示している。基板構造の材料層は酸化物(例えば、SiO)を含むことがある。一般的に、基板構造501は1層のシリコン、シリサイド、金属または他の材料を含んでもよい。
[00110]図5はさらに、基板構造501上に堆積されたアモルファス炭素層502を描いている。アモルファス炭素層502は上記のプロセスパラメータに従って基板構造501上に形成される。アモルファス炭素層の厚さは特定の処理条件に応じて可変的である。通常、アモルファス炭素層は約50Å〜約100Åの厚さを有する。
実験結果
[00111]得られる膜密度に水素流量が如何に影響したかを図示するために、アモルファス炭素膜が炭化水素化合物および水素を堆積された。膜が1000sccm水素、2000sccm水素または3000sccm水素によって堆積される以外は処理条件のすべてが一定であった。1000sccm水素の膜密度は1.44g/cc、2000sccm水素の膜密度は1.47g/cc、3000sccm水素の膜密度は1.54g/ccであった。これらの結果は、高い水素流量では、密度の高い膜が堆積されることを指し示している。膜密度は炭素二重結合形成の1つの推定である。したがって、水素流量の高い膜堆積プロセスは、炭素二重結合の可能性の高い膜を形成しやすい。
[00112]様々な温度での3つの個々の前駆体の派生膜の比較が実行され、ここでA−TRPはα−テルピネンである。
Figure 0004879159
[00113]550℃でプロピレンを使用して形成された膜は、より低い温度でプロピレンを使用して形成された膜の約2倍のエッチング選択性と、これよりも約20パーセント高い密度とを有していた。炭素二重結合濃度はまた、より高い温度ではさらに高い。
[00114]プロピレン、A−TRPおよびトルエン前駆体はまた、各前駆体を個々に使用して比較されて、350〜550℃の5つの様々な温度で膜を形成した。膜の屈折率の結果は温度上昇によって増加した。温度の関数としての屈折率の応答曲線は前駆体ごとに異なっていた。A−TRPおよびトルエン膜の誘電定数もまた測定された。膜がより高温で堆積されると誘電定数は増加する。フーリエ変換赤外線(FTIR)分析もまた得られた膜に対して実行された。400℃よりも高い温度でプロピレンで形成された膜は炭素二重結合を有してした。
[00115]個別セットの実験において、波数の関数としての吸収度は、350、400、425、450および500℃でトルエンを使用して堆積された膜についてプロットされた。曲線のピークは、炭素二重結合が形成され、かつ二重結合の形成が、トルエンを前駆体として形成された膜について400℃よりも高い温度でのみ生じたことを示している。
[00116]低周波数RF電力が、アモルファス炭素膜の密度を調整する方法として検証された。0、50、100および200WのRF電力が観察された。350および450℃の両方で膜前駆体としてトルエンを使用してテストされる場合、膜の密度は1.17付近から1.28g/ccに増加した。低周波数RF電力はアモルファスパターニング膜の密度を増大させることが可能である。450℃で、LFRFが0から200Wに増加すると減衰係数が劇的に増加した。350℃で、LFRFが0から800℃に増加すると減衰係数は0.05よりも増加しなかった。
[00117]トルエンを前駆体として使用して堆積された膜が測定され、0、200、400、600および800WのLFRFに対する波数の関数としての吸収度がプロットされた。0WのLFRF膜は、−CH結合、−CH結合および−CH結合のピークで最大数の波数を有していた。800WのLFRF膜は−CH結合のピークで最小数の波数を有していた。CH/CHおよびCH/CHの比はLFRFトライアルと比較する場合に一貫していた。波数のグラフはまた、ベンゼン対CH比が増加するとLFRFへの電力を増加させることを示している。このことは、電力LFRFが大きいほとんどのベンゼン環状構造の存在を指し示している。
[00118]800WでLFRFを保ちつつ温度を変更することはトルエン膜の形成の如何に影響するかを観察するために、350、375、400および425℃で形成された膜がFTIRスペクトルを使用して測定された。波数の関数としての吸収度のプロットは、膜形成温度の増大は炭素二重結合の可能性を低下させ、かつ減衰係数の大きな膜を生み出すことを指し示している。
[00119]LFRFの関数としての堆積レートもまた観察された。LFRFへの電力が増加されると、堆積レートは線形に増大した。前駆体を希釈するための水素の添加は堆積レートを低下させた。
[00120]異なる前駆体もまた比較された。トルエンおよびα−テルピネンは、同程度の温度範囲での光学特性および誘電定数の測定結果を含む最良の膜特性を提供した。プロピレンおよびアセチレンを前駆体として使用することもまた、受容可能な光学特性および誘電定数の結果を膜に提供した。トルエンベース膜はまた、SEMによって測定されたように最良のステップカバレージを提供した。α−テルピネンベース膜は、トルエンベース膜がより小さな減衰係数測定結果を有したのと類似の温度で堆積した。
[00121]低圧膜堆積もまたテストされた。低圧は、複数の基板がテストされる場合に均一の膜を提供するのに望ましかった。しかしながら、膜特性の検出可能な改良は観察されなかった。
[00122]上記は本発明の実施形態に向けられているが、本発明の他のさらなる実施形態がその基本的範囲から逸脱することなく考案されてもよく、その範囲は以下の請求項によって判断される。
アモルファス炭素堆積プロセスの一実施形態を示す断面図である。 アモルファス炭素堆積プロセスの一実施形態を示す断面図である。 アモルファス炭素堆積プロセスの一実施形態を示す断面図である。 アモルファス炭素堆積プロセスの一実施形態を示す断面図である。 アモルファス炭素堆積プロセスの一実施形態を示す断面図である。 本発明の堆積シーケンスの一実施形態を示す断面図である。 本発明の堆積シーケンスの一実施形態を示す断面図である。 本発明の堆積シーケンスの一実施形態を示す断面図である。 本発明の堆積シーケンスの一実施形態を示す断面図である。 本発明の堆積シーケンスの一実施形態を示す断面図である。 デュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 デュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 デュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 デュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 デュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 デュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 デュアルダマシン堆積シーケンスの一実施形態を示す断面図である。 アモルファス炭素堆積プロセスの一実施形態の断面図である。 アモルファス炭素堆積プロセスの代替実施形態の断面図である。 本発明の実践に使用可能な装置の概略図である。
符号の説明
100…基板、102…材料層、104…アモルファス炭素層、106…キャッピング層、108…エネルギー感応レジスト材料、110…マスク、150…基板構造、200…基板、205…基板表面、210…バリヤ層、220…誘電材料層、230…アモルファス炭素層、240…反射防止コーティング、250…レジスト材料、260…特徴部、270…特徴限定部、305…誘電材料、307…金属特徴部、310…シリコンカーバイドバリヤ層、312…第1の誘電層、314…エッチングストップ、316…コンタクト/ビア開口、318…第2の誘電層、320…配線ライン、322…アモルファス炭素層、324…バリヤ層、326…銅、401…基板構造、402…材料層、403…アモルファス炭素層、404…キャッピング層、405…スピンオン材料層、406…パターニング済みフォトレジスト、501…基板構造、502…アモルファス炭素層、602…真空ポンプ、606…電源、610…コントロールユニット、612…CPU、614…支援回路、616…関連コントロールソフトウェア、618…信号バス、620…シャワーヘッド、625…プロセスチャンバ、630…ガスパネル、650…基板支持ペデスタル、670…ヒーター要素、672…熱電対、690…基板、691…表面。

Claims (18)

  1. 処理チャンバにおいて基板を処理する方法であって、
    前記基板を処理チャンバに位置決めするステップと、
    処理ガスを前記処理チャンバに導入するステップであって、前記処理ガスが、水素とα−テルピネンを含んでいるステップと、
    二重周波数RF源から電力を印加することによって前記処理ガスのプラズマを生成するステップと、
    前記基板上にアモルファス炭素層を堆積するステップと、
    を備える方法。
  2. 前記アモルファス炭素層をエッチングして、パターニング済みアモルファス炭素層を形成するステップをさらに備える、請求項1に記載の方法。
  3. 水素含有プラズマ、窒素含有プラズマ、酸素含有プラズマまたはこれらの組み合わせを使用して前記基板から前記アモルファス炭素層を除去するステップをさらに備える、請求項1に記載の方法。
  4. 前記二重周波数RF源からの前記電力が、第1の周波数第1のRF電力、前記第1の周波数未満の第2の周波数第2のRF電力との組み合わせを備える、請求項1に記載の方法。
  5. 前記第1の周波数が10MHz〜30MHzであり、前記第2の周波数が10kHz〜1MHzである、請求項に記載の方法。
  6. 前記第2のRF電力と、前記第1のRF電力と前記第2のRF電力の和との比が0.6:1未満である、請求項に記載の方法。
  7. 前記第1のRF電力が00W〜600Wであり、前記第2のRF電力がW〜000Wである、請求項に記載の方法。
  8. 前記処理ガスが、フッ素(F)、三フッ化窒素(NF )、CHF、CHおよびこれらの組み合わせからなる群より選択される、1つ以上のフッ素ベース化合物を含む、請求項1に記載の方法。
  9. 前記アモルファス炭素層上に反射防止コーティングの層を堆積することをさらに含み、前記反射防止コーティングが、窒化シリコン、シリコンカーバイド、炭素ドープ酸化シリコン、アモルファス炭素およびこれらの組み合わせからなる群より選択される材料である、請求項1に記載の方法。
  10. 前記処理ガスが、ヘリウム(He)、アルゴン(Ar)、窒素(N )およびこれらの組み合わせからなる群より選択されるキャリアガスをさらに含む、請求項1に記載の方法。
  11. 処理チャンバにおいて基板を処理する方法であって、
    処理チャンバに前記基板を位置決めするステップと、
    前記処理チャンバに処理ガスを導入するステップであって、前記処理ガスがキャリアガス、水素、およびα−テルピネンを含んでいるステップと、
    二重周波数RF源から電力を印加することによって前記処理ガスのプラズマを生成するステップと、
    前記基板上にアモルファス炭素層を堆積するステップと、
    前記アモルファス炭素層をエッチングして、パターニング済みアモルファス炭素層を形成するステップと、
    前記1つ以上のアモルファス炭素層を除去するステップと、
    前記基板の前記表面上に導電材料を堆積するステップと、
    を備える方法。
  12. 前記1つ以上のアモルファス炭素層上に1つ以上の反射防止コーティングを堆積するステップと、
    前記反射防止コーティング上にレジスト材料をパターニングするステップと、
    前記1つ以上のアモルファス炭素層をエッチングする前、またはこれと同時に前記反射防止コーティングをエッチングするステップと、
    をさらに備える、請求項11に記載の方法。
  13. 前記導電材料を堆積する前に誘電層を堆積するステップをさらに備える、請求項11に記載の方法。
  14. 前記導電材料を堆積する前にバリヤ層を堆積するステップをさらに備える、請求項11に記載の方法。
  15. 処理チャンバにおいて、一以上の誘電体の層を含む基板を処理する方法であって、
    処理チャンバに前記基板を位置決めするステップと、
    前記処理チャンバに処理ガスを導入するステップであって、前記処理ガスがキャリアガス、水素、およびα−テルピネンを含んでいるステップと、
    二重周波数RF源から電力を印加することによって前記処理ガスのプラズマを生成するステップと、
    前記基板上に1つ以上のアモルファス炭素層を堆積するステップと、
    前記1つ以上のアモルファス炭素層の少なくとも1つの領域にパターンを画成するステップと、
    前記1つ以上のアモルファス炭素層の前記少なくとも1つの領域に形成された前記パターンによって前記1つ以上の誘電層に特徴限定部を形成するステップと、
    を備える方法。
  16. 前記特徴限定部に1つ以上の導電材料を堆積する前に前記1つ以上のアモルファス炭素層をプラズマに暴露することによって前記1つ以上のアモルファス炭素層を除去するステップであって、前記プラズマが、水素含有ガス、窒素含有ガス、酸素含有ガスおよびこれらの組み合わせからなる群より選択されるガスであるステップをさらに備える、請求項15に記載の方法。
  17. 1つ以上の導電物質を前記特徴限定部に堆積するステップと、
    前記1つ以上の導電材料を研磨して、前記1つ以上のアモルファス炭素層上で停止するステップと、
    前記1つ以上のアモルファス炭素層を水素含有ガスのプラズマに暴露することによって前記1つ以上のアモルファス炭素層を除去するステップと、
    をさらに備える請求項15に記載の方法。
  18. 前記1つ以上のアモルファス炭素層上に反射防止コーティングを堆積するステップと、
    前記反射防止コーティング上にレジスト材料をパターニングするステップと、
    前記1つ以上のアモルファス炭素層をエッチングする前、またはこれと同時に前記反射防止コーティングをエッチングするステップと、
    をさらに備える請求項15に記載の方法。
JP2007501834A 2004-03-05 2005-02-24 アモルファス炭素膜堆積のためのcvdプロセス Expired - Fee Related JP4879159B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US55038604P 2004-03-05 2004-03-05
US60/550,386 2004-03-05
US10/799,146 US7638440B2 (en) 2004-03-12 2004-03-12 Method of depositing an amorphous carbon film for etch hardmask application
US10/799,146 2004-03-12
US56563904P 2004-04-26 2004-04-26
US60/565,639 2004-04-26
US64934405P 2005-02-02 2005-02-02
US60/649,344 2005-02-02
PCT/US2005/005855 WO2005087974A2 (en) 2004-03-05 2005-02-24 Cvd processes for the deposition of amorphous carbon films

Publications (2)

Publication Number Publication Date
JP2007531987A JP2007531987A (ja) 2007-11-08
JP4879159B2 true JP4879159B2 (ja) 2012-02-22

Family

ID=34961150

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007501834A Expired - Fee Related JP4879159B2 (ja) 2004-03-05 2005-02-24 アモルファス炭素膜堆積のためのcvdプロセス

Country Status (5)

Country Link
US (1) US7407893B2 (ja)
JP (1) JP4879159B2 (ja)
KR (1) KR101098632B1 (ja)
TW (1) TWI332034B (ja)
WO (1) WO2005087974A2 (ja)

Families Citing this family (574)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7480990B2 (en) * 2006-01-06 2009-01-27 International Business Machines Corporation Method of making conductor contacts having enhanced reliability
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US8080282B2 (en) * 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
JP5154140B2 (ja) * 2006-12-28 2013-02-27 東京エレクトロン株式会社 半導体装置およびその製造方法
KR100867123B1 (ko) * 2007-04-03 2008-11-06 삼성전자주식회사 반도체소자의 식각방법
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
JP2009059804A (ja) * 2007-08-30 2009-03-19 Elpida Memory Inc 半導体装置の製造方法およびハードマスク
US8962101B2 (en) * 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP2010537843A (ja) * 2007-09-06 2010-12-09 スリーエム イノベイティブ プロパティズ カンパニー 微細構造物品を作製するための工具
WO2009032813A2 (en) 2007-09-06 2009-03-12 3M Innovative Properties Company Lightguides having light extraction structures providing regional control of light output
JP2010537867A (ja) 2007-09-06 2010-12-09 スリーエム イノベイティブ プロパティズ カンパニー 型を形成する方法及びかかる型を使用して物品を成形する方法
US20090087796A1 (en) * 2007-09-27 2009-04-02 Air Products And Chemicals, Inc. Cyclopentene As A Precursor For Carbon-Based Films
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
WO2009048808A1 (en) * 2007-10-11 2009-04-16 3M Innovative Properties Company Chromatic confocal sensor
WO2009057225A1 (ja) 2007-11-02 2009-05-07 Fujitsu Microelectronics Limited 半導体装置とその製造方法
US20100247917A1 (en) * 2007-11-07 2010-09-30 Yuki Nitta Carbon thin film and method of forming the same
JP5524856B2 (ja) * 2007-12-12 2014-06-18 スリーエム イノベイティブ プロパティズ カンパニー エッジ明瞭性が向上した構造の製造方法
US7795104B2 (en) * 2008-02-13 2010-09-14 Chartered Semiconductor Manufacturing Ltd. Method for fabricating device structures having a variation in electrical conductivity
US8605256B2 (en) 2008-02-26 2013-12-10 3M Innovative Properties Company Multi-photon exposure system
JP4882055B2 (ja) * 2008-04-11 2012-02-22 スパンション エルエルシー 半導体装置の製造方法
JP4876231B2 (ja) * 2008-04-11 2012-02-15 スパンション エルエルシー 半導体装置の製造方法
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
JP2012506151A (ja) * 2008-10-14 2012-03-08 アプライド マテリアルズ インコーポレイテッド プラズマ強化化学気相成長(pecvd)によって共形の非晶質炭素膜を堆積させる方法
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8198671B2 (en) 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US20100298832A1 (en) 2009-05-20 2010-11-25 Osseon Therapeutics, Inc. Steerable curvable vertebroplasty drill
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
BR112012027708B1 (pt) 2010-04-29 2021-03-09 Dfine, Inc dispositivo médico para ablação de tecido dentro de um osso de um paciente
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
KR101353258B1 (ko) * 2012-04-27 2014-01-23 주식회사 테스 반도체 소자의 갭필 방법
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
WO2013152031A1 (en) * 2012-04-04 2013-10-10 Kla-Tencor Corporation Protective fluorine-doped silicon oxide film for optical components
WO2013152082A1 (en) 2012-04-04 2013-10-10 Avocent Huntsville Corp. Access appliance providing direct display data channel (ddc) interface connection and stored monitor calibration information
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101330516B1 (ko) * 2012-04-24 2013-11-18 주식회사 테스 비정질 탄소막의 형성방법
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP2012233259A (ja) * 2012-06-25 2012-11-29 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
JP6045975B2 (ja) * 2012-07-09 2016-12-14 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR102222909B1 (ko) 2013-10-10 2021-03-04 삼성전자주식회사 반도체 소자의 제조방법
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP5755314B2 (ja) * 2013-11-13 2015-07-29 スパンション エルエルシー 半導体装置及び半導体装置の製造方法
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
KR20160039739A (ko) 2014-10-01 2016-04-12 삼성전자주식회사 하드 마스크막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) * 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101712387B1 (ko) * 2015-04-08 2017-03-07 (주)제너코트 흑연 기판의 표면 특성 개질 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10478241B2 (en) 2016-10-27 2019-11-19 Merit Medical Systems, Inc. Articulating osteotome with cement delivery channel
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CA3041114A1 (en) 2016-11-28 2018-05-31 Dfine, Inc. Tumor ablation devices and related methods
US10463380B2 (en) 2016-12-09 2019-11-05 Dfine, Inc. Medical devices for treating hard tissues and related methods
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
EP3565486B1 (en) 2017-01-06 2021-11-10 Dfine, Inc. Osteotome with a distal portion for simultaneous advancement and articulation
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018144198A1 (en) * 2017-02-01 2018-08-09 Applied Materials, Inc. Boron doped tungsten carbide for hardmask applications
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20190035036A (ko) * 2017-09-25 2019-04-03 삼성전자주식회사 박막 형성 장치 및 이를 이용한 비정질 실리콘 막 형성방법
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20200139842A (ko) 2018-05-03 2020-12-14 어플라이드 머티어리얼스, 인코포레이티드 페데스탈들을 위한 rf 접지 구성
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
WO2020097339A1 (en) 2018-11-08 2020-05-14 Dfine, Inc. Tumor ablation device and related systems and methods
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7180847B2 (ja) * 2018-12-18 2022-11-30 東京エレクトロン株式会社 カーボンハードマスク、成膜装置、および成膜方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20210116706A (ko) * 2019-02-14 2021-09-27 어플라이드 머티어리얼스, 인코포레이티드 기판을 프로세싱하는 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11986229B2 (en) 2019-09-18 2024-05-21 Merit Medical Systems, Inc. Osteotome with inflatable portion and multiwire articulation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11437230B2 (en) 2020-04-06 2022-09-06 Applied Materials, Inc. Amorphous carbon multilayer coating with directional protection
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11322352B2 (en) 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113818002B (zh) * 2020-06-19 2024-06-07 拓荆科技股份有限公司 一种薄膜制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202217045A (zh) * 2020-09-10 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11515150B2 (en) * 2020-10-22 2022-11-29 Applied Materials, Inc. Hardmask tuning by electrode adjustment
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
EP4289519A1 (en) * 2022-06-10 2023-12-13 Basf Se Plasma-created barriers for packaging
WO2024112724A1 (en) * 2022-11-21 2024-05-30 Saint-Gobain Performances Plastics Corporation Cell culture surfaces and containers and methods for making and using them

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1018569A (ja) * 1996-06-28 1998-01-20 Kajima Corp 浮基礎の支持構造
JP2001518560A (ja) * 1997-09-29 2001-10-16 アプライド マテリアルズ インコーポレイテッド 炭素を堆積させる方法と装置
JP2002012972A (ja) * 2000-02-17 2002-01-15 Applied Materials Inc アモルファスカーボン層の堆積方法
JP2002198317A (ja) * 2000-09-12 2002-07-12 Applied Materials Inc 炭化ケイ素層のデュアル周波数プラズマ励起化学気相成長
WO2003095702A2 (en) * 2002-05-08 2003-11-20 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262262A (en) 1985-05-31 1993-11-16 Fuji Xerox Co., Ltd. Electrophotographic photoreceptor having conductive layer and amorphous carbon overlayer
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JPH0258221A (ja) 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
EP0381109A3 (de) 1989-02-01 1990-12-12 Siemens Aktiengesellschaft Feuchtesperre für organische Dielektrika
EP0411435B1 (en) 1989-07-31 1994-01-12 Matsushita Electric Industrial Co., Ltd. Apparatus for synthesizing diamondlike thin film
JPH07243064A (ja) 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP3361918B2 (ja) 1995-07-26 2003-01-07 沖電気工業株式会社 半導体集積回路装置の微細ホールの形成方法
JP2956571B2 (ja) 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5759746A (en) 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5759913A (en) 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
KR100205318B1 (ko) 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
CN1252810C (zh) 1997-01-21 2006-04-19 B·F·谷德里奇公司 用于超低电容互连的有空气隙的半导体装置的制造
JP2962272B2 (ja) 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
EP0881668A3 (en) 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
JPH1126578A (ja) 1997-07-02 1999-01-29 Sony Corp 微細接続孔の形成方法
US6008140A (en) 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6133618A (en) 1997-08-14 2000-10-17 Lucent Technologies Inc. Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US6333255B1 (en) 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6203898B1 (en) 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6211065B1 (en) 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US5981000A (en) 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6057226A (en) 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
JP3507322B2 (ja) 1997-12-24 2004-03-15 キヤノン株式会社 電子写真装置
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
US6184572B1 (en) 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US5882830A (en) 1998-04-30 1999-03-16 Eastman Kodak Company Photoconductive elements having multilayer protective overcoats
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
JP2000106396A (ja) 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6140224A (en) 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
KR100307629B1 (ko) 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
KR100304708B1 (ko) 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US20020086547A1 (en) 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
TW462122B (en) 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
WO2003005438A2 (en) 2001-07-02 2003-01-16 Dow Corning Corporation Improved metal barrier behavior by sic:h deposition on porous materials
US7226853B2 (en) 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6835663B2 (en) 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6653735B1 (en) 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040166691A1 (en) 2003-02-26 2004-08-26 Chun-Feng Nieh Method of etching a metal line
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040180551A1 (en) 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
US20040229470A1 (en) 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1018569A (ja) * 1996-06-28 1998-01-20 Kajima Corp 浮基礎の支持構造
JP2001518560A (ja) * 1997-09-29 2001-10-16 アプライド マテリアルズ インコーポレイテッド 炭素を堆積させる方法と装置
JP2002012972A (ja) * 2000-02-17 2002-01-15 Applied Materials Inc アモルファスカーボン層の堆積方法
JP2002198317A (ja) * 2000-09-12 2002-07-12 Applied Materials Inc 炭化ケイ素層のデュアル周波数プラズマ励起化学気相成長
WO2003095702A2 (en) * 2002-05-08 2003-11-20 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
JP2005524983A (ja) * 2002-05-08 2005-08-18 アプライド マテリアルズ インコーポレイテッド 電子ビームによって低誘電率膜を硬化する方法

Also Published As

Publication number Publication date
WO2005087974A2 (en) 2005-09-22
JP2007531987A (ja) 2007-11-08
US7407893B2 (en) 2008-08-05
TW200600605A (en) 2006-01-01
US20050287771A1 (en) 2005-12-29
KR101098632B1 (ko) 2011-12-26
KR20070004009A (ko) 2007-01-05
WO2005087974A3 (en) 2005-12-15
TWI332034B (en) 2010-10-21

Similar Documents

Publication Publication Date Title
JP4879159B2 (ja) アモルファス炭素膜堆積のためのcvdプロセス
US8361906B2 (en) Ultra high selectivity ashable hard mask film
EP1176226B1 (en) Method of deposition of silicon carbide film in integrated circuit fabrication
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
JP5116197B2 (ja) 炭化ケイ素層を利用してデバイスを形成する方法
KR101184072B1 (ko) 밀도 및 스텝 커버리지가 개선된 비정질 탄소막 증착 방법
KR101003475B1 (ko) 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리
US10014174B2 (en) Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US6777171B2 (en) Fluorine-containing layers for damascene structures
JP2011517848A (ja) 改善された密度および段差被覆性をもつ非晶質炭素膜を堆積させる方法
KR20060127250A (ko) 금속 에칭 하드마스크 분야용 비정질 탄소막 증착 방법
CN1930320A (zh) 用于无定型碳膜的化学气相沉积的液体前驱体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080122

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101130

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110412

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110830

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110927

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111115

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111129

R150 Certificate of patent or registration of utility model

Ref document number: 4879159

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141209

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees